科学网

 找回密码
  注册
数学该知道
热度 1 姜咏江 2014-3-3 19:54
数学的精确性是普遍被承认的,然而有些基本的概念确实不能说清楚。例如,有限与无限的问题,点线面的问题,数轴上的点与实数一一对应的问题。 就拿实数的连续性来说吧。数轴上每一个点都能找到一个实数与之对应吗?既然认为点是可以区分的,那么我们就有理由认为点的排列有邻居,因而就可以 ...
个人分类: 机器计算|3442 次阅读|2 个评论 热度 1
符号位——真的就这么一直错下去吗?
热度 1 姜咏江 2013-6-13 06:49
符号位——真的就这么一直错下去吗? 姜咏江 以前,我一直奇怪为什么西方的机器表示有符号数搞出一个“符号位”,而符号位的0或1又是不能参加机器数值计算的!我还一直怀疑是不是因为误传。昨天写书,认真地探讨了一下Quartu II中Verilog HDL假定的数据位数,验证了下面一段有符号加减法器的设计程序,才确认他们的 ...
个人分类: 机器计算|3755 次阅读|2 个评论 热度 1
信息与计算机(1)
热度 3 姜咏江 2011-7-18 07:13
信息与计算机 ...
个人分类: 机器计算|3717 次阅读|5 个评论 热度 3
用限位数方法设计精确浮点加减法运算器
热度 3 姜咏江 2011-6-10 15:35
用限位数方法设计精确浮点加减法运算器
用限位数方法设计精确浮点加减法运算器 姜咏江 (对外经济贸易大学信息学院 北京 100013 ) 摘要: 仅用二进制补码制来说明机器如何表示数值运算,存在多方面的缺失,很难说清楚机器计算的理论依据,并带来了设计冗余和资源的浪费。限位数不用书写正负号就可以表示有符号数。只用无符号数做 ...
个人分类: 机器计算|8829 次阅读|12 个评论 热度 3

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-4-27 00:02

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部