陈立新专利报告分享 http://blog.sciencenet.cn/u/feixiangfeixian 中美欧日韩五局及PCT专利数据统计分析报告 陈立新 Tel13592308169 QQ86065045

博文

2022年美光科技公司的在美专利状况——计算机核心部件、计算机一般部件、计算机接口技术较强

已有 694 次阅读 2023-12-1 08:44 |系统分类:博客资讯

陈立新 张琳 黄颖:中美欧日韩五局专利报告3551.docx

█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖

第二部分 2022年美国发明专利统计分析报告

18 世界主要机构在美国的专利布局

18.12 美光科技公司的美国局专利状况

2022年,美光科技公司获得美国发明专利1915项,比上一年增长了7%,是获得美国专利数量第12多的机构。

相对来讲,美光科技公司专利研发的优势领域是:计算机核心部件、计算机一般部件、半导体集成电路、半导体制造、计算机接口。其在这5个技术领域上的专利份额相对较高,为6.4%至2.2%。


表18.12-1 2022年美光科技公司主要技术领域的专利分布


技术领域

专利数量

占比(%)

1

计算机核心部件

1043

6.4%

2

计算机一般部件

672

4.0%

3

半导体集成电路

333

3.4%

4

半导体制造

227

2.5%

5

计算机接口

387

2.2%

6

半导体元器件

361

2.0%

7

电气元件与电路

132

0.5%

8

计算机安全

35

0.5%

9

人工智能

53

0.4%

10

数字信息传输

28

0.3%

11

网络协议

72

0.3%

12

光电测量与核物理

33

0.3%

13

物理信号与控制

42

0.3%

14

数据与图像识别

31

0.2%

15

数据交换网络

20

0.2%

16

计算机应用与软件

25

0.2%

17

计算机辅助设计

4

0.2%

18

通信传输系统

17

0.2%

19

数据库与信息检索

24

0.2%

20

发电与输变电

16

0.1%

注:占比(%)指其在某领域上的专利数量占该领域的比例。


从绝对数量上来看,美光科技公司的重点技术领域是:计算机核心部件、计算机一般部件、计算机接口、半导体元器件、半导体集成电路。其在这5个技术领域上的专利数量最多,为1043至333项。

可见,美光科技公司的专利技术研发重点主要集中在计算机核心部件领域。

从发明人来看,2022年美光科技公司的研发人员较多,达到1600人,人均发明专利0.84项。其中,Troia Alberto、Mondello Antonino、Muchherla Kishore Kumar、Rayaprolu Vamsi Pavan、Parthasarathy Sivagnanam、Malshe Ashutosh、Hopkins John D.、Liu Haitao、Kale Poorna、Karda Kamal M.等人的专利数量较多,高达53至25项。


图18.12-1 2022年美光科技公司在20个相对优势领域中的专利占比


致谢

感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员对本报告的支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。


附表18.12-1 2022年美光科技公司的美国局授权发明专利

Patent No.TitleInventors
11216058Storage system deep idle power modeLiang Qing; Parry Jonathan Scott
11216193Prioritized securityJean Sebastien Andre
11216218Unmap data pattern for coarse mapping memory sub-systemZhu Fangfang; Tai Ying Yu; Chen Ning; Zhu Jiangli; Tang Alex
11216219Management of peak current of memory dies in a memory sub-systemYu Liang; Aglubat John Paul; Rori Fulvio
11216333Methods and devices for error correctionSchaefer Scott E.; Boehm Aaron P.
11216349Reactive read based on metrics to screen defect prone memory blocksSingidi Harish Reddy; Rayaprolu Vamsi Pavan; Muchherla Kishore Kumar;  Huang Jianmin; Luo Xiangang; Malshe Ashutosh
11216364Sequential read optimization in a memory sub-system that programs  sequentiallyGuda Chandra M.; Lam Johnny A.
11216395Apparatuses and methods for asymmetric bi-directional signaling  incorporating multi-level encodingHollis Timothy
11216562Double wrapping for verificationMarkey Tim; Ruane James; Strong Robert W.
11217284Memory with per pin input/output termination and driver impedance  calibrationStave Eric J.
11217287Selectively squelching differential strobe input signal in memory-device  testing systemSwanson Joel Scott
11217291Circuitry borrowing for memory arraysMartinelli Andrea; Mastroianni Francesco; Nakai Kiyoshi
11217292Time-based access of a memory cellDi Vincenzo Umberto
11217293Reference voltage managementBolandrina Efrem; Bedeschi Ferdinando
11217294Techniques for adjusting current based on operating parametersChu Wei Lu; Pan Dong
11217295Apparatuses and methods for address detectionMazumder Kallol; Brown Jason M.; May Derek R.; Koelling Jeffrey E.;  Norwood Roger D.
11217296Staggered refresh counters for a memory deviceWieduwilt Christopher G.; Rehmeyer James S.
11217297Techniques for reducing row hammer refreshHe Yuan; Ito Yutaka
11217298Delay-locked loop clock sharingOh Younghoon; Ho Michael V.
11217303Imprint recovery for memory arraysStrand Jonathan J.; Basuta Sukneet Singh; Bangalore Lakshman Shashank;  Harms Jonathan D.
11217306Apparatuses and methods for sensing memory cellsSforzin Marco; Amato Paolo
11217308Programming memory cells using asymmetric current pulsesRobustelli Mattia; Tortorelli Innocenzo; Dodge Richard K.
11217310Memory devices with distributed block select for a vertical string driver  tile architectureLee Eric N.
11217320Bin placement according to program-erase cyclesSheperek Michael; Kaynak Mustafa N.; Kientz Steven Michael
11217322Drift mitigation with embedded refreshTortorelli Innocenzo; Pirovano Agostino; Redaelli Andrea; Pellizzer  Fabio; Wang Hongmei
11217325Apparatuses and methods for providing internal double data rate operation  from external single data rate signalsJhang Yuan Hsuan; Ishikawa Toru; Nakanishi Takuya
11217556Packaged microelectronic devices having stacked interconnect elements and  methods for manufacturing the sameKweon Young Do; Jiang Tongbi
11217588Integrated assemblies comprising voids between active regions and  conductive shield plates, and methods of forming integrated assembliesSukekawa Mitsunari; Taketani Hiroaki
11217590Semiconductor memory device and method of forming the sameFujimoto Toshiyasu; Sasaki Takashi; Terada Shinobu
11217601Microelectronic devices including staircase structures, and related  memory devices and electronic systemsLuo Shuangqiang; Li Xuan; Yii Adeline
11217737Methods and apparatus providing thermal isolation of photonic devicesMeade Roy; Sandhu Gurtej
11218019Power backup architecture using capacitorSuljic Vehid; Rowley Matthew D.
11218330Generating an identity for a computing device using a physical unclonable  functionMondello Antonino; Troia Alberto
11219371Determining biometric data using an array of infrared illuminatorsChristensen Carla L.; Chhabra Bhumika; Hosseinimakarem Zahra
11221690Virtual peripherals for mobile devicesde la Garza Villarreal Elsie; Delaney Claudia A.; Wale Madison E.;  Chhabra Bhumika
11221776Metadata indication for a memory deviceIsh Mark; Liu Yiran; Geukens Tom V.
11221797Domain-based access in a memory deviceYudanov Dmitri A.; Jain Shanky Kumar
11221800Adaptive and/or iterative operations in executing a read command to  retrieve data from memory cellsFitzpatrick James; Parthasarathy Sivagnanam; Khayat Patrick Robert;  Alhussien AbdelHakim S.
11221873Hierarchical memory apparatusRamesh Vijay S.; Korzh Anton; Murphy Richard C.
11221910Media scrubber in memory systemPawlowski Joseph Thomas
11221912Mitigating an undetectable error when retrieving critical data during  error handlingRayaprolu Vamsi Pavan; Parthasarathy Sivagnanam; Ratnam Sampath K.;  Nowell Shane; Padilla Renato C.
11221913Error check and scrub for semiconductor memory deviceRooney Randall J.; Prather Matthew A.
11221933Holdup self-tests for power loss operations on memory systemsMajerus Douglas; Byron Brent
11221949Multi state purgatory for media management for a memory subsystemBianco Antonio David
11221973Parallel iterator for machine learning frameworksJacob Jacob Mulamootil; Ramdasi Gaurav Sanjay; Mohamed Nabeel  Meeramohideen
11222260Apparatuses and methods for operating neural networksLea Perry V.
11222668Memory cell sensing stress mitigationVimercati Daniele; Mills Duane R.; Fackenthal Richard E.; Hattori Yasuko
11222669Apparatuses and methods for performing operations using sense amplifiers  and intermediary circuitryHush Glen E.; Sun Honglin; Murphy Richard C.
11222673Memory sub-system managing remapping for misaligned memory componentsPorzio Luca; Di Pasqua Marco; Papa Paolo
11222680Memory plate segmentation to reduce operating powerKim Tae H.; Villa Corrado
11222682Apparatuses and methods for providing refresh addressesEnomoto Honoka; Morohashi Masaru
11222683Apparatuses and methods for staggered timing of targeted refresh  operationsRehmeyer James S.
11222686Apparatuses and methods for controlling refresh timingNoguchi Hidekazu
11222689Multi-phase clock divisionPenney Daniel B.
11222690Vertical 3D single word line gain cell with shared read/write bit lineKarda Kamal M.; Liu Haitao; Sarpatwari Karthik; Ramaswamy Durai Vishak  Nirmal
11222692Reflow protectionJean Sebastien Andre; Luo Ting
11222695Socket design for a memory deviceMajumdar Amitava; Kotti Radhakrishna; Venigalla Rajasekhar
11222699Two-part programming methodsSarin Vishal; Vahidimowlavi Allahyar
11222702Noise reduction during parallel plane access in a multi-plane memory  devicePekny Theodore
11222704Power state aware scan frequencyRayaprolu Vamsi Pavan
11222708Shared error detection and correction memoryShibata Tomoyuki; Kondo Chikara; Tanaka Hiroyuki
11222710Memory dice arrangement based on signal distributionChen Mikai; Zhou Zhenming; Shen Zhenlei; Lang Murong
11222762Fuses, and methods of forming and using fusesRedaelli Andrea; Servalli Giorgio
11222825Integrated circuitry, memory arrays comprising strings of memory cells,  methods used in forming integrated circuitry, and methods used in forming a  memory array comprising strings of memory cellsStaller Corey; Chandolu Anilkumar
11222854Multitier arrangements of integrated devices, and methods of protecting  memory cells during polishingBohra Mihir; Mudgal Tarun
11222868Thermal transfer structures for semiconductor die assembliesSchrock Ed A.
11222874Discontinuous patterned bonds for semiconductor devices and associated  systems and methodsSchellhammer Scott D.; Odnoblyudov Vladimir; Frei Jeremy S.
11222975Memory arrays with vertical transistors and the formation thereofSimsek-Ege Fatma Arzum; Cole Steve V.; Derner Scott J.; Robbs Toby D.
11223014Semiconductor structures including liners comprising alucone and related  methodsSong Zhe; Allen Tuman E.; Franklin Cole S.; Gealy Dan
11223282Power management integrated circuit with bleed circuit controlRowley Matthew David
11226646DC voltage regulators with demand-driven power managementKim Si Hong; Nam Ki-Jun
11226671Power translator componentRowley Matthew D.
11226767Apparatus with access control mechanism and methods for operating the  sameJohnson Bret
11226770Memory protocolWalker Robert M.; Ross Frank F.
11226894Host-based flash memory maintenance techniquesPalmer David Aaron; Gyllenskog Christian M.; Parry Jonathan Scott; Hanna  Stephen
11226896Trim setting determination on a memory deviceThiruvengadam Aswin; Lowrance Daniel L.; Feeley Peter
11226907Host-resident translation layer validity check techniquesPalmer David Aaron
11226920Frame protocol of memory deviceJohnson James Brian; Keeth Brent
11226926Multi-level hierarchical routing matrices for pattern-recognition  processorsNoyes Harold B; Brown David R.
11227641Arithmetic operations in memoryRamesh Vijay S.
11227648Multiple plate line architecture for multideck memory arrayBedeschi Ferdinando
11227649Apparatuses and methods for staggered timing of targeted refresh  operationsMeier Nathaniel J.; Rehmeyer James S.
11227650Delay circuitry with reduced instabilitiesHuang Zhi Qi; Chu Wei Lu; Pan Dong
11227666Track charge loss based on signal and noise characteristics of memory  cells collected in calibration operationsParthasarathy Sivagnanam; Fitzpatrick James; Khayat Patrick Robert;  Alhussien AbdelHakim S.
11227777Sacrificial separators for wafer level encapsulatingKaeding John F.
11227861Integrated assemblies comprising sense-amplifier-circuitry and  wordline-driver-circuitry under memory cells of a memory arrayFujisawa Hiroki; Ingalls Charles L.; Hill Richard J.; Sandhu Gurtej S.;  Derner Scott J.
11227864Storage node after three-node access device formation for vertical three  dimensional (3D) memorySaeedi Vahdat Armin; Smythe, III John A.; Lee Si-Woo; Sandhu Gurtej S.;  Sills Scott E.
11227866Semiconductor device including capacitor and method of forming the sameKaneko Akira
11227869Memory array structures for capacitive sense NAND memoryFukuzumi Yoshiaki; Fujiki Jun; Tanaka Shuji; Yoshida Masashi; Saito  Masanobu; Kamata Yoshihiko
11227972Solid state lighting devices with dielectric insulation and methods of  manufacturingSchellhammer Scott D.
11228443Using memory as a block in a block chainTroia Alberto; Mondello Antonino
11231834Vehicle having an intelligent user interfaceBielby Robert Richard Noel
11231853Memory including search logicPatel Vipul
11231863Block family-based error avoidance for memory devicesSheperek Michael; Muchherla Kishore Kumar; Kaynak Mustafa N.; Rayaprolu  Vamsi Pavan; Liikanen Bruce A.; Feeley Peter; Koudele Larry J.; Nowell Shane;  Kientz Steven Michael
11231870Memory sub-system retirement determinationChen Mikai; Lang Murong; Zhou Zhenming
11231879Dedicated design for testability paths for memory sub-system controllerSpica Michael Richard
11231928Large data read techniquesLiang Qing; Grosz Nadav
11231982Read window sizeHoei Jung Sheng; Feeley Peter Sean; Ratnam Sampath K.; Zildzic Sead;  Muchherla Kishore Kumar
11231995Providing data of a memory system based on an adjustable error rateKaynak Mustafa N.; Koudele Larry J.; Sheperek Michael; Khayat Patrick R.;  Ratnam Sampath K.
11232028Error-checking in namespaces on storage devicesHarris Byron D.; Schuh Karl D.
11232041Memory addressingHaswell Jonathan M.
11232049Memory module with computation capabilityYudanov Dmitri
11232819Biasing electronic components using adjustable circuitryPorter John David; Tatapudi Suryanarayana B.
11232823Full bias sensing in a memory arrayDi Vincenzo Umberto; Bedeschi Ferdinando
11232828Integrated memory assemblies comprising multiple memory array decksDerner Scott J.; Ingalls Charles L.
11232829Apparatuses and methods for sense line architectures for semiconductor  memoriesRobbs Toby D.; Ingalls Charles L.
11232830Auto-precharge for a memory bank stackMazumder Kallol; Gadamsetty Harish V.
11232849Memory device with a repair match mechanism and methods for operating the  sameWieduwilt Christopher G.
11232970Semiconductor device release during pick and place operations, and  associated systems and methodsHooper Andy E.
11233024Methods for forming substrate terminal pads, related terminal pads and  substrates and assemblies incorporating such terminal padsJensen Travis M.
11233034Stacked memory routing techniquesKeeth Brent
11233036Interconnect structure with redundant electrical connectors and  associated systems and methodsChandolu Anilkumar
11233059Construction of integrated circuitry, DRAM circuitry, a method of forming  a conductive line construction, a method of forming memory circuitry, and a  method of forming DRAM circuitrySasaki Takashi
11233179Wavelength converters, including polarization-enhanced carrier capture  converters, for solid state lighting devices, and associated systems and  methodsSchubert Martin F.; Odnoblyudov Vladimir
11233650Verifying identity of a vehicle entering a trust zoneMondello Antonino; Troia Alberto
11233681Multi-level signaling in memory with wide system interfaceHollis Timothy M.; Balb Markus; Ebert Ralf
11237262Systems and methods to use radar in RFID systemsTuttle John R.
11237327Method and structure providing optical isolation of a waveguide on a  silicon-on-insulator substrateMeade Roy E.
11237579Apparatuses and methods for ZQ calibrationHe Yuan; Satoh Yasuo
11237612Charge-sharing capacitive monitoring circuit in a multi-chip package to  control powerParry Jonathan S.; Miller Stephen L.; Yu Liang
11237617Arbitration techniques for managed memoryPalmer David Aaron
11237726Memory system performance enhancements using measured signal and noise  characteristics of memory cellsFitzpatrick James; Parthasarathy Sivagnanam; Khayat Patrick Robert;  Alhussien AbdelHakim S.; Moschiano Violante
11237731Quality of service for memory devices using suspend and resume of program  and erase operationsBert Luca
11237734High throughput DRAM with distributed column accessHe Yuan
11237737SLC cache managementTanpairoj Kulachet; Jean Sebastien Andre; Muchherla Kishore Kumar; Malshe  Ashutosh; Huang Jianmin
11237754Management of erase suspend and resume operations in memory devicesGuda Chandra M.; Rajgopal Suresh
11237755Data erasure in memory sub-systemsBrandt Kevin R; Van Eaton Thomas Cougar
11237808Target architecture determinationLeidel John D.
11237841Configurable media structureBasu Reshmi; Murphy Richard C.
11237901Error correction using hierarchical decodersAmato Paolo; Sforzin Marco
11237906Generating a balanced codeword protected by an error correction codeLaurent Christophe Vincent Antoine
11237953Host device physical address encodingCariello Giuseppe
11237970Reduce data traffic between cache and memory via data access of variable  sizesWallach Steven Jeffrey
11237995Transaction identificationRoss Frank F.; Walker Robert M.
11238006Methods and apparatuses for differential signal terminationKuehlwein Jeremy; King Gregory; Stay Michael
11238098Heterogenous key-value sets in tree databaseBoles David; Groves John M.; Moyer Steven; Tomlinson Alexander
11238248Systems and methods using single antenna for multiple resonant frequency  rangesTuttle John R.
11238903Dynamic allocation of a capacitive component in a memory deviceBadrieh Fuad; Kinsley Thomas H.; Choi Baekkyu
11238907Techniques for precharging a memory cellBedeschi Ferdinando; Di Vincenzo Umberto
11238909Apparatuses and methods for setting operational parameters of a memory  included in a memory module based on location informationDurai Elancheren; Holton Quincy R.
11238913Cell-based reference voltage generationDerner Scott James; Kawamura Christopher John
11238914Apparatuses and methods for compute components formed over an array of  memory cellsZawodny Jason T.
11238917Mode-dependent heating of a memory deviceMayer Peter; Richter Michael Dieter; Brox Martin; Spirkl Wolfgang Anton;  Hein Thomas
11238920Comparison operations in memoryWheeler Kyle B.; Manning Troy A.; Murphy Richard C.
11238937Apparatus for programming memory cells using multi-step programming  pulsesLee Eric N.
11238939Secure erase for data corruptionLuo Ting; Tanpairoj Kulachet; Singidi Harish Reddy; Huang Jianmin;  Thomson Preston Allen; Jean Sebastien Andre
11238940Initialization techniques for memory devicesPollio Antonino; Portacci Giuseppe Vito; Sali Mauro Luigi; Magnavacca  Alessandro
11238945Techniques for programming self-selecting memoryDi Vincenzo Umberto
11238946Apparatus and methods for seeding operations concurrently with data line  set operationsXu Jun; Dong Yingda
11238949Memory devices configured to test data path integrityGrunzke Terry
11238950Reliability health prediction by high-stress seasoning of memory devicesXu Zhongguang; Lang Murong; Zhou Zhenming
11238953Determine bit error count based on signal and noise characteristics  centered at an optimized read voltageKhayat Patrick Robert; Parthasarathy Sivagnanam; Fitzpatrick James
11239095Stacked semiconductor die assemblies with high efficiency thermal paths  and molded underfillHembree David R.; Stephenson William R.
11239117Replacement gate dielectric in three-node access device formation for  vertical three dimensional (3D) memorySaeedi Vahdat Armin; Smythe, III John A.; Lee Si-Woo; Sandhu Gurtej S.;  Sills Scott E.
11239128Microelectronic devices, stacked microelectronic devices, and methods for  manufacturing microelectronic devicesYe Seng Kim Dalson; Chong Chin Hui; Lee Choon Kuan; Lee Wang Lai; Said  Roslan Bin
11239129Package cooling by coil cavityBayless Andrew M.; Huang Wayne H.; Fay Owen R.
11239133Apparatus and method for dissipating heat in multiple semiconductor  device modulesQu Xiaopeng; Arifeen Shams U.
11239169Semiconductor memory stacks connected to processing units and associated  systems and methodsKirby Kyle K.
11239181Integrated assembliesKothari Rohit; Xu Lifang; Li Jian
11239200Methods and systems for improving power delivery and signaling in stacked  semiconductor devicesVeches Anthony D.
11239206Dual sided fan-out package having low warpage across all temperaturesYoo Chan H.; Tuttle Mark E.
11239207Semiconductor die stacks and associated systems and methodsKirby Kyle K.
11239240Methods of forming a semiconductor deviceSimsek-Ege Arzum F.; Yang Guangjun; Wang Kuo-Chen; Akhtar Mohd Kamran;  Koge Katsumi
11239242Integrated assemblies having dielectric regions along conductive  structures, and methods of forming integrated assembliesYang Guangjun; Akhtar Mohd Kamran; Borsari Silvia; Schrinsky Alex J.
11239248Microelectronic devices including stair step structures, and related  electronic devices and methodsXu Lifang; Hopkins John D.; Lindsay Roger W.; Luo Shuangqiang
11239252Integrated structures including material containing silicon, nitrogen,  and at least one of carbon, oxygen, boron and phosphorusDorhout Justin B.; Wang Fei; Carter Chet E.; Laboriante Ian; Hopkins John  D.; Shrotri Kunal; Meyer Ryan; Shamanna Vinayak; Parekh Kunal R.; Roberts  Martin C.; Park Matthew
11239403Light emitting diodes with enhanced thermal sinking and associated  methods of operationTetz Kevin; Watkins Charles M.
11240006Secure communication for a key exchangeMondello Antonino; Troia Alberto
11243513Controlling transport of physical objects based on scanning of encoded  imagesPrincipato Giuseppe
11243554Temperature interpolation techniques for multiple integrated circuit  referencesChakraborty Anupriya; Porter John David; Wilson Alan John
11243596Architecture-based power management for a memory deviceLaurent Christophe Vincent Antoine; Martinelli Andrea; Mirichigni  Graziano
11243602Low power state implementation in a power management circuitRowley Matthew David
11243699System using a restricted operation mode memory indicatorCariello Giuseppe; Parry Jonathan Scott
11243711Controlling firmware storage density based on temperature detectionSato Junichi
11243804Time to live for memory access by processorsEno Justin M.
11243831Reset and replay of memory sub-system controller in a memory sub-systemZhu Jiangli; Tai Ying Yu; Zhu Fangfang; Wang Wei
11243838Methods and apparatuses for error correctionVaranasi Chandra C.
11243889Cache architecture for comparing data on a single pageWalker Robert M.
11243896Multiple pin configurations of memory devicesSato Junichi
11244713Variable page size architectureVilla Corrado
11244715Systems and methods for 1.5 bits per cell charge distributionVimercati Daniele
11244717Write operation techniques for memory systemsLu Zhongyuan; Papagianni Christina; Wang Hongmei; Gleixner Robert J.
11244725Apparatuses and methods of forming apparatuses using a partial  deck-by-deck process flowGoda Akira; Lindsay Roger W.
11244729Search for an optimized read voltageKhayat Patrick Robert; Fitzpatrick James; Alhussien AbdelHakim S.;  Parthasarathy Sivagnanam
11244733Mitigating disturbances of memory cellsVimercati Daniele; Fischer Mark; Johnson Adam D.
11244739Counter-based read in memory deviceDi Vincenzo Umberto; Muzzetto Riccardo; Bedeschi Ferdinando
11244740Adapting an error recovery process in a memory sub-systemXu Zhongguang; Lang Murong; Zhou Zhenming
11244741Selectable fuse sets, and related methods, devices, and systemsWieduwilt Christopher G.; Rehmeyer James S.; Eichmeyer Seth A.
11244855Architecture of three-dimensional memory device and methods regarding the  sameFratin Lorenzo; Varesi Enrico; Fantini Paolo
11244888Memory core chip having TSVsNishioka Naohisa; Narui Seiji
11244903Tungsten structures and methods of forming the structuresGreenlee Jordan D.; Emor Christian George; Rampton Travis; McTeer Everett  Allen; Klein Rita J.
11244942Apparatus comprising antifuse cellsIshii Toshinao; Tanuma Yasuhiko
11244951Memory cellsKarda Kamal M.; Tao Qian; Ramaswamy Durai Vishak Nirmal; Liu Haitao;  Prall Kirk D.; Chavan Ashonita
11244952Array of capacitors, array of memory cells, methods of forming an array  of capacitors, and methods of forming an array of memory cellsChhajed Sameer; Chavan Ashonita A.; Fischer Mark; Ramaswamy Durai Vishak  Nirmal
11244954Integrated assemblies having vertically-spaced channel material segments,  and methods of forming integrated assembliesSurthi Shyam; Resnati Davide; Tessariol Paolo; Hill Richard J.; Hopkins  John D.
11244955Memory arrays and methods used in forming a memory array comprising  strings of memory cellsTessariol Paolo; Dorhout Justin B.; Li Jian; Meyer Ryan L.
11245398Output buffer having supply filtersVimercati Daniele
11245583Determining whether a vehicle should be configured for a different regionTroia Alberto; Mondello Antonino
11245862Anti-eclipse circuitry with tracking of floating diffusion reset levelOlsen Espen A.
11249531Apparatuses and methods for exiting low power states in memory devicesSundaram Rajesh; Low William; Jayachandran Sowmiya
11249679Selecting a write operation mode from multiple write operation modesShen Zhenlei; Zhu Fangfang; Xie Tingjun; Zhu Jiangli
11249723Posit tensor processingRamesh Vijay S.
11249830Detecting page fault trafficPorzio Luca; Orlando Alessandro; Caraccio Danilo; Izzi Roberto
11249847Targeted command/address parity low liftBoehm Aaron P.; Schaefer Scott E.
11249896Logical-to-physical mapping of data groups with data localitySubbarao Sanjay; Lam Johnny A.; Maroney John E.; Ish Mark
11249907Write-back cache policy to limit data transfer time to a memory deviceBrewer Tony M.
11249922Namespace mapping structural adjustment in non-volatile memory devicesFrolikov Alex
11249924Secure data communication with memory sub-systemBavishi Dhawal
11250648Predictive maintenance of automotive transmissionKale Poorna; Bielby Robert Richard Noel
11250889Providing power availability information to memoryMirichigni Graziano; Villa Corrado
11250890Memory with configurable die powerup delayHiscock Dale H.; Kaminski Michael; Alzheimer Joshua E.; Gentry John H.
11250891Validation of DRAM content using internal data signatureGolov Gil
11250900Half density ferroelectric memory and operationDerner Scott J.; Ingalls Charles L.
11250903Apparatus for supplying power supply voltage to semiconductor chip  including volatile memory cellHe Yuan; Kondo Chikara; Toyama Daigo
11250918Preemptive idle time read scansMalshe Ashutosh; Singidi Harish Reddy; Muchherla Kishore Kumar; Miller  Michael G.; Ratnam Sampath; Zhang Xu; Zhou Jie
11250928Test access port architecture to facilitate multiple testing modesSpica Michael Richard
11251096Wafer registration and overlay measurement systems and related methodsMirin Nikolay A.; Dembi Robert; Housley Richard T.; Zhang Xiaosong; Harms  Jonathan D.; Kramer Stephen J.
11251148Semiconductor devices including array power pads, and associated  semiconductor device packages and systemsKimoto Hisamitsu
11251190Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsHopkins John D.; Lomeli Nancy M.
11251261Forming a barrier material on an electrodeKelkar Sanket S; Cheng An-Jen B.; Kim Dojun; Petz Christopher W.;  Rocklein Matthew N.; Kraus Brenda D.
11251363Methods of forming electronic devicesSandhu Gurtej S.; Pandey Sumeet C.
11251369Semiconductor constructionsHopkins John D.
11251516Semiconductor device with tunable antenna using wire bondsLuo Shijian; Fay Owen R.
11251796Phase lock circuitry using frequency detectionTakai Yasuhiro; Kuzmenka Maksim; Balakrishnan Mani; Brox Martin
11252223Adaptive communication interfaceHoffman Jeffrey D.; Bjerke Allan R
11255902Apparatuses for selective TSV block testingIde Akira
11256310Configuration update for a memory device based on a temperature of the  memory deviceFackenthal Richard E.
11256418Logical address history management in memory deviceReche Cory J; Lee Phil W.
11256427Unauthorized memory access mitigationMurphy Richard C.; Swami Shivam; Malihi Naveh; Korzh Anton; Hush Glen E.
11256429Adjustment of a pre-read operation based on an operating temperatureShen Zhenlei E.; Chen Zhengang; Xie Tingjun; Zhu Jiangli
11256437Data migration for memory operationWalker Robert M.; Rosenfeld Paul; La Fratta Patrick A.
11256443Resource allocation in memory systems based on operation modesFrolikov Alex
11256565Transaction metadataMirichigni Graziano; Sforzin Marco; Amato Paolo; Caraccio Danilo
11256566Enhanced bit flipping schemeFackenthal Richard E.
11256570Progressive length error control codePawlowski J. Thomas
11256616Power loss data protection in a memory sub-systemXu Peng; Wu Jiangang; Li Yun
11256617Metadata aware copyback for memory devicesChen Zhengang; Huang Jianmin
11256620Cache management based on memory device over-provisioningBrandt Kevin R.; Feeley Peter; Muchherla Kishore Kumar; Li Yun; Ratnam  Sampath K.; Malshe Ashutosh; Hale Christopher S.; Hubbard Daniel J.
11256624Intelligent content migration with borrowed memoryCurewitz Kenneth Marion; Akel Ameen D.; Bradshaw Samuel E.; Eilert Sean  Stephen; Yudanov Dmitri
11256636Configurable termination circuitryWimmer Robert; Loftsgaarden Taylor; Hsieh Ming-ta
11256778Methods and apparatus for checking the results of characterized memory  searchesHarms Jonathan D.
11257529Apparatuses and methods for DRAM wordline control with reverse  temperature coefficient delayJoo Yangsung; Noguchi Hidekazu
11257532Apparatuses and methods for controlling word line dischargeSuzuki Takamasa
11257535Apparatuses and methods for managing row access countsShore Michael A.; Li Jiyun
11257538Systems and methods for improved reliability of components in dynamic  random access memory (DRAM)Kim Tae H.
11257546Reading of soft bits and hard bits from memory cellsFitzpatrick James; Parthasarathy Sivagnanam; Khayat Patrick Robert;  Alhussien AbdelHakim S.
11257549Sequential voltage control for a memory deviceNam Ki-Jun; Suzuki Takamasa; Ma Yantao; Matsubara Yasushi
11257558Overvoltage protection for circuits of memory devicesChu Wei Lu; Pan Dong
11257564Defect detection for a memory deviceLu Chun Yi
11257565Management of test resources to perform testing of memory components  under different temperature conditionsThiruvengadam Aswin; Parthasarathy Sivagnanam; Scobee Daniel; Jensen  Frederick
11257566Apparatuses and methods for fuse latch redundancyMontierth Dennis G.
11257744Method of forming vias using silicon on insulator substrateMaenosono Toshiyuki; Kikuchi Yuta; Ito Manabu; Saeki Yoshihiro
11257766Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsBenson Russell A.; Colombo Davide; Li Yan; McDaniel Terrence B.; Nair  Vinay; Borsari Silvia
11257792Semiconductor device assemblies with annular interposersKinsley Thomas H.
11257821Digit line and body contact for semiconductor devicesLee Si-Woo
11257834Microelectronic devices including corrosion containment features, and  related electronic systems and methodsLuo Shuangqiang; Chary Indra V.
11257838Thickened sidewall dielectric for memory cellWeimer Ronald A.; Min Kyu S.; Graettinger Thomas M.; Ramaswamy Durai  Vishak Nirmal
11257839Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsHopkins John D.
11257962Transistors comprising an electrolyte, semiconductor devices, electronic  systems, and related methodsGao Yunfei; Karda Kamal M.; Kramer Stephen J.; Sandhu Gurtej S.; Pandey  Sumeet C.; Liu Haitao
11258473Self interference noise cancellation to support multiple frequency bands  with neural networks or recurrent neural networksLuo Fa-Long
11258600Secure communication in accessing a networkMondello Antonino; Troia Alberto
11262780Back-bias optimizationBrox Martin; Sugimoto Satoru; Cabrera Bernal Elena; Pottgiesser Jan;  Piatkowski Sven
11262783Systems and methods for initializing bandgap circuitsChu Wei Lu
11262937Balancing data for storage in a memory deviceLaurent Christophe Vincent Antoine; Martinelli Andrea; Sforzin Marco;  Amato Paolo
11262941Apparatuses and methods including memory commands for semiconductor  memoriesKim Kang-Yong; Lee Hyun Yoo; Porter John D.
11262946Cache-based memory read commandsBavishi Dhawal; La Fratta Patrick A.
11262951Memory characteristic based access commandsSun Honglin
11263010Bit string lookup data structureRamesh Vijay S.
11263078Apparatuses, systems, and methods for error correctionFujiwara Yoshinori; Kotti Vivek; Wieduwilt Christopher G.; Johnson Jason  M.; Werhane Kevin G.
11263123Apparatuses and methods for memory device as a store for program  instructionsZawodny Jason T.; Wheeler Kyle B.; Murphy Richard C.
11263124Host-resident translation layer validity checkPalmer David Aaron
11263134Block family combination and voltage bin selectionSheperek Michael; Koudele Larry J.; Kaynak Mustafa N.; Nowell Shane
11263142Servicing memory high priority read requestsFisher Ryan G.
11263154Block or page lock features in serial interface memoryPekny Theodore T.
11263156Memory component with a virtualized bus and internal logic to perform a  machine learning operationKale Poorna; Gattani Amit
11263308Run-time code execution validationMondello Antonino; Troia Alberto
11264068Apparatuses and methods for semiconductor devices including clock signal  linesYatsushiro Ryosuke; Narui Seiji
11264069Apparatus with a calibration mechanismJohnson Jason M.; Choi Jung-Hwa
11264074Time-based access of a memory cellDi Vincenzo Umberto
11264078Metastable resistant latchPenney Daniel B.; Waldrop William C.
11264079Apparatuses and methods for row hammer based cache lockdownRoberts David A.
11264096Apparatuses, systems, and methods for a content addressable memory cell  with latch and comparator circuitsSchreck John; Penney Dan
11264099Apparatuses and methods for automated dynamic word line start voltageSrinivasan Dheeraj; Tsai Jeffrey M.; Mohammadzadeh Ali; Grunzke Terry M.
11264112Trim setting determination for a memory deviceThiruvengadam Aswin; Lowrance Daniel L.; Feeley Peter
11264116Memory sub-system with background scan and histogram statisticsCadloni Gerald L.; Liikanen Bruce A.
11264275Integrated assemblies and methods of forming integrated assembliesHopkins John D.; Xu Lifang; Lomeli Nancy M.
11264320Integrated assembliesEppich Anton P.
11264332Interposers for microelectronic devicesFay Owen; Yoo Chan H.
11264349Semiconductor die with capillary flow structures for direct chip  attachmentLee Jungbae
11264360Signal delivery in stacked deviceKeeth Brent; Hiatt Mark; Lee Terry R.; Tuttle Mark; Advani Rahul; Schreck  John F.
11264377Devices including control logic structures, and related methodsBeigel Kurt D.; Sills Scott E.
11264388Microelectronic devices including decoupling capacitors, and related  apparatuses, electronic systems, and methodsWang Chao Wen
11264394Integrated components which have both horizontally-oriented transistors  and vertically-oriented transistorsDerner Scott J.; Ingalls Charles L.
11264395Vertical transistor, integrated circuitry, method of forming a vertical  transistor, and method of forming integrated circuitryLiu Hung-Wei; Antonov Vassil N.; Chavan Ashonita A.; Fan Darwin Franseda;  Hull Jeffery B.; Khandekar Anish A.; Laskar Masihhur R.; Liao Albert; Lin  Xue-Feng; Nahar Manuj; Vasilyeva Irina V.
11264404Microelectronic devices including a varying tier pitch, and related  electronic systems and methodsLiu Yifen; Ghilardi Tecla; Matamis George; Shepherdson Justin D.; Lomeli  Nancy M.; Carter Chet E.; Byers Erik R.
11264472Memory configurationsBhattacharyya Arup
11264568Textured memory cell structuresRedaelli Andrea; Boniardi Mattia; Varesi Enrico; Calarco Raffaella;  Boschker Jos E.
11269397Power configuration component including selectable configuration profiles  corresponding to operating power characteristics of the power configuration  componentRowley Matthew D.; Hieb Adam J.
11269515Secure authentication for debugging data transferred over a system  management busMendes Joe; Guda Chandra M.; Gaskill Steven
11269545NAND logical-to-physical table region trackingYuen Eric Kwok Fung; Ferrari Giuseppe; Iaculo Massimo; Drissi Lalla  Fatima; Duan Xinghui; D'Eliseo Giuseppe
11269552Multi-pass data programming in a memory sub-system having multiple dies  and planesSubbarao Sanjay; Williams Steven S.; Ish Mark; Maroney John Edward
11269553Adjusting scan event thresholds to mitigate memory errorsAlsasua Gianni Stephen; Singidi Harish Reddy; Feeley Peter Sean; Malshe  Ashutosh; Padilla, Jr. Renato; Muchherla Kishore Kumar; Ratnam Sampath
11269648Apparatuses and methods for ordering bits in a memory deviceHush Glen E.; Boehm Aaron P.; Luo Fa-Long
11269661Providing, in a configuration packet, data indicative of data flows in a  processor with a data flow managerChritz Jeremy; Schmitz Tamara; Luo Fa-Long; Hulton David
11269707Real-time trigger to dump an error logLiang Qing; Parry Jonathan Scott
11269708Real-time trigger to dump an error logSassara Alberto; Francesco Basso; Attanasio Crescenzo; Iaculo Massimo
11269778Mapping supporting non-sequential writes at sequentially-written memory  devicesKanteti Kumar VKH
11269780Mapping non-typed memory access to typed memory accessCurewitz Kenneth Marion; Eilert Sean S.; Wang Hongyu; Bradshaw Samuel E.;  Gunasekaran Shivasankar; Eno Justin M.; Swami Shivam
11270740Sense amplifier schemes for accessing memory cellsNagata Kyoichi
11270746Word line driver circuitry, and associated methods, devices, and systemsKim Tae H.
11270750Semiconductor device performing row hammer refresh operationIshikawa Toru; Nakanishi Takuya; Bessho Shinji
11270754Apparatuses and methods for dynamic voltage and frequency switching for  dynamic random access memoryGans Dean
11270756Row hammer mitigationHush Glen E.; Murphy Richard C.; Sun Honglin
11270757Semiconductor device with word line degradation monitor and associated  methods and systemsGhosh Gitanjali T.; Bell Debra M.; Subramaniam Arunmozhi R.; Baghi Roya;  Umesh Deepika Thumsi; Ng Sue-Fern
11270758Apparatuses, systems, and methods for system on chip replacement modeKitagawa Katsuhiro; Morishita Yoshihito; Toyama Daigo; Suzuki Takamasa
11270772Voltage offset bin selection by die group for memory devicesRayaprolu Vamsi Pavan; Kaynak Mustafa N.; Sheperek Michael; Koudele Larry  J.; Nowell Shane
11270774Apparatus for calibrating sensing of memory cell data statesValeri Gianfranco; Moschiano Violante; Di-Francesco Walter
11270909Apparatus with species on or in conductive material on elongate linesSandhu Gurtej S.; Milojevic Marko; Smythe John A.; Quick Timothy A.;  Pandey Sumeet C.
11270924Heat spreaders for multiple semiconductor device modulesQu Xiaopeng
11270985Solid state lighting device with different illumination parameters at  different regions of an emitter arrayXin Zhang
11271002Methods used in forming a memory array comprising strings of memory cellsBarclay M. Jared; Carlson Merri L.; Keshav Saurabh; Matamis George; Moon  Young Joon; Parekh Kunal R.; Tessariol Paolo; Shamanna Vinayak
11271006Methods of forming charge-blocking material, and integrated assemblies  having charge-blocking materialCheung Pei Qiong; Xu Zhixin; Fang Yuan
11271153Self-selecting memory cell with dielectric barrierFratin Lorenzo; Pellizzer Fabio
11271720Validating data stored in memory using cryptographic hashesTroia Alberto; Mondello Antonino
11271721Distributed secure array using intra-dice communications to perform data  attestationTroia Alberto; Mondello Antonino
11271731Single-use password generationRuane James; Strong Robert W.
11271735Apparatuses, systems, and methods for updating hash keys in a memoryAyyapureddi Sujeet
11271755Verifying vehicular identityMondello Antonino; Troia Alberto
11274977Semiconductor device including sensorFurutani Kiyohiro
11275111Plurality of edge through-silicon vias and related systems, methods, and  devicesNishioka Naohisa
11275508Host controlled enablement of automatic background operations in a memory  deviceFalanga Francesco; Caraccio Danilo
11275512Asynchronous power loss impacted data structureLuo Xiangang; Luo Ting; Huang Jianmin
11275515Descrambling of scrambled linear codewords using non-linear scramblersKhayat Patrick Robert; Parthasarathy Sivagnanam; Kaynak Mustafa N.
11275520Media type selection using a processor in memoryChristensen Carla L.; Hosseinimakarem Zahra; Chhabra Bhumika
11275521Image data based media type selection based on a first identified  attribute of the initial image dataChristensen Carla L.; Hosseinimakarem Zahra; Chhabra Bhumika
11275523Per cursor logical unit number sequencingBoals Daniel A.; Schuh Karl D.; Harris Byron D.
11275562Bit string accumulationRamesh Vijay S.; Park Katie Blomster
11275581Expended memory componentRamesh Vijay S.
11275587Static identifications in object-based memory accessWallach Steven Jeffrey
11275650Systems and methods for performing a write pattern in memory devicesHowe Gary L.
11275679Separate cores for media management of a memory sub-systemBianco Antonio David; Traver John Paul
11275680Profile and queue-based wear leveling of memory devicesBasu Reshmi; Maes, II Richard Donald; Park Katie Blomster; Pintar Robert  J.; Johnson Gary A.
11275687Memory cache management based on storage capacity for parallel  independent threadsBert Luca
11275696Isolated performance domains in a memory systemRay Anirban; Maharana Parag R.
11275710Loop thread order execution control of a multi-threaded, self-scheduling  reconfigurable computing fabricBrewer Tony M.
11276340Intelligent adjustment of screen refresh rateRanjan Ashish; Wantulok Carly M.; Trivedi Prateek; Christensen Carla L.;  Huang Jun; Trivedi Avani F.
11276437Interconnections for 3D memoryTanzawa Toru
11276439Apparatuses and methods for performing logical operations using sensing  circuitryManning Troy A.
11276442Apparatuses and methods for clock leveling in semiconductor memoriesIto Koji; Tada Keisuke; Sakashita Mototada
11276443Offset cancellationBrox Martin; Spirkl Wolfgang Anton; Hein Thomas; Richter Michael Dieter;  Mayer Peter
11276448Memory array with multiplexed select lines and two transistor memory  cellsVimercati Daniele
11276449Memory arrays, ferroelectric transistors, and methods of reading and  writing relative to memory cells of memory arraysRamaswamy Durai Vishak Nirmal; Kinney Wayne
11276450Refresh circuitryHedden Richard N.
11276454Memory with partial array refreshHiscock Dale H.; Bell Debra M.; Kaminski Michael; Alzheimer Joshua E.;  Veches Anthony D.; Rehmeyer James S.
11276455Systems and methods for memory device power offSuzuki Takamasa; Matsubara Yasushi; Porter John D.; Nam Ki-Jun
11276456Systems and methods for capture and replacement of hammered word line  addressLee Joo-Sang; Riley John E.
11276457Processing in memoryLea Perry V.; Finkbeiner Timothy P.
11276461Programming multi-level memory cellsCariello Giuseppe; Oh Jonathan W.; Rori Fulvio
11276463Matching patterns in memory arraysYudanov Dmitri
11276468High-speed efficient level shifterAyyapureddi Sujeet
11276470Bitline driver isolation from page buffer circuitry in memory deviceMoschiano Violante; Srinivasan Dheeraj; D'Alessandro Andrea
11276473Coarse calibration based on signal and noise characteristics of memory  cells collected in prior calibration operationsKhayat Patrick Robert; Fitzpatrick James; Alhussien AbdelHakim S.;  Parthasarathy Sivagnanam
11276476Common-mode comparison based fuse-readout circuitPan Dong
11276613Methods of forming semiconductor structures comprising thin film  transistors including oxide semiconductorsTorek Kevin J.
11276658Devices with three-dimensional structures and support elements to  increase adhesion to substratesGambee Christopher J.; Doan Nhi; Tiwari Chandra S.; Fay Owen R.; Chen  Ying
11276659Methods for forming elements for microelectronic components, related  conductive elements, and microelectronic components, assemblies and  electronic systems incorporating such conductive elementsArifeen Shams U.; Glancey Christopher; Sinha Koustav
11276679Semiconductor device and method of forming the sameSakogawa Yasuyuki
11276701Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsHowder Collin; Carter Chet E.
11276731Access line formation for a memory arrayRedaelli Andrea; Conti Anna Maria
11276733Arrays of memory cells and methods of forming an array of vertically  stacked tiers of memory cellsLiu Zengtao T.
11277149Bit string compressionRamesh Vijay S.
11281392Garbage collection in a memory component using an adjusted parameterHuang Jianmin; Limaye Aparna U.; Trivedi Avani F.; Iwasaki Tomoko Ogura;  Evans Tracy D.
11281400Temperature-based storage system organizationCariello Giuseppe
11281401Controlled heating of a memory deviceMayer Peter; Richter Michael Dieter; Brox Martin; Spirkl Wolfgang Anton;  Hein Thomas
11281501Determination of workload distribution across processors in a memory  systemFrolikov Alex
11281529Error detection code generation techniquesJovanovic Natalija; Dietrich Stefan
11281533Hybrid iterative error correcting and redundancy decoding operations for  memory sub-systemsTai Ying Yu; Zhu Jiangli; Chen Zhengang
11281578Garbage collection in a memory sub-system during a low battery stateLimaye Aparna U.; Evans Tracy D.; Iwasaki Tomoko Ogura; Trivedi Avani F.;  Huang Jianmin
11281585Forward caching memory systems and methodsTrout Harold Robert George
11281589Asynchronous forward caching memory systems and methodsTrout Harold Robert George
11281604Multiple memory type shared memory bus systems and methodsRoberts David Andrew; Pawlowski Joseph Thomas; Cooper-Balis Elliott
11281608Translation system for finer grain memory architecturesKeeth Brent; Murphy Richard C.; Cooper-Balis Elliott C.
11282548Integrated assemblies and methods forming integrated assembliesLee Che-Chi; McDaniel Terrence B.; Zhang Kehao; Chan Albert P.; Jacob  Clement; Fumagalli Luca; Nair Vinay
11282553Data strobe calibrationGiaccio Claudio; Pascale Ferdinando; Di Martino Erminio; Mastrangelo  Raffaele; D'Alessandro Ferdinando; Castaldo Andrea; Castellano Cristiano
11282556Apparatuses and methods involving accessing distributed sub-blocks of  memory cellsTanzawa Toru
11282557Magnetic cache for a memory deviceYudanov Dmitri A.
11282560Temperature-based access timing for a memory deviceWong Victor; Kim Sihong; Akamatsu Hiroshi; Vimercati Daniele; Porter John  D.
11282562Refresh-related activation improvementsKaminski Stephen Michael; Veches Anthony D.; Rehmeyer James S.; Bell  Debra M.; Hiscock Dale Herber; Alzheimer Joshua E.
11282563Apparatuses and methods for operations in a self-refresh stateLea Perry V.; Hush Glen E.
11282564Selective wordline scans based on a data state metricRayaprolu Vamsi Pavan; Muchherla Kishore Kumar; Singidi Harish R.; Malshe  Ashutosh; Alsasua Gianni S.
11282566Apparatuses and methods for delay controlSatoh Yasuo
11282567Sequential SLC read optimizationIwasaki Tomoko Ogura; Evans Tracy D.; Trivedi Avani F.; Limaye Aparna U.;  Huang Jianmin
11282569Apparatus with latch balancing mechanism and methods for operating the  sameHe Yuan; Akamatsu Hiroshi
11282571Auto-referenced memory cell read techniquesMirichigni Graziano; Sforzin Marco; Orlando Alessandro
11282574Auto-referenced memory cell read techniquesMirichigni Graziano; Amato Paolo; Pio Federico; Orlando Alessandro;  Sforzin Marco
11282577Detecting failure of a thermal sensor in a memory deviceBueb Christopher J.; Ramamoorthy Aravind
11282582Short program verify recovery with reduced programming disturbance in a  memory sub-systemChen Hong-Yan; Dong Yingda
11282741Methods of forming a semiconductor device using block copolymer materialsMillward Dan B.; Quick Timothy A.
11282746Method of manufacturing microelectronic devices, related tools and  apparatusUpadhyayula Suresh K.; Lim Thiam Chye
11282747Methods of forming microelectronic devices, and related microelectronic  devices, and electronic systemsZhao Bo; Lomeli Nancy M.; Xu Lifang; Olson Adam L.
11282811Integrated circuit wire bonded to a multi-layer substrate having an open  area that exposes wire bond pads at a surface of the inner layerBoo Kelvin Tan Aik; Chong Chin Hui; Ye Seng Kim; Ng Hong Wan; Takiar Hem  P.
11282814Semiconductor device assemblies including stacked individual modulesThurgood Blaine J.
11282815Methods of forming microelectronic devices, and related microelectronic  devices and electronic systemsParekh Kunal R.; Tessariol Paolo; Goda Akira
11282845Semiconductor devices comprising carbon-doped silicon nitride and related  methodsFang Jun; Wang Fei; Rathod Saniya; Narulkar Rutuparna; Park Matthew; King  Matthew J.
11282847Methods used in forming a memory array comprising strings of memory cellsHopkins John D.; Scarbrough Alyssa N.
11282894Cross-point memory with self-defined memory elementsLiu Jun
11282895Split pillar architectures for memory devicesFantini Paolo; Pellizzer Fabio; Fratin Lorenzo
11284394Wireless devices and systems including examples of configuration modes  for baseband units and remote radio headsLuo Fa-Long; Cummins Jaime; Schmitz Tamara; Chritz Jeremy
11285918Secure access to vehicle using biometric identifierChhabra Bhumika; Wale Madison E.; Delaney Claudia A.; de la Garza  Villarreal Elsie
11287986Reset interception to avoid data loss in storage device resetsPalmer David Aaron
11287987Coherency locking schemesLi Yun; Traver John
11287990Solid state storage device with quick boot from NAND mediaLiang Qing; He Deping
11287998Read count scaling factor for data integrity scanMuchherla Kishore Kumar; Malshe Ashutosh; Rayaprolu Vamsi Pavan; Singidi  Harish R.; Alsasua Gianni S.
11288009Read sample offset bit determination using most probably decoder logic in  a memory sub-systemSheperek Michael; Liikanen Bruce A.
11288013Hardware based status collector acceleration engine for memory sub-system  operationsZhu Fangfang; Zhu Jiangli; Tai Ying Yu; Wang Wei
11288016Managed NAND data compressionJean Sebastien Andre
11288074Loop execution control for a multi-threaded, self-scheduling  reconfigurable computing fabric using a reenter queueBrewer Tony M.
11288116End of service life of data storage devicesFrolikov Alex
11288118Erroneous bit discovery in memory systemPawlowski Joseph Thomas
11288149Flash memory block retirement policySingidi Harish Reddy; Cariello Giuseppe; He Deping; Stoller Scott  Anthony; Batutis Devin; Thomson Preston Allen
11288160Threshold voltage distribution adjustment for bufferMcNeil, Jr. Jeffrey S.; Righetti Niccolo′; Muchherla Kishore  K.; Goda Akira; Marquart Todd A.; Helm Mark A.; Golov Gil; Binfet Jeremy;  Miccoli Carmine; Puzzilli Giuseppina
11288180Management of storage resources allocated from non-volatile memory  devices to usersFrolikov Alex
11288198Effective avoidance of line cache missesWei Meng; Zhang Shi Bo; Xiong Tao
11288199Separate read-only cache and write-read cache in a memory sub-systemBavishi Dhawal
11288214Command selection policyLa Fratta Patrick A.; Walker Robert M.
11289135Precharge timing controlNakazawa Shigeyuki
11289137Multi-port storage-class memory interfacePawlowski Joseph Thomas
11289146Word line timing managementDi Vincenzo Umberto; Bedeschi Ferdinando; Muzzetto Riccardo
11289147Sensing techniques for a memory cellDi Vincenzo Umberto; Bolandrina Efrem; Muzzetto Riccardo; Bedeschi  Ferdinando
11289151Cross-coupled transistor threshold voltage mismatch compensation and  related devices, systems, and methodsNagata Kyoichi
11289163Multi-decks memory device including inter-deck switchesLi Benben; Goda Akira; Abdelrahaman Ramey M.; Laboriante Ian C.; Parat  Krishna K.
11289166Acceleration of data queries in memoryHelm Mark A.; Pawlowski Joseph T.
11289360Methods and apparatus for protection of dielectric films during  microelectronic component processingBayless Andrew M.; Wirz Brandon P.; Zhou Wei
11289440Combination-bonded die pair packaging and associated systems and methodsKirby Kyle K.; Street Bret K.
11289487Doped titanium nitride materials for DRAM capacitors, and related  semiconductor devices, systems, and methodsRocklein Matthew N.; Paduano Paul A.; Kelkar Sanket S.; Petz Christopher  W.; Song Zhe; Antonov Vassil; Tao Qian
11289491Epitaxtal single crystalline silicon growth for a horizontal access  deviceSaeedi Vahdat Armin; Sandhu Gurtej S.; Sills Scott E.; Lee Si-Woo;  Smythe, III John A.
11289501Integrated assemblies having vertically-extending channel material with  alternating regions of different dopant distributions, and methods of forming  integrated assembliesSurthi Shyam; Kim Byeung Chul; Hill Richard J.; Fabreguette Francois H.;  Sandhu Gurtej S.
11289611Three dimensional memoryLu Zhenyu; Zhu Hongbin; Haller Gordon A.; Lindsay Roger W.; Bicksler  Andrew; Cleereman Brian J.; Lee Minsoo
11290103Charge transfer between gate terminals of subthreshold current reduction  circuit transistors and related apparatuses and methodsAkamatsu Hiroshi; He Yuan; Ishikawa Toru
11293962Capacitive voltage divider for monitoring multiple memory componentsRowley Matthew D.
11294265Control of display device for autonomous vehicleSato Junichi
11294574Recycled version number values in flash memoryWong Wanmo
11294582Customer-specific activation of functionality in a semiconductor deviceDover Lance W.
11294585Sequential data optimized sub-regions in storage devicesPalmer David Aaron; Manion Sean L.; Parry Jonathan Scott; Hanna Stephen;  Liang Qing; Grosz Nadav; Gyllenskog Christian M.; Tanpairoj Kulachet
11294738Efficient operations of components in a wireless communications deviceHong Danfeng; Guterman Jose; Hills Chris
11294750Media management logger for a memory sub-systemZhu Fangfang; Tai Ying Yu; Zhu Jiangli; Wang Wei
11294762Error correction in row hammer mitigation and target row refreshRooney Randall J.; Prather Matthew A.
11294766Coordinated error correctionSchaefer Scott E.; Boehm Aaron P.
11294767Deferred error-correction parity calculationsPalmer David Aaron
11294808Adaptive cacheRoberts David Andrew; Pawlowski Joseph Thomas
11294820Management of programming mode transitions to accommodate a constant size  of data transfer between a host system and a memory sub-systemSubbarao Sanjay; Fitzpatrick James
11294836Methods for performing multiple memory operations in response to a single  command and memory devices and systems employing the samePrather Matthew A.; Ross Frank F.; Rooney Randall J.
11294838Signaling mechanism for bus inversionHanna Stephen D.; Parry Jonathan S.
11294848Initialization sequencing of chiplet I/O channels within a chiplet systemWalker Dean E.; Brewer Tony
11295209Analysis of memory sub-systems based on threshold distributionsThiruvengadam Aswin; Lowrance Daniel L.; Phelps Joshua; Harrington Peter  B.
11295793System-level timing budget improvementsKim Kang-Yong
11295797Techniques to mitigate asymmetric long delay stressVisconti Angelo
11295800Methods for adjusting row hammer refresh rates and related memory devices  and systemsLee Joo-Sang
11295806Large file integrity techniquesPalmer David Aaron
11295807Volatile memory device with 3-D structure including memory cells having  transistors vertically stacked one over anotherKoya Yoshihito
11295809Programming memory cells where higher levels are programmed prior to  lower levelsLee Changhyun; Goda Akira; Filipiak William C.
11295811Increase of a sense current in memoryLu Zhongyuan; Gleixner Robert J.; Sarpatwari Karthik
11295812Memory devices and memory operational methodsOtsuka Wataru; Kunihiro Takafumi; Tsushima Tomohito; Kitagawa Makoto;  Sumino Jun
11295814Architecture for fast content addressable memory searchOgura Iwasaki Tomoko; Advani Manik
11295820Regulation of voltage generation systemsTripathi Manan; Piccardi Michele; Guo Xiaojiang
11295822Multi-state programming of memory cellsSarpatwari Karthik; Gajera Nevil N.
11295832Plate defect mitigation techniquesLovett Simon J.; Fackenthal Richard E.
11296047Wiring with external terminalOta Ken
11296094Memory device having shared access line for 2-transistor vertical memory  cellKarda Kamal M.; Sarpatwari Karthik; Ramaswamy Durai Vishak Nirmal; Liu  Haitao
112960973D vertical NAND memory device including multiple select lines and  control lines having different vertical spacingSakui Koji
11296103Integrated assemblies and methods of forming integrated assembliesSurthi Shyam; Shrotri Kunal; Thorum Matthew
11296693Apparatuses and methods for compensating for crosstalk noise at input  receiver circuitsSreeramaneni Raghukiran; Penney Daniel B.
11296729Data reliability for extreme temperature usage conditions in data storageKale Poorna; Bueb Christopher Joseph
11296872Delegation of cryptographic key to a memory sub-systemRuane James; Strong Robert W.
11296995Reduced sized encoding of packet length fieldBrewer Tony
11297042Secure message including a vehicle private keyTroia Alberto; Mondello Antonino
11301132Scheduling media management operations based on provided host system  usage requirementsKale Poorna; Sahoo Ashok
11301143Selective accelerated sampling of failure-sensitive memory pagesMuchherla Kishore Kumar; Besinga Gary F.; Steinmetz Cory M.; Seetamraju  Pushpa; Wu Jiangang; Ratnam Sampath K.; Feeley Peter
11301146Storing page write attributesRayaprolu Vamsi Pavan; Ratnam Sampath K.; Muchherla Kishore Kumar;  Singidi Harish R.; Malshe Ashutosh; Alsasua Gianni S.
11301260Configurable option ROMDuncan Kevin R.
11301320Erasure decoding for a memory deviceFackenthal Richard E.; Visconti Angelo
11301346Separate trims for buffer and snapshotMarquart Todd A.; Righetti Niccolo′; McNeil, Jr. Jeffrey S.;  Goda Akira; Muchherla Kishore K.; Helm Mark A.; Golov Gil; Binfet Jeremy;  Miccoli Carmine; Puzzilli Giuseppina
11301372Host side memory address managementHuo Binbin
11301380Sector-based tracking for a page cacheWalker Robert M.; Narsale Ashay
11301381Power loss protection in memory sub-systemsKowles Andrew M.
11301382Write data for bin resynchronization after power lossSheperek Michael; Liikanen Bruce A.; Kientz Steven Michael
11301383Managing processing of memory commands in a memory subsystem with a high  latency backing storeLa Fratta Patrick A.; Dirik Cagdas; Isenegger Laurent; Walker Robert M.
11301390Elastic buffer in a memory sub-system for debugging informationMendes Joe; Guda Chandra; Gaskill Steven
11301391Access unit and management segment memory operationsMcGlaughlin Edward C.; Lucas Gary J.; Jeddeloh Joseph M.
11301401Ball grid array storage for a memory sub-systemRajgopal Suresh; Fleischer Balint
11301403Command bus in memoryRoss Frank F.; Prather Matthew A.
11302374Apparatuses and methods for dynamic refresh allocationJenkinson Matthew D.; Meier Nathaniel J.; Montierth Dennis G.
11302375Performing an on demand refresh operation of a memory sub-systemBrady Michael T.
11302376Systems and methods for memory refreshLee Joo-Sang; Brown David R.
11302377Apparatuses and methods for dynamic targeted refresh stealsLi Liang; Zhang Yu; He Yuan
11302381Sub word line driverKim Tae H.; Van Leeuwen Brenton P.
11302382Apparatuses and methods for controlling driving signals in semiconductor  devicesSuzuki Takamasa; Yamamoto Nobuo
11302386Distributed bias generation for an input bufferWu Xinyu; Pan Dong
11302387Input/output capacitance measurement, and related methods, devices, and  systemsLee Hyunui
11302390Reading a multi-level memory cellRobustelli Mattia; Pellizzer Fabio; Tortorelli Innocenzo; Pirovano  Agostino
11302391System and method for reading memory cellsDi Vincenzo Umberto; Muzzetto Riccardo; Bedeschi Ferdinando
11302393Techniques for programming a memory cellCastro Hernan A.; Tortorelli Innocenzo; Pirovano Agostino; Pellizzer  Fabio
11302395Apparatus having transistors with raised extension regionsLiu Haitao
11302397Memory block select circuitry including voltage bootstrapping controlYip Aaron
11302407Memory proximity disturb managementMcVay Jeffrey L.; Bradshaw Samuel E.; Eno Justin
11302410Zone swapping for wear leveling memoryPawlowski Joseph T.
11302589Electron beam probing techniques and related structuresMajumdar Amitava; Kotti Radhakrishna; Rajashekharaiah Mallesh
11302628Integrated assemblies having conductive-shield-structures between  linear-conductive-structuresKaushik Naveen; Kamata Yoshihiko; Hill Richard J.; Ritter Kyle A.;  Iwasaki Tomoko Ogura; Liu Haitao
11302634Microelectronic devices with symmetrically distributed staircase stadiums  and related systems and methodsXu Lifang; Li Jian; Wolstenholme Graham R.; Tessariol Paolo; Matamis  George; Lomeli Nancy M.
11302653Die features for self-alignment during die bondingStreet Bret K.; Zhou Wei; Gambee Christopher J.; Hacker Jonathan S.; Luo  Shijian
11302703Apparatuses having memory cells with two transistors and one capacitor,  and having body regions of the transistors coupled with reference voltagesKarda Kamal M.; Mouli Chandra; Pulugurtha Srinivas; Gupta Rajesh N.
11302707Integrated assemblies comprising conductive levels having two different  metal-containing structures laterally adjacent one another, and methods of  forming integrated assembliesHopkins John D.; Greenlee Jordan D.
11302708Memory arrays, and methods of forming memory arraysKim Changhan; Carter Chet E.; Smith Cole; Howder Collin; Hill Richard J.;  Li Jie
11302710Foundational supports within integrated assembliesClampitt Darwin A.; King Matthew J.; Hopkins John D.; Barclay M. Jared
11302712Integrated circuitry, memory arrays comprising strings of memory cells,  methods used in forming integrated circuitry, and methods used in forming a  memory array comprising strings of memory cellsTiwari Chandra; Jhothiraman Jivaan Kishore
11302748Arrays of memory cells and methods of forming an array of  elevationally-outer-tier memory cells and elevationally-inner-tier memory  cellsConti Anna Maria; Pirovano Agostino; Redaelli Andrea
11303274Sub-threshold current reduction circuit switches and related apparatuses  and methodsTakashima Go
11303721Memory device with a multi-mode communication mechanismRowley Matthew D.; Bauer Mark
11307632Power disable of memory sub-systemKarthikeyan Manohar; Partou Mehdi
11307771Configurable link interfaces for a memory deviceTatapudi Suryanarayana B.; Porter John David; Kim Jaeil; Kim Mijo
11307782Host identification and verification system and method for a memory  systemLiang Qing; Huang Jun
11307799Managing threshold voltage drift based on operating characteristics of a  memory sub-systemLang Murong; Zhou Zhenming
11307861Securing conditional speculative instruction executionWallach Steven Jeffrey
11307929Memory device with status feedback for error correctionSchaefer Scott E.; Boehm Aaron P.
11307931Using zones to manage capacity reduction due to storage device failureBert Luca
11307951Memory device with configurable performance and defectivity managementHuang Jianmin; Luo Xiangang; Tanpairoj Kulachet
11307983Maintaining data consistency in a memory subsystem that uses hybrid wear  leveling operationsChen Ning; Zhu Jiangli; Tai Ying Yu
11308017Reconfigurable channel interfaces for memory devicesRichter Michael Dieter
11309001Apparatuses and methods for setting a duty cycle adjuster for improving  clock duty cycleKim Kang-Yong
11309010Apparatuses, systems, and methods for memory directed access pauseAyyapureddi Sujeet
11309012Apparatuses and methods for staggered timing of targeted refresh  operationsMeier Nathaniel J.; Rehmeyer James S.
11309020Dragging first pass read level thresholds based on changes in second pass  read level thresholdsSheperek Michael; Koudele Larry J.; Liikanen Bruce A.
11309021Systems and methods for stabilizing cell threshold voltageAmato Paolo; Sforzin Marco
11309023Memory cycling tracking for threshold voltage variation systems and  methodsGiduturi Hari
11309024Memory cell programming that cancels threshold voltage driftGiduturi Hari
11309039Apparatus for determining a pass voltage of a read operationMoschiano Violante; Ghilardi Tecla; Vali Tommaso; Camerlenghi Emilio;  Filipiak William C.; D'Alessandro Andrea
11309040Managed NAND performance throttlingBlodgett Greg A.; Jean Sebastien Andre
11309045Method and device for self trimming memory devicesMondello Antonino; Troia Alberto
11309047Test circuit using clock signals having mutually different frequencyUemura Yutaka
11309049Direct memory access using JTAG cell addressingTroia Alberto; Mondello Antonino
11309052Read voltage calibration for copyback operationMuchherla Kishore K.; Righetti Niccolo'; McNeil, Jr. Jeffrey S.; Goda  Akira; Marquart Todd A.; Helm Mark A.; Golov Gil; Binfet Jeremy; Miccoli  Carmine; Puzzilli Giuseppina
11309055Power loss test engine device and methodGiaccio Claudio; Pascale Ferdinando; Mastrangelo Raffaele; Di Martino  Erminio; D'Alessandro Ferdinando; Castellano Cristiano; Castaldo Andrea
11309057Apparatuses and methods for post-package repair protectionNakamura Takaaki
11309281Overlapping die stacks for NAND package architectureTai Enyong; Takiar Hem P.; Wang Li; Ng Hong Wan
11309285Three-dimensional stacking semiconductor assemblies and methods of  manufacturing the sameFay Owen R.; Yoo Chan H.; Tuttle Mark E.
11309314Array of capacitors and method used in forming an array of capacitorsYokoyama Yuichi
11309315Digit line formation for horizontally oriented access devicesMcDaniel Terrence B.; Lee Si-Woo; Nair Vinay; Fumagalli Luca
11309321Integrated structures containing vertically-stacked memory cellsLiu Haitao; Mouli Chandra; Koveshnikov Sergei; Pavlopoulos Dimitrios;  Huang Guangyu
11309328Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsLuo Shuangqiang; Chary Indra V.; Dorhout Justin B.
11309919Apparatuses and methods for pipelining memory operations with error  correction codingShang Wei Bing; Zhang Yu; Li Hong Wen; Fan Yu Peng; Liu Zhong Lai; Gao En  Peng; Zhang Liang
11310644Wirelessly utilizable memoryLuo Fa-Long; Hush Glen E.; Boehm Aaron P.
11314425Read error recoveryLuo Xiangang; Singidi Harish Reddy; Luo Ting; Muchherla Kishore Kumar
11314427Memory device with enhanced data reliability capabilitiesHe Deping; Palmer David Aaron
11314429Apparatuses and methods for operations using compressed and decompressed  dataWillcock Jeremiah J.; Lea Perry V.; Korzh Anton
11314446Accelerated read translation path in memory sub-systemLam Johnny A.
11314456Memory device performance based on storage traffic pattern detectionPorzio Luca; Colella Nicola; Minopoli Dionisio
11314583Memory data correction using multiple error control operationsHe Deping; Liang Qing
11314591Apparatuses and methods for error correction coding and data bus  inversion for semiconductor memoriesRiho Yoshiro; Shimizu Atsushi; Park Sang-Kyun; Kwak Jongtae
11314643Enhanced duplicate write data tracking for cache memoryWalker Robert M.
11315617Access line management for an array of memory cellsVimercati Daniele
11315619Apparatuses and methods for distributing row hammer refresh events across  a memory deviceWolff Gregg D.
11315620Semiconductor device performing row hammer refresh operationIshikawa Toru; Nakanishi Takuya; Bessho Shinji
11315622DDR5 four-phase generator with improved metastability resistancePenney Daniel B.; Gajapathy Parthasarathy; Ladner Brian J.
11315623Techniques for saturating a host interfaceGyllenskog Christian M.
11315626Sort operation in memoryWheeler Kyle B.
11315627Voltage drop mitigation techniques for memory devicesChu Wei Lu; Pan Dong
11315633Three-state programming of memory cellsCastro Hernan A.; Hirst Jeremy M.; Jain Shanky K.; Dodge Richard K.;  Melton William A.
11315641Memory cell sensingXu Jun
11315642Systems and methods providing improved calibration of memory control  voltageKavalipurapu Kalyan; Piccardi Michele; Guo Xiaojiang
11315647Defect detection during program verify in a memory sub-systemChiang Pinchou; Muralidharan Arvind; Esteves James I.; Piccardi Michele;  Pekny Theodore T.
11315877Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsGreenlee Jordan D.; Hopkins John D.; Klein Rita J.; McTeer Everett A.; Xu  Lifang; Billingsley Daniel; Howder Collin
11315917Apparatuses and methods for high sensitivity TSV resistance measurement  circuitIde Akira
11315939Methods of incorporating leaker-devices into capacitor configurations to  reduce cell disturb, and capacitor configurations incorporating  leaker-devicesCalderoni Alessandro; Cook Beth R.; Ramaswamy Durai Vishak Nirmal; Chavan  Ashonita A.
11315941Memory having a continuous channelTran Luan C.; Zhu Hongbin; Hopkins John D.; Hu Yushi
11316107Semiconductor devices and related methodsLiu Jun; Parekh Kunal R.
11316561System for optimizing routing of communication between devices and  resource reallocation in a networkChritz Jeremy
11316841Secure communication between an intermediary device and a networkTroia Alberto; Mondello Antonino
11320479Semiconductor device with a data-recording mechanismPlum Todd J.; Van De Graaff Scott D.
11320987Scanning techniques for a media-management operation of a memory  sub-systemZhu Fangfang; Wang Wei; Zhu Jiangli; Tai Ying Yu
11321008Temperature-based memory managementMayer Peter; Hein Thomas; Spirkl Wolfgang Anton; Brox Martin; Richter  Michael Dieter
11321168Error identification in executed codeMondello Antonino; Troia Alberto
11321173Managing storage of multiple plane parity data in a memory sub-systemLuo Xiangang; Huang Jianmin; Vakati Lakshmi Kalpana K.; Singidi Harish R.
11321176Reduced parity data managementYeung Chun Sum
11321238User process identifier based address translationSharma Prateek
11321257Quality of service control of logical devices for a memory sub-systemSimionescu Horia C.; Wang Xiaodong; Raparti Venkata Yaswanth
11321468Systems for providing access to protected memoryCariello Giuseppe; Parry Jonathan
11322191Charge extraction from ferroelectric memory cellVimercati Daniele
11322192Apparatuses and methods for calculating row hammer refresh addresses in a  semiconductor deviceMorohashi Masaru; Noguchi Hidekazu
11322194Compensating offsets in buffers and related systems, methods, and devicesSomeya Minoru; Suzuki Yukihide; Okuma Sadayuki
11322196Sense amplifier with lower offset and increased speedGuo Xinwei; Vimercati Daniele
11322209Memory devices including voltage generation systemsPiccardi Michele; Kavalipurapu Kalyan C.; Guo Xiaojiang
11322211Memory devices having a differential storage deviceBonitz Rainer
11322218Error control for memory deviceYamamoto Nobuo; Morgan Donald Martin; Wong Victor; Kwak Jongtae
11322223JTAG based architecture allowing multi-core operationMondello Antonino; Troia Alberto
11322388Semiconductor structure formationYadav Vivek; Hu Shen; Li Kangle; Sapra Sanjeev
11322502Apparatus including barrier materials within access line structures, and  related methods and electronic systemsKim Dojun; Petz Christopher W.; Kelkar Sanket S.; Nobuto Hidekazu
11322516Microelectronic devices including isolation structures protruding into  upper pillar portions, and related methods and systemsKing Matthew J.; Daycock David A.; Fukuzumi Yoshiaki; Fayrushin Albert;  Hill Richard J.; Tiwari Chandra S.; Fujiki Jun
11322629Method and optoelectronic structure providing polysilicon photonic  devices with different optical properties in different regionsMeade Roy; Mehta Karan; Megged Efraim; Orcutt Jason; Popovic Milos; Ram  Rajeev; Shainline Jeffrey; Sternberg Zvi; Stojanovic Vladimir; Tehar-Zahav  Ofer
11323027Stage protection in multi-stage charge pumpsPiccardi Michele; Guo Xiaojiang
11323275Verification of identity using a secret keyMondello Antonino; Troia Alberto
11323521Edge deviceVelamur Sharmila; Simsek-Ege Fatma Arzum; Srivastava Shivani; Pontoh  Marsela; Sriram Lavanya
11327113Memory loopback systems and methodsWilmoth David D.
11327551Methods and apparatus for characterizing memory devicesHarms Jonathan D.
11327832Bit and signal level mappingDietrich Stefan; Brox Martin; Richter Michael Dieter; Hein Thomas;  Schneider Ronny; Jovanovic Natalija
11327862Multi-lane solutions for addressing vector elements using vector index  registersWallach Steven Jeffrey
11327867On-die logic analyzerCallaghan Jackson N.; Ohara Kazuaki; Shin Ji-Hye G.; Prasad Vyjayanthi;  Avila-Hernandez Rosa M.; Ghosh Gitanjali T.; Skreen Rachael R.
11327884Self-seeded randomizer for data randomization in flash memoryChen Zhengang; Huang Jianmin
11327892Latency-based storage in a hybrid memory systemCaraccio Danilo; Confalonieri Emanuele; Dallabora Marco; Izzi Roberto;  Amato Paolo; Balluchi Daniele; Porzio Luca
11328210Self-learning in distributed architecture for enhancing artificial neural  networkMondello Antonino; Troia Alberto
11328599Crowdsourcing road conditions from abnormal vehicle eventsSato Junichi
11328749Conductive interconnects and methods of forming conductive interconnectsAhmed Raju; Kewley David A.; Pratt Dave; Sung Yung-Ta; Speetjens Frank;  Lugani Gurpreet
11328777Responding to power lossTang Qiang; Pekny Theodore T.
11328782Memory architecture for access of multiple portions of a block of memory  cellsLiang Ke; Xu Jun
11328789Intelligent memory device test rackHamor Gary D.; Spica Michael R.; Shepard Donald; Caraher Patrick; Elmiro  da Rocha Chaves João
11328967Electrical device with test pads encased within the packaging materialDe La Cerda Joseph A.
11328997Through-core viaMonroe Matthew
11329026Apparatuses and methods for internal heat spreading for packaged  semiconductor dieHembree David R.
11329051Gate dielectric repair on three-node access device formation for vertical  three-dimensional (3D) memorySmythe, III John A.; Sandhu Gurtej S.; Saeedi Vahdat Armin; Lee Si-Woo;  Sills Scott E.
11329058Microelectronic devices and memory devicesYip Aaron S.
11329062Memory arrays and methods used in forming a memory arrayDorhout Justin B.; Byers Erik; Carlson Merri L.; Chary Indra V.; Fazil  Damir; Hopkins John D.; Lomeli Nancy M.; Nelson Eldon; Peterson Joel D.;  Pavlopoulos Dimitrios; Tessariol Paolo; Xu Lifang
11329064Integrated assemblies and methods of forming integrated assembliesAbdelrahaman Ramey M.; Wu Jeslin J.; Tiwari Chandra; Shrotri Kunal;  Lengade Swapnil
11329127Memory device including voids between control gatesCarlson Chris M.
11329133Integrated assemblies having semiconductor oxide channel material, and  methods of forming integrated assembliesLee Yi Fang; Asano Isamu; Gandhi Ramanathan; Sills Scott E.
11329673Memory error correction based on layered error detectionHanna Stephen D.
11329983Validating an electronic control unit of a vehicleMondello Antonino; Troia Alberto
11330101Managing spoofed calls to mobile devicesde la Garza Villarreal Elsie; Wale Madison E.; Chhabra Bhumika; Delaney  Claudia A.
11331767Pads for chemical mechanical planarization tools, chemical mechanical  planarization tools, and related methodsBresson James
11334129Temperature control component for electronic systemsScobee Daniel G.; Semenuk Aleksandr; Thiruvengadam Aswin
11334259Power management based on detected voltage parameter levels in a memory  sub-systemYu Liang; Filipiak William C.
11334260Adaptive memory systemRoberts David Andrew
11334265Data programmingSrinivasan Dheeraj; Mohammadzadeh Ali
11334270Key-value store using journaling with selective data storage formatKurichiyath Sudheer; Becker Greg A.; Boles David; Moyer Steven;  Meeramohideen Mohamed Nabeel; Tomlinson Alexander
11334278Performing operation on data blocks concurrently and based on performance  rate of another operation on data blocksZhang Yang
11334287Data stream identification and processing in data storage deviceFrolikov Alex
11334362Generating and executing a control flowWheeler Kyle B.; Murphy Richard C.; Manning Troy A.; Klein Dean A.
11334377Controller for a memory componentMondello Antonino; Troia Alberto
11334387Throttle memory as a service based on connectivity bandwidthEilert Sean Stephen; Akel Ameen D.; Bradshaw Samuel E.; Curewitz Kenneth  Marion; Yudanov Dmitri
11334413Estimating an error rate associated with memoryParthasarathy Sivagnanam; Kaynak Mustafa N.; Khayat Patrick R.;  Richardson Nicholas J.
11334426CRC error alert synchronizationMai Thanh K.; Vankayala Vijayakrishna J.
11334428Multi-page parity protection with power loss handlingSingidi Harish Reddy; Muchherla Kishore Kumar; Luo Xiangang; Rayaprolu  Vamsi Pavan; Malshe Ashutosh
11334433Storing parity data mid stripeBoals Daniel A.
11334435Safety event detection for a memory deviceBoehm Aaron P.; Schaefer Scott E.
11334458Completing memory repair operations interrupted by power lossWilson Alan J.; Morgan Donald Martin
11334500Memory module data object processing systems and methodsMurphy Richard C.
11334502Memory protection based on system stateDover Lance W.
11334655Authenticating a device using a remote hostDuval Olivier
11335383Memory component for a system-on-chip deviceTroia Alberto; Mondello Antonino
11335384Capacitive voltage dividers coupled to voltage regulatorsRowley Matthew D.
11335385Apparatuses including temperature-based threshold voltage compensated  sense amplifiers and methods for compensating sameLam Boon Hor; Major Karl L.; Hawkins Jonathan; Ahmad Galaly
11335393Semiconductor device performing refresh operation in deep sleep modeRiho Yoshiro; Matsui Yoshinori; Furutani Kiyohiro; Fukiage Takahiko; Nam  Ki-Jun; Porter John D.
11335394Temperature informed memory refreshAlsasua Gianni Stephen; Singidi Harish Reddy; Muchherla Kishore Kumar;  Ratnam Sampath; Malshe Ashutosh; Rayaprolu Vamsi Pavan; Padilla, Jr. Renato
11335396Timing signal delay for a memory deviceHuang Zhi Qi; Chu Wei Lu; Pan Dong
11335402Systems and techniques for accessing multiple memory cells concurrentlyPio Federico
11335403Techniques for programming multi-level self-selecting memory cellRobustelli Mattia
11335404Memory device including multiple select gates and different bias  conditionsGoda Akira; Liu Haitao; Lee Changhyun
11335407One-ladder read of memory cells coarsely programmed via interleaved  two-pass data programming techniquesNguyen Phong Sy; Fitzpatrick James; Muchherla Kishore Kumar
11335408Event counters for memory operationsSforzin Marco; Di Vincenzo Umberto
11335412Managing sub-block erase operations in a memory sub-systemKavalipurapu Kalyan Chakravarthy; Iwasaki Tomoko Ogura; Yu Erwin E.; Chen  Hong-Yan; Xu Yunfei
11335415Memories having multiple voltage generation systems connected to a  voltage regulatorPiccardi Michele
11335416Operational modes for reduced power consumption in a memory systemSforzin Marco; Di Vincenzo Umberto; Balluchi Daniele
11335418Memory device including dynamic programming voltageLee Eric N.; Miranda Lawrence Celso
11335425Memory system quality integral analysis and configurationLiikanen Bruce A.; Cadloni Gerald L.; Miller David
11335426Targeted test fail injectionPecha Brian Thomas; Groulik Brent Thomas; Copic Nicholas Kenley
11335429Error recovery operations within a memory sub-systemHu Guang; Luo Ting; Yueng Chun Sum
11335558Methods of forming structures utilizing self-assembling nucleic acidsSandhu Gurtej S.
11335563Pitch reduction technology using alternating spacer depositions during  the formation of a semiconductor device and systems including sameZhou Baosuo; Abatchev Mirzafer K.; Niroomand Ardavan; Morgan Paul A.;  Meng Shuang; Greeley Joseph Neil; Coppa Brian J.
11335602Methods of forming microelectronic devices, and related microelectronic  devices and electronic systemsParekh Kunal R.
11335626Integrated assemblies and methods of forming integrated assembliesChen Zhuo; Vasilyeva Irina V.; Fan Darwin Franseda; Muthukrishnan Kamal  Kumar
11335644Apparatuses and methods for shielded memory architectureBedeschi Ferdinando; Di Vincenzo Umberto; Vimercati Daniele
11335667Stacked semiconductor die assemblies with die substrate extensionsWatanabe Fumitomo; Kusanagi Keiyo
11335675Circuit-protection devicesSmith Michael
11335684Memory device having 2-transistor memory cell and access line plateKarda Kamal M.; Sarpatwari Karthik; Liu Haitao; Ramaswamy Durai Vishak  Nirmal
11335694Memory arrays and methods used in forming a memory array comprising  strings of memory cellsHowder Collin; Carter Chet E.
11335700Block-on-block memory array architecture using bi-directional staircasesYip Aaron S.
11335775Integrated assemblies and methods of forming integrated assembliesPulugurtha Srinivas; Guha Jaydip; Sills Scott E.; Lee Yi Fang
11335788Semiconductor devices, transistors, and related methods for contacting  metal oxide semiconductor devicesRamaswamy Durai Vishak Nirmal; Gandhi Ramanathan; Sills Scott E.
11336265Internal clock distortion calibration using DC component offset of clock  signalWang Guan; Tang Qiang; Ghalam Ali Feiz Zarrin
11336298Error correction bit flipping schemeKwak Jongtae
11336303Advanced bitwise operations and apparatus in a multi-level system with  nonvolatile memoryEisenhuth Robert B.; Van Aken Stephen P.
11336433Secure sensor communicationTroia Alberto; Mondello Antonino
11340787Memory protocolWalker Robert M.; Hall, Jr. James A.
11340806Meta data processing during startup of storage devicesFrolikov Alex
11340808Latency-based storage in a hybrid memory systemCaraccio Danilo; Confalonieri Emanuele; Dallabora Marco; Izzi Roberto;  Amato Paolo; Balluchi Daniele; Porzio Luca
11340813Reliability scan assisted voltage bin selectionRayaprolu Vamsi Pavan; Nowell Shane; Sheperek Michael
11340830Memory buffer management and bypassHasbun Robert Nasry; Gans Dean D.; Daruwalla Sharookh
11340831Systems and methods for adaptive read training of three dimensional  memoryLu Yang; Stoddard Christopher Heaton
11340833Systems and methods for data relocation using a signal development cacheJain Shanky Kumar; Yudanov Dmitri A.
11340836Virtual partition management in a memory deviceDella Monica Angelo; Yuen Eric Kwok Fung; Cimmino Pasquale; Iaculo  Massimo; Falanga Francesco
11340904Vector index registersWallach Steven Jeffrey
11340908Reducing data hazards in pipelined processors to provide high processor  utilizationCrook Neal Andrew; Wootton Alan T.; Peterson James
11340981Modifying conditions for memory device error connection operationsShen Zhenlei; Xie Tingjun
11340982Memory block defect detection and managementHu Guang; Luo Ting
11340983Error code calculation on sensing circuitryLea Perry V.; Finkbeiner Timothy P.
11340984Apparatuses, systems, and methods for error correctionNakanishi Takuya; Ishikawa Toru; Arai Minari
11341036Biased sampling methodology for wear levelingTai Ying Yu; Zhu Jiangli
11341038Data movement operations in non-volatile memoryRehmeyer James S.; Cowles Timothy B.
11341041Synchronizing NAND logical-to-physical table region trackingCui Zhao; Yuen Eric Kwok Fung; Wang Guan Zhong; Duan Xinghui; D'Eliseo  Giuseppe; Ferrari Giuseppe
11341046Layer interleaving in multi-layered memoryChen Mikai; Chen Zhengang; Kwong Charles See Yeung
11341048SLC cache allocationDuan Xinghui; Wang Guanzhong; Zhang Xu; Yuen Eric Kwok Fung
11341050Secure logical-to-physical cachingSzubbocsev Zoltan; Troia Alberto; Tiziani Federico; Mondello Antonino
11341057Configurable logic block networks and managing coherent memory in the  sameChritz Jeremy; Hulton David
11341849Lane departure apparatus, system and methodTroia Alberto; Mondello Antonino
11342007Capacitance allocation based on system impedanceBadrieh Fuad
11342014Driver leakage controlIngalls Charles L.
11342024Tracking operations performed at a memory deviceVarisco Laura; Bongu Swetha; Kulkarni Kirthi Ravindra; Venigalla Soujanya
11342034Reducing programming disturbance in memory devicesYip Aaron
11342039Word line characteristics monitors for memory devices and associated  methods and systemsPrather Matthew A.; Rooney Randall J.
11342041Apparatuses, systems, and methods for probabilistic data structures for  error trackingAyyapureddi Sujeet
11342042Interconnected command/address resourcesJohnson Jason M.; Fujiwara Yoshinori; Werhane Kevin G.
11342218Single crystalline silicon stack formation and bonding to a CMOS waferLee Si-Woo; Kim Byung Yoon
11342265Apparatus including a dielectric material in a central portion of a  contact via, and related methods, memory devices and electronic systemsGreenlee Jordan D.; Xu Lifang; Klein Rita J.; Li Xiao; McTeer Everett A.
11342277Semiconductor device assemblies with conductive underfill dams for  grounding EMI shields and methods for making the sameLee Jungbae
11342336Integrated circuitry, memory circuitry, method used in forming integrated  circuitry, and method used in forming memory circuitryBenson Russell A.
11342356Memory cells comprising a programmable field effect transistor having a  reversibly programmable gate insulatorBedeschi Ferdinando
11342382Capacitive pillar architecture for a memory arrayTortorelli Innocenzo; Pellizzer Fabio
11342906Delay circuits, and related semiconductor devices and methodsAkamatsu Hiroshi; Huang Zhi Qi
11347401Memory device including mixed non-volatile memory cell typesTanzawa Toru
11347402Performing wear leveling operations in a memory based on block cycles and  use of spare blocksMonteleone Domenico; Bernardi Giacomo; Porzio Luca; Mirichigni Graziano;  Zanardi Stefano; Di Martino Erminio
11347405Memory device with dynamic program-verify voltage calibrationKoudele Larry J.; Liikanen Bruce A.
11347415Selection component that is configured based on an architecture  associated with memory devicesRajgopal Suresh; Yahja Henrico L.; Eskildsen Steven; Carter Dustin J.
11347429Live firmware activation in a memory systemFrolikov Alex
11347434Block family tracking for memory devicesIsh Mark
11347585Compression method for defect visibility in a memory deviceLi Jiyun; Gossi Johnathan L.
11347648Direct cache hit and transfer in a memory sub-system that programs  sequentiallyGuda Chandra M.; Lam Johnny A.
11347659Low cost and low latency logical unit eraseHanna Stephen
11347663Memory device interface communicating with set of data bursts  corresponding to memory dies via dedicated portions for command processingPilolli Luigi
11347666Apparatuses and methods including memory commands for semiconductor  memoriesKim Kang-Yong; Gans Dean
11348245Adapted scanning window in image frame of sensor for object detectionGolov Gil
11348622Conditional write back scheme for memoryMurphy Richard C.; Hush Glen E.; Sun Honglin
11348630Self reference for ferroelectric memoryVimercati Daniele
11348631Apparatuses, systems, and methods for identifying victim rows in a memory  device which cannot be simultaneously refreshedWu Jun; Zhang Yu; Pan Dong
11348633Selectively controlling clock transmission to a data (DQ) systemMiyano Kazutaka
11348635Memory cell biasing techniques during a read operationLocatelli Andrea; Servalli Giorgio; Visconti Angelo
11348636On-demand high performance mode for memory write commandsTanpairoj Kulachet; Huang Jianmin
11348637Electrical distance-based remapping in a memory deviceGiduturi Hari
11348640Charge screening structure for spike current suppression in a memory  arrayVenkatesan Srivatsan; Rajarajan Sundaravadivel; Soundappa Elango Iniyan;  Cassel Robert Douglas
11348650Destruction of data and verification of data destruction on a memory  deviceStoller Scott Anthony; Brandt Kevin R; Lin Qisong
11348655Memory device with analog measurement mode featuresTroia Alberto; Mondello Antonino
11348659Adjustable voltage drop detection threshold in a memory deviceCariello Giuseppe
11348660Semiconductor device performing loop-back test operationMorishita Yoshihito; Ichikawa Hiroshi
11348788Methods for device fabrication using pitch reductionTran Luan C.; Giridhar Raghupathy
11348826Integrated circuitry and methodsWells David H.
11348856Thermal cooling element for memory devices of a memory sub-systemMunson Rolf Thornton
11348857Lidded microelectronic device packages and related systems, apparatus,  and methods of manufactureQu Xiaopeng
11348871Integrated assembliesJuengling Werner
11348875Semiconductor devices with flexible connector arraySinha Koustav; Qu Xiaopeng
11348928Thin film transistor random access memoryFackenthal Richard E.
11348932Integrated assemblies having transistor body regions coupled to  carrier-sink-structures; and methods of forming integrated assembliesKarda Kamal M.; Liu Haitao; Ramaswamy Durai Vishak Nirmal; Gao Yunfei;  Tang Sanh D.; Pandey Deepak Chandra
11348933Integrated assemblies having anchoring structures proximate stacked  memory cells, and methods of forming integrated assembliesParekh Kunal R.; Dorhout Justin B.; Lomeli Nancy M.
11348939Integrated assemblies, and methods of forming integrated assembliesHowder Collin; Haller Gordon A.
11349479Input buffer circuitMatsuno Hiroyuki; Tsukada Shuichi
11349498Bit flipping low-density parity-check decoders with low error floorKaynak Mustafa N.; Parthasarathy Sivagnanam
11349526Pre-distortion for multi-level signalingSpirkl Wolfgang Anton; Richter Michael Dieter; Brox Martin; Mayer Peter;  Hein Thomas
11349636Local ledger block chain for secure updatesMondello Antonino; Troia Alberto
11353206Solid state lights with cooling structuresSills Scott E.
11353942Power delivery timing for memoryBenjamin Keith A.; Dougherty Thomas
11353944Predictive power managementBadrieh Fuad; Choi Baekkyu; Kinsley Thomas H.
11354037Scan frequency modulation based on memory density or block usageRayaprolu Vamsi Pavan; Ratnam Sampath K.; Singidi Harish R.; Malshe  Ashutosh; Muchherla Kishore Kumar
11354040Apparatuses and methods for concurrently accessing multiple partitions of  a non-volatile memorySundaram Rajesh; Kau Derchang; Jungroth Owen W.; Chu Daniel; Zeng Raymond  W.; Qawami Shekoufeh
11354043Temperature-based block family combinations in a memory deviceKientz Steven Michael; Koudele Larry J.; Nowell Shane; Sheperek Michael;  Liikanen Bruce A.
11354052Memory sub-system media management operation thresholdLuo Xiangang; Huang Jianmin; Malshe Ashutosh
11354056Predictive data orchestration in multi-tier memory systemsMittal Samir; Ray Anirban; Anand Gurpreet
11354064Detection of illegal commandsRichter Michael Dieter; Balb Markus
11354066Command filter filtering command having predetermined pulse widthBessho Shinji; Nakanishi Takuya
11354067Asymmetric plane driver circuits in a multi-plane memory deviceKavalipurapu Kalyan Chakravarthy C.; Siau Chang H.; Yamada Shigekazu
11354134Processing-in-memory implementations of parsing strings against  context-free grammarsYudanov Dmitri
11354147Class of service for multi-function devicesBert Luca
11354177Grouping requests to reduce inter-process communication in memory systemsFrolikov Alex
11354187Physical page, logical page, and codeword correspondenceManning Troy A.; Larsen Troy D.; Culley Martin L.
11354193Memory device with dynamic processing level calibrationKoudele Larry J.; Liikanen Bruce A.
11354246Memory-side transaction context memory interface systems and methods  based on clock cycles and wiresRoberts David Andrew
11354262Parallel operations in aggregated and virtualized solid state drivesKale Poorna; Bueb Christopher Joseph
11355162Active boundary quilt architecture memoryLaurent Christophe Vincent Antoine
11355164Bias current generator circuitryHsieh Ming-ta; Loftsgaarden Taylor
11355165Adjusting parameters of channel drivers based on temperaturePorter John David; Tatapudi Suryanarayana B.
11355166Sequential memory operation without deactivating access line signalsSakui Koji; Feeley Peter Sean
11355169Indicating latency associated with a memory request in a systemHasbun Robert Nasry; Gans Dean D.; Daruwalla Sharookh
11355170Reconfigurable processing-in-memory logicYudanov Dmitri
11355174Self-referencing memory deviceMuzzetto Riccardo
11355175Deep learning accelerator and random access memory with a camera  interfaceKale Poorna; Cummins Jaime
11355178Apparatuses and methods for performing an exclusive or operation using  sensing circuitryManning Troy A.
11355200Hybrid routine for a memory deviceHansen Shannon Marissa; Rori Fulvio; D'Alessandro Andrea; Nevill Jason  Lee; Cerafogli Chiara
11355203Determine optimized read voltage via identification of distribution shape  of signal and noise characteristicsAlhussien AbdelHakim S.; Fitzpatrick James; Khayat Patrick Robert;  Parthasarathy Sivagnanam
11355206High-voltage shifter with degradation compensationYamada Shigekazu
11355209Accessing a multi-level memory cellSarpatwari Karthik; Tran Xuan-Anh; Chen Jessica; Durand Jason A.; Gajera  Nevil N.; Lee Yen Chun
11355214Debugging memory devicesKim Junam
11355348Integrated circuit, construction of integrated circuitry, and method of  forming an arrayLugani Gurpreet; Campbell Kyle B.; Di Cino Mario J.; Freese Aaron W.;  Kogan Alex; Shea Kevin R.
11355392Conductive via of integrated circuitry, memory array comprising strings  of memory cells, method of forming a conductive via of integrated circuitry,  and method of forming a memory array comprising strings of memory cellsWang Yiping; Greenlee Jordan D.; Howder Collin
11355508Devices including floating vias and related systems and methodsLi Hongqi; Cultra James A.; Vegunta Sri Sai Sivakumar
11355514Microelectronic devices including an oxide material between adjacent  decks, electronic systems, and related methodsBicksler Andrew; Ng Wei Yeeng; Brighten James C.
11355531Array of capacitors, an array of memory cells, method used in forming an  array of memory cells, methods used in forming an array of capacitors, and  methods used in forming a plurality of horizontally-spaced conductive linesMariani Marcello; Servalli Giorgio
11355554Sense lines in three-dimensional memory arrays, and methods of forming  the sameYang Lingming; Sarpatwari Karthik; Pellizzer Fabio; Gajera Nevil N.; Wei  Lei
11355607Semiconductor device structures with linersLarsen Christopher J.; Daycock David A.; Shrotri Kunal
11356081Average interval generatorNoguchi Hidekazu
11356256Secure vehicular part communicationMondello Antonino; Troia Alberto
11356265Secure communication between a vehicle and a remote deviceTroia Alberto; Mondello Antonino
11356378Combined write enable mask and credit return fieldBrewer Tony; Patrick David
11356601Intelligent digital camera having deep learning accelerator and random  access memoryKale Poorna
11360533Device maintenance of a data storage device including wear levelling,  garbage collection, or combination thereofKale Poorna; Rao Kishore
11360670Dynamic temperature compensation in a memory componentKoudele Larry J.; Liikanen Bruce A.; Kientz Steve
11360672Performing hybrid wear leveling operations based on a sub-total write  counterZhu Fangfang; Zhu Jiangli; Chen Ning; Tai Ying Yu
11360677Selective partitioning of sets of pages programmed to memory deviceMuchherla Kishore Kumar; Schuh Karl D.; Wu Jiangang; Kaynak Mustafa N.;  Batutis Devin M.; Luo Xiangang
11360695Apparatus with combinational access mechanism and methods for operating  the sameLee Hyun Yoo; Kim Kang-Yong
11360700Partitions within snapshot memory for buffer and snapshot memoryMuchherla Kishore K.; Righetti Niccolo'; McNeil, Jr. Jeffrey S.; Goda  Akira; Marquart Todd A.; Helm Mark A.; Golov Gil; Binfet Jeremy; Miccoli  Carmine; Puzzilli Giuseppina
11360704Multiplexed signal development in a memory deviceYudanov Dmitri A.; Jain Shanky Kumar
11360777Cache systems and circuits for syncing caches or cache setsWallach Steven Jeffrey
11360848Error correction code scrub schemeKwak Jongtae
11360868Redundant cloud memory storage for a memory subsystemPio Federico
11360885Wear leveling based on sub-group write counts in a memory sub-systemStonelake Paul; Chen Ning; Zhu Fangfang; Tang Alex
11360888Host system notification based on entry missHuo Binbin
11360902Adaptive readahead cache manager based on detected active streams of read  commandsPalmer David A.
11360920Mapping high-speed, point-to-point interface channels to packet virtual  channelsPatrick David; Brewer Tony
11361552Security operations of parked vehiclesKale Poorna; Bielby Robert Richard Noel
11361660Verifying identity of an emergency vehicle during operationMondello Antonino; Troia Alberto
11361801Sub-threshold voltage leakage current trackingFantini Paolo; Amato Paolo; Sforzin Marco
11361806Charge sharing between memory cell platesCarman Eric S.
11361808Apparatuses and methods for selective row refreshesBell Debra M.; McClain Jeff A.; Callaway Brian P.
11361814Column selector architecture with edge mat optimizationHe Yuan; Akamatsu Hiroshi
11361825Dynamic program erase targeting with bit error rateLiikanen Bruce A.; Sheperek Michael; Koudele Larry J.
11361827Memory devices having source lines directly coupled to body regions and  methodsGoda Akira
11361830Adjusting read voltage levels based on a programmed bit count in a memory  sub-systemMajerus Douglas E.
11361831Proactive read disturb mitigationSharifi Tehrani Saeed; Parthasarathy Sivagnanam
11361833Offset memory component automatic calibration (autocal) error recovery  for a memory subsystemLiikanen Bruce A.; Cadloni Gerald L.; Besinga Gary F.; Miller Michael G.;  Padilla Renato C.
11361837Memory location age tracking on memory dieTrivedi Falgun G.
11361972Methods for selectively removing more-doped-silicon-dioxide relative to  less-doped-silicon-dioxideImonigie Jerome A.; Venkatanarayanan Ramaswamy Ishwar; Sharma Pranav P.;  Kron Eric E.; Sapra Sanjeev
11362018Low capacitance through substrate via structuresPandey Deepak C.; Liu Haitao; Mouli Chandra
11362070Microelectronic device assemblies and packages including multiple device  stacks and related methodsLimaye Aparna U.; Lim Dong Soon; Richards Randon K.; Fay Owen R.
11362071Stacked semiconductor dies for semiconductor device assembliesKo Yeongbeom; Kwon Youngik; Paek Jong Sik; Lee Jungbae
11362103Memory arrays, and methods of forming memory arraysKim Changhan; Carnevale Gianpietro
11362142Electronic apparatus with tiered stacks having conductive structures  isolated by trenches, and related electronic systems and methodsHu Yi
11362175Select gate gate-induced-drain-leakage enhancementFayrushin Albert; Liu Haitao; King Matthew J.
11362627Process tracking pulse generatorChu Wei Lu; Pan Dong
11362939Flow control for a multiple flow control unit interfaceBrewer Tony
11363433Memory pooling between selected memory resources on vehicles or base  stationsBoehm Aaron P.
11366487Resetting clock divider circuitry prior to a clock restartIto Koji
11366505Predictive power managementBadrieh Fuad; Choi Baekkyu; Kinsley Thomas H.
11366592Selective dummy writes for asynchronous power loss handling in memory  devicesMiller Michael G.; Besigna Gary F.
11366675Systems and devices for accessing a state machineNoyes Harold B; Brown David R.; Glendenning Paul
11366752Address mapping between shared memory modules and cache setsYudanov Dmitri
11366754Adjustable buffer memory spaceBrandt Kevin R
11366760Memory access collision management on a shared wordlineAlhussien Abdelhakim; Wu Jiangang; Schuh Karl D.; Lin Qisong; Hoei Jung  Sheng
11366762Cache filterWalker Robert M.
11366772Separate inter-die connectors for data and error correction information  and related systems, methods, and apparatusesVankayala Vijayakrishna J.
11366784Fuseload architecture for system-on-chip reconfiguration and repurposingPinilla Pico Lady Nataly; Gopalapuram Praveen; Mote Akshay Arun
11366976Updating manufactured product life cycle data in a database based on  scanning of encoded imagesPrincipato Giuseppe
11367473Wave pipelineShakeri Kaveh; Feiz Zarrin Ghalam Ali; Tang Qiang; Lee Eric N.
11367476Bit line equalization driver circuits and related apparatuses, methods,  and computing systems to avoid degradation of pull-down transistorsPark Sang-Kyun; He Yuan
11367483Techniques for applying multiple voltage pulses to select a memory cellHamada Josephine T.; Cui Mingdong; McCrate Joseph M.; Sarpatwari Karthik;  Chen Jessica
11367484Multi-step pre-read for write operations in memory devicesLee Yen Chun; Gajera Nevil N.; Sarpatwari Karthik
11367486Apparatuses and methods using negative voltages in part of memory write,  read, and erase operationsSakui Koji
11367490Capacitive voltage modifier for power managementRowley Matthew D.; Carter Dustin J.
11367495Microelectronic device testing, and associated methods, devices, and  systemsAmrie Bin Shaari Ahmad Zainal; Ichida Hideyuki
11367497Memory device with improved sensing structureTroia Alberto; Mondello Antonino
11367502Bad block management for memory sub-systemsAwusie Roland J.
11367667Build-up package for integrated circuit devices, and methods of making  sameNg Hong Wan; Lee Choon Kuan; Corisis David J.; Chong Chin Hui
11367681Slit oxide and via formation techniquesLi Hongqi; Sagi Kaushik Varma; Siddik Manzar
11367726Vertical digit lines for semiconductor devicesLee Si-Woo; Hwang Sangmin
11367730Cell disturb prevention using a leaker device to reduce excess charge  from an electronic deviceRamaswamy Durai Vishak Nirmal
11367822High-voltage solid-state transducers and associated systems and methodsSchubert Martin F.
11368044In-use charging for wearable devices having partitioned batteriesWale Madison E.; Chhabra Bhumika; Delaney Claudia A.; de la Garza  Villarreal Elsie
11368142Duty-cycle corrector circuits and related apparatuses and methodsKuzmenka Maksim; Bernal Elena Cabrera
11372043Heat spreaders for use in semiconductor device testing, such as burn-in  testingQu Xiaopeng; Griffin Amy R.; Orme Wesley J.
11372545Managing bin placement for block families of a memory device based on  trigger metric valuesNowell Shane; Kaynak Mustafa N
11372550Apparatuses and methods for simultaneous in data path compute operationsLea Perry V.; Hush Glen E.
11372585Asynchronous process topology in a memory deviceHush Glen E.; Murphy Richard C.; Sun Honglin
11372595Read broadcast operations associated with a memory deviceYudanov Dmitri A.; Jain Shanky Kumar
11372648Extended tags for speculative and normal executionsWallach Steven Jeffrey
11372716Detecting special handling metadata using address verificationSchuh Karl D.
11372762Prefetch buffer of memory sub-systemNarsale Ashay
11372763Prefetch for data interface bridgeNarsale Ashay; Walker Robert
11373375Augmented reality product displayViswanathan Radhika; Hosseinimakarem Zahra; Chhabra Bhumika; Christensen  Carla L.
11373466Data recorders of autonomous vehiclesGolov Gil
11373527Driver assistance for non-autonomous vehicle in an autonomous environmentMondello Antonino; Troia Alberto
11373691Clock locking for packet based communications of memory devicesJohnson James Brian
11373695Memory accessing with auto-prechargeSwami Shivam; Eilert Sean S.; Akel Ameen D.
11373705Dynamically boosting read voltage for a memory deviceCiocchini Nicola; Gotti Andrea
11373712Dynamic programming of valley marginsSheperek Michael; Koudele Larry J.; Liikanen Bruce A.
11373714Reduced proximity disturb management via media provisioning and write  trackingEno Justin; Bradshaw Samuel E.
11373724Safety and correctness data reading in non-volatile memory devicesTroia Alberto; Mondello Antonino
11373725Error correction code circuits having one-to-one relationships with  input/output pads and related apparatuses and methodsLiang Zer; Arai Minari; Nakanishi Takuya
11373729Grown bad block management in a memory sub-systemLiu Tao; Yeung Chun Sum; Luo Xiangang
11373913Method of forming an array of vertical transistorsPandey Deepak Chandra; Liu Haitao; Karda Kamal M.
11373914Array of vertical transistors, an array of memory cells comprising an  array of vertical transistors, and a method used in forming an array of  vertical transistorsCalabrese Marcello; Rigano Antonino; Mariani Marcello
11373979Stacked microfeature devices and associated methodsHeng Mung Suan; Tan Kok Chua; Leong Vince Chan Seng; Johnson Mark S.
11374007Memory arraysTang Sanh D.; Roberts Martin C.
11374059Memory cells having resistors and formation of the samePellizzer Fabio; Redaelli Andrea; Pirovano Agostino; Tortorelli Innocenzo
11374132Electronic devices including capacitors with multiple dielectric  materials, and related systemsSmith Michael A.
11374488Multi-mode voltage pump and controlPan Dong; Barry Beau D.; Liu Liang
11374592Iterative error correction with adjustable parameters after a threshold  number of iterationsGad Eyal En; Chen Zhengang; Parthasarathy Sivagnanam; Weinberg Yoav
11378603Voltage or current detector for a memory componentTroia Alberto; Mondello Antonino
11379024Systems and methods capable of bypassing non-volatile memory when storing  firmware in execution memoryAgrawal Shalu; Yadav Ashok Kumar; Vasu Shaileshkumar; Desai Vismay  Ajaykumar
11379032Power management integrated circuit with in situ non-volatile  programmabilityRowley Matthew David
11379122Selective relocation of data of a subset of a data block based on  distribution of reliability statisticsMalshe Ashutosh; Muchherla Kishore Kumar; Rayaprolu Vamsi Pavan; Singidi  Harish R.
11379124Data lines updating for data generationBell Debra M.; Malihi Naveh
11379139Multi-partitioning of memoriesCaraccio Danilo; Confalonieri Emanuele; Tiziani Federico
11379153Storage traffic pattern detection in memory devicesPorzio Luca; Izzi Roberto; Colella Nicola; Caraccio Danilo; Orlando  Alessandro
11379156Write type indication commandJeon Seungjune; Zhu Jiangli
11379158Apparatuses and methods for configuring I/Os of memory for hybrid memory  modulesPrather Matthew A.
11379299Semiconductor device with user defined operations and associated methods  and systemsVeches Anthony D.
11379304Mitigating read disturb effects in memory devicesCadloni Gerald L.; Ish Mark; Crowley James P.
11379355Power-on-time based data relocationMuchherla Kishore Kumar; Padilla Renato C.; Ratnam Sampath K.; Sharifi  Tehrani Saeed; Feeley Peter; Brandt Kevin R.
11379358Unretiring memory device blocksBrandt Kevin R
11379359Selecting data transfer units associated with a data stream for garbage  collectionBianco Antonio David; Williams Steven S.
11379365Memory access bounds checking for a programmable atomic operatorBrewer Tony; Walker Dean E.; Baronne Chris
11379366Memory devices having selectively-activated termination devicesGrunzke Terry
11379367Enhancement for activation and deactivation of memory address regionsColella Nicola; Pollio Antonino; Tan Hua
11379373Memory tiering using PCIe connected far memoryRay Anirban; Stonelake Paul; Mittal Samir; Anand Gurpreet
11379376Embedding data in address streamsRoberts David Andrew
11379401Deferred communications over a synchronous interfaceWalker Dean E.; Brewer Tony
11379402Secondary device detection using a synchronous interfaceWalker Dean E.; Brewer Tony
11380370Semiconductor device having a charge pumpWu Jun; Pan Dong
11380372Transferring data between DRAM and SRAMFinkbeiner Timothy P.; Manning Troy A.; Larsen Troy D.; Hush Glen E.
11380376Apparatuses and methods to perform low latency access of a memoryHe Yuan; Toyama Daigo
11380381Techniques and devices for canceling memory cell variationsHattori Yasuko; Jamali Mahdi
11380382Refresh logic circuit layout having aggressor detector circuit sampling  circuit and row hammer refresh control circuitZhang Yu; Li Liang; Wu Jun
11380387Multiplexor for a semiconductor deviceHe Yuan; Kim Tae H.
11380388Memory arrays with vertical thin film transistors coupled between digit  linesDerner Scott J.; Ingalls Charles L.
11380391Neural network memory with mechanism to change synaptic weightBoniardi Mattia; Tortorelli Innocenzo
11380394Voltage profile for reduction of read disturb in memory cellsCui Mingdong; Wang Hongmei; Ishac Michel Ibrahim
11380395Access command delay using delay locked loop (DLL) circuitryBrown Jason M.; Vankayala Vijayakrishna J.
11380397Architecture for 3-D NAND memoryMorooka Midori; Tanaka Tomoharu
11380401High-voltage shifter with reduced transistor degradationYamada Shigekazu
11380408Selective overdrive of supply voltage to primary switch for programming  memory cellsPiccardi Michele
11380411Threshold voltage drift tracking systems and methodsGiduturi Hari
11380414TSV auto repair scheme on stacked dieNishioka Naohisa
11380419Methods to limit power during stress test and other limited supplies  environmentParry Jonathan Scott; He Deping; Cariello Giuseppe
11380665Semiconductor dice assemblies, packages and systems, and methods of  operationNakano Eiichi; Uchiyama Shiro
11380667Memory device with a multiplexed command/address busLendvay William A.
11380669Methods of forming microelectronic devicesParekh Kunal R.
11380696Plate node configurations and operations for a memory arrayVimercati Daniele
11380699Memory array and methods used in forming a memory arrayTran Luan C.; Huang Guangyu; Liu Haitao
11380705Integrated assemblies, and methods of forming integrated assembliesShamanna Vinayak; Xu Lifang; Wilson Aaron R.
11380732Memory with optimized resistive layersWei Lei; Zheng Pengyuan; Baker Kevin Lee; Ege Efe Sinan; Barton Adam  Thomas; Venigalla Rajasekhar
11381432Multiplexing distinct signals on a single pin of a memory deviceHasbun Robert Nasry; Hollis Timothy M.; Wright Jeffrey P.; Gans Dean D.
11381752Method and apparatus providing pixel array having automatic light control  pixels and image capture pixelsMoholt Jorgen
11385071Providing a route with augmented realityChhabra Bhumika; Viswanathan Radhika; Christensen Carla L.;  Hosseinimakarem Zahra
11385281Heat spreaders for use in semiconductor device testing, such as burn-in  testingQu Xiaopeng; Griffin Amy R.; Chun Hyunsuk
11385618Process control device in manufacturingSimsek-Ege Fatma Arzum; Vadivel Shruthi Kumara; Verma Deepti; Sharma  Anshika; Sriram Lavanya; Gawai Trupti D.
11385797Solid state storage device with variable logical capacity based on memory  lifecycleReimers Niels
11385819Separate partition for buffer and snapshot memoryMuchherla Kishore K.; Righetti Niccolo'; McNeil, Jr. Jeffrey S.; Goda  Akira; Marquart Todd A.; Helm Mark A.; Golov Gil; Binfet Jeremy; Miccoli  Carmine; Puzzilli Giuseppina
11385820Command batching for a memory sub-systemTraver John Paul; Li Yun; Virani Scheheresade; Zhao Ning; Geukens Tom  Victor Maria
11385836Read look ahead data size determinationSteinmetz Cory M.
11385838Host accelerated operations in managed NAND devicesJean Sebastien Andre
11385949Apparatus having a multiplexer for passive input/output expansionRajgopal Suresh; Soto Dan E.; Eskildsen Steven
11385961Adaptive parity techniques for a memory deviceEno Justin; Melton William A.; Eilert Sean S.
11386003Forwarding code word addressPawlowski Joseph Thomas
11386004Memory device interface and methodKeeth Brent; Fay Owen; Yoo Chan H.; Greeff Roy E.; Leslie Matthew B.
11386231Methods of context-based mobile device feature control and mobile devices  employing the sameLimaye Aparna U.; Hamilton Lindsay; Christensen Carla L.; Forgy Cipriana;  Jones Brandi M.
11386939Read data FIFO control circuitKarashima Ryoki
11386940Apparatuses and methods including multilevel command and address signalsKim Kang-Yong
11386946Apparatuses and methods for tracking row accessesAyyapureddi Sujeet; Morgan Donald M.
11386948Multiplexors under an array of memory cellsHe Yuan; Kim Tae H.
11386949Apparatuses, systems, and methods for latch reset logicFujishiro Keisuke; Mochida Yoshifumi
11386954Memory device and method for operating the same including setting a  recovery voltageSforzin Marco; Amato Paolo; Tortorelli Innocenzo
11386958Methods and apparatuses including a string of memory cells having a first  select transistor coupled to a second select transistorSakui Koji
11386964Systems and methods involving hardware-based reset of unresponsive memory  devicesPatel Vipul; Pekny Theodore
11386966Access operations in capacitive sense NAND memoryFukuzumi Yoshiaki; Fujiki Jun; Tanaka Shuji; Yoshida Masashi; Saito  Masanobu; Kamata Yoshihiko
11387243Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsHopkins John D.; Lomeli Nancy M.
11387245Electronic devices including pillars in array regions and non-array  regions, and related systems and methodsHossain S M Istiaque; Larsen Christopher J.; Chandolu Anilkumar; McKinsey  Wesley O.; John Tom J.; Dhayalan Arun Kumar; Rau Prakash Rau Mokhna
11387369Semiconductor structure formationHu Shen; Liu Hung-Wei; Li Xiao; Xie Zhiqiang; Staller Corey; Hull Jeffery  B.; Khandekar Anish A.; Figura Thomas A.
11387836Method for compensating electrical device variabilities in  configurable-output circuit and deviceGarofalo Pierguido
11387976Full duplex device-to-device cooperative communicationLuo Fa-Long; Schmitz Tamara; Chritz Jeremy; Cummins Jaime
11387983Secure medical apparatus communicationMondello Antonino; Troia Alberto
11388032Apparatuses and methods for pre-emphasis controlArai Tetsuya; Yokobe Chihoko; Chen Guangcan
11392292Maintenance operations for memory devicesChen Ning; Zhu Jiangli; Zhu Fangfang; Tai Ying Yu
11392299Multi-purpose signaling for a memory systemJohnson James Brian; Keeth Brent
11392300Memory device having a secure test mode entryTroia Alberto; Mondello Antonino
11392312Read calibration based on ranges of program/erase cyclesRayaprolu Vamsi Pavan; Puzzilli Giuseppina; Schuh Karl D.; McNeil, Jr.  Jeffrey S.; Muchherla Kishore K.; Malshe Ashutosh; Righetti  Niccolo′
11392328Dynamic background scan optimization in a memory sub-systemCadloni Gerald L.; Sheperek Michael; Chew Francis; Liikanen Bruce A.;  Koudele Larry J.
11392448Payload parity protection for a synchronous interfaceWalker Dean E.; Brewer Tony
11392451Methods and system with dynamic ECC voltage and frequencyParry Jonathan Scott; Grosz Nadav; Palmer David Aaron; Gyllenskog  Christian M.
11392468Storing memory array operational information in non-volatile subarraysKawamura Christopher John; Derner Scott James; Ingalls Charles L.
11392505Rebuilding logical-to-physical address mapping with limited memoryWei Meng
11392515Cache architecture for a storage deviceMinopoli Dionisio; Balluchi Daniele
11392526Memory system with selectively interfaceable memory subsystemLiang Qing; Lu Yang
11392527Ordered delivery of data packets based on type of path information in  each packetBrewer Tony; Patrick David
11392547Using prefix-delete operations for data containersJacob Jacob Mulamootil; Boles David; Ramdasi Gaurav Sanjay
11392796Feature dictionary for bandwidth enhancementCurewitz Kenneth Marion; Akel Ameen D.; Wang Hongyu; Eilert Sean Stephen
11393315Hazard indicatorBarkam Swetha; Chhabra Bhumika; Russo Kathryn H.; Zhao Zhao
11393510Encoding data attributes by data stream identifiersSuhler Paul A.; Kaul Ram Krishan; Danielson Michael B.
11393511Limiting regulator overshoot during power upXu Fei; Pan Dong; Chu Wei Lu
11393513Timing of read and write operations to reduce interference, and related  devices, systems, and methodsStave Eric J.
11393530Memory cells, memory cell programming methods, memory cell reading  methods, memory cell operating methods, and memory devicesLiu Jun
11393531Apparatuses and methods for comparing data patterns in memoryManning Troy A.
11393533Estimating resistance-capacitance time constant of electrical circuitZhan Huanyou; Rossini Massimo; Xu Jun
11393534Adjustment of a starting voltage corresponding to a program operation in  a memory sub-systemLiikanen Bruce A.; Sheperek Michael; Koudele Larry J.
11393541Mitigating a voltage condition of a memory cell in a memory sub-systemRayaprolu Vamsi Pavan; Muchherla Kishore Kumar; Feeley Peter; Ratnam  Sampath K.; Parthasarathy Sivagnanam; Lin Qisong; Nowell Shane; Kaynak  Mustafa N.
11393542Reduced-voltage operation of a memory deviceHartz Ezra E.; Patel Vipul
11393543Methods for detecting and mitigating memory media degradation and memory  devices employing the sameParry Jonathan S.; Raad George B.; Rehmeyer James S.; Cowles Timothy B.
11393548Workload adaptive scans for memory sub-systemsPadilla Renato C.; Ratnam Sampath K.; Smitchger Christopher M.; Rayaprolu  Vamsi Pavan; Besinga Gary F.; Miller Michael G.; Opastrakoon Tawalin
11393672Methods of forming microelectronic devices including an interdeck region  between deck structuresHopkins John D.; Fazil Damir
11393687Semiconductor devices including two-dimensional material structuresMeade Roy E.; Pandey Sumeet C.
11393688Semiconductor contact formationImonigie Jerome A.; Yang Guangjun; Khandekar Anish A.; Nakamura  Yoshitaka; Lee Yi Fang
11393716Devices including stair step structures, and related apparatuses and  memory devicesHa Chang Wan; Wolstenholme Graham R.; Thimmegowda Deepak
11393720Die corner protection by using polymer deposition technologyYang Po Chih
11393748Stack of horizontally extending and vertically overlapping features,  methods of forming circuitry components, and methods of forming an array of  memory cellsTang Sanh D.; Lindsay Roger W.; Parat Krishna K.
11393756Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsGreenlee Jordan D.; Emor Christian George; Fumagalli Luca; Hopkins John  D.; Klein Rita J.; Petz Christopher W.; McTeer Everett A.
11393790Memory with TSV health monitor circuitryHiscock Dale H.; Pearson Evan C.; Gentry John H.; Scott Michael J.;  Gatlin Greg S.; Matthews Lael H.; Geidl Anthony M.; Roth Michael; Geiger  Markus H.
11393791Three-dimensional stacking semiconductor assemblies with near zero bond  line thicknessFay Owen R.
11393794Microelectronic device assemblies and packages including surface mount  componentsRichards Randon K.; Fay Owen R.; Limaye Aparna U.; Lim Dong Soon
11393820Vertical digit line for semiconductor devicesLee Si-Woo; Hwang Sangmin
11393822Thin film transistor deck selection in a memory deviceVimercati Daniele
11393828Electronic devices comprising digit line contacts and related systems and  methodsKobayashi Naoyoshi; Fujita Osamu; Koge Katsumi
11393835Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsHopkins John D.; Lomeli Nancy M.
11393843Charge trap structure with barrier to blocking regionCarlson Chris M.
11393845Microelectronic devices, and related memory devices and electronic  systemsKawai Koichi; Kamata Yoshihiko; Fukuzumi Yoshiaki; Murakoshi Tamotsu
11393872Electronic devices with seed and magnetic regions and methods of  fabricationKula Witold; Kinney Wayne I.; Sandhu Gurtej S.
11393908Methods of forming a microelectronic device, and related microelectronic  devices, memory devices, and electronic systemsSuresha Sandeep Ramasamudra; McDaniel Terrence B.
11393920Integrated assemblies and methods of forming integrated assembliesHwang David K.; Kaeding John F.; Hill Richard J.; Sills Scott E.
11393928Access devices formed with conductive contactsLiu Haitao; Gao Yunfei; Karda Kamal M.; Pandey Deepak Chandra; Tang Sanh  D.; Yang Litao
11393978Array of cross point memory cellsSills Scott E.; Ramaswamy Durai Vishak Nirmal; Calderoni Alessandro
11394403Error correction based on rate adaptive low density parity check (LDPC)  codes with flexible column weights in the parity check matricesEn Gad Eyal; Parthasarathy Sivagnanam; Chen Zhengang; Kaynak Mustafa N.;  Weinberg Yoav
11394589Techniques for communicating multi-level signalsMayer Peter; Umeda Nobuyuki; Salobrena Garcia Casto; Raj Rethin;  Schneider Andreas
11397461Providing energy information to memoryBlodgett Greg; Balluchi Daniele; Caraccio Danilo; Mirichigni Graziano
11397526Media type selection for image dataHosseinimakarem Zahra; Chhabra Bhumika; Christensen Carla L.
11397631Automated power down based on state of firmwareParry Jonathan Scott; Grosz Nadav
11397638Memory controller implemented error correction code memoryHornung Bryan; Brewer Tony
11397640Extended error correction in storage devicePalmer David Aaron
11397642Shared parity protectionParry Jonathan Scott; Cariello Giuseppe
11397654Client-assisted phase-based media scrubbingBradshaw Samuel E.; Eno Justin
11397657Managing memory objects that are assigned a respective designationBasu Reshmi; Murphy Richard C.
11397679Variable modulation scheme for memory device access or operationHasbun Robert Nasry; Hollis Timothy M.; Wright Jeffrey P.; Gans Dean D.
11397683Low latency cache for non-volatile memory in a hybrid DIMMSimionescu Horia C.; Stonelake Paul; Chin Chung Kuang; Kotte Narasimhulu  Dharanikumar; Walker Robert M.; Dirik Cagdas
11397688Coherent memory accessFinkbeiner Timothy P.; Larsen Troy D.
11397694Memory chip connecting a system on a chip and an accelerator chipEilert Sean S.; Curewitz Kenneth Marion; Eno Justin M.
11397695Configurable memory terminationKabir Mohammad Ehsan
11397814Local ledger block chain for secure electronic control unit updatesMondello Antonino; Troia Alberto
11398146Emergency assistance responseYao Chunhua; Vemparala Guruswamy Priya; Li Xiao; Forgy Cipriana; Sharma  Anshika
11398263Semiconductor structures, memory cells and devices comprising  ferroelectric materials, systems including same, and related methodsLiao Albert; Kinney Wayne I.; Lee Yi Fang; Siddik Manzar
11398264Methods and apparatus for dynamically adjusting performance of  partitioned memoryHarms Jonathan D.; Hulton David; Chritz Jeremy
11398265Apparatuses and methods for analog row access trackingWu Jun; Li Liang; Zhang Yu; Pan Dong
11398266Integrated assemblies having memory cells with capacitive units and  reference-voltage-generators with resistive unitsLee Hyunui; Suzuki Takamasa; Satoh Yasuo; He Yuan
11398276Decoder architecture for memory deviceBedeschi Ferdinando; Koelling Jeffrey E.; Giduturi Hari; Muzzetto  Riccardo; Villa Corrado
11398282Intelligent charge pump architecture for flash arrayTroia Alberto; Mondello Antonino
11398427Integrated assemblies and methods of forming integrated assembliesScarbrough Alyssa N.; Hopkins John D.
11398457Packaged integrated circuit devices with through-body conductive vias,  and methods of making sameJiang Tongbi; Chia Yong Poo
11398465Proximity coupling interconnect packaging systems and methodsFogal Rich; Fay Owen R.
11398468Apparatus with voltage protection mechanismDavis James E.; Furia Milind Nemchand; Chaine Michael D.; Smith Eric J.
11398486Microelectronic devices with tier stacks with varied tier thicknesses,  and related methods and systemsHopkins John D.; Lomeli Nancy M.
11398489Memory array having connections going through control gatesTanzawa Toru; Murakoshi Tamotsu; Thimmegowda Deepak
11398493Arrays of memory cells including pairs of memory cells having respective  charge storage nodes between respective access linesPekny Theodore T.
11398498Integrated assemblies and methods of forming integrated assembliesLuo Shuangqiang; Chary Indra V.
11398571Devices and electronic systems including vertical transistors, and  related methodsRamaswamy Durai Vishak Nirmal; Sills Scott E.
11398599Methods for forming memory devices, and associated devices and systemsJeppson Michael B.
11398796Temperature compensated oscillators and associated methodsChoi Jung-Hwa
11398815Methods and apparatuses for temperature independent delay circuitryHuang Zhiqi; Chu Weilu; Pan Dong
11398816Apparatuses and methods for adjusting a phase mixer circuitSatoh Yasuo
11398835Managing defective bitline locations in a bit flipping decoderKaynak Mustafa N.; Parthasarathy Sivagnanam
11402426Inductive testing probe apparatus for testing semiconductor die and  related systems and methodsLindenberg Tony M.; Bossart Kurt J.; Hacker Jonathan S.; Tiwari Chandra  S.
11402590Method of forming photonics structuresSandhu Gurtej
11403013Managed NVM adaptive cache managementChristensen Carla L.; Huang Jianmin; Jean Sebastien Andre; Tanpairoj  Kulachet
11403023Method of organizing a programmable atomic unit instruction memoryBrewer Tony
11403032Data transfer management within a memory device having multiple memory  regions with different memory densitiesAlhussien Abdelhakim; Ozturk Ayberk; Schuh Karl D.; Bert Luca
11403035Memory module including a controller and interfaces for communicating  with a host and another memory moduleWalker Robert M.
11403042Self adapting iterative read calibration to retrieve data from memory  cellsAlhussien AbdelHakim S.; Parthasarathy Sivagnanam; Fitzpatrick James;  Khayat Patrick Robert
11403067Memory array data structure for posit operationsRamesh Vijay S.
11403096Acceleration circuitry for posit operationsRamesh Vijay S.; Hays Phillip G.; Cutler Craig M.; Rees Andrew J.
11403107Protection against timing-based security attacks by randomly adjusting  reorder buffer capacityWallach Steven Jeffrey
11403111Reconfigurable processing-in-memory logic using look-up tablesYudanov Dmitri
11403169Data recovery system for memory devicesFackenthal Richard Edward; Eilert Sean S.
11403195Application of dynamic trim strategy in a die-protection memory  sub-systemXie Tingjun; Kwong Charles See Yeung
11403216Scaling factors for media management operations at a memory deviceChen Mikai; Shen Zhenlei; Lang Murong; Zhou Zhenming
11403226Cache with set associativity having data defined cache setsWallach Steven Jeffrey
11403228Memory device page program sequenceTanpairoj Kulachet; Huang Jianmin; Ogura Iwasaki Tomoko; Muchherla  Kishore Kumar; Feeley Peter Sean
11403238Configurable data path for memory modulesKinsley Thomas H.
11403240Memory having internal processors and data communication methods in  memoryWalker Robert M.; Skinner Dan; Merritt Todd A.; Pawlowski J. Thomas
11403241Communicating data with stacked memory diesHasbun Robert Nasry; Hollis Timothy M.; Wright Jeffrey P.; Gans Dean D.
11403256Conditional operations in a vector processor having true and false vector  index registersWallach Steven Jeffrey
11403473Systems and methods to determine kinematical parametersTuttle John R.
11404092Cross point array memory in a non-volatile dual in-line memory moduleMcGlaughlin Edward; Tai Ying Yu; Mittal Samir
11404095Reduced pin status registerCariello Giuseppe
11404108Copy data in a memory system with artificial intelligence modeTroia Alberto
11404109Logical operations using memory cellsManning Troy A.; Hush Glen E.
11404111Sensing techniques using a charge transfer deviceRaad George B.; Schreck John F.
11404116Data storage based on data polaritySchreck John F.; Raad George B.
11404117Self-selecting memory array with horizontal access linesFratin Lorenzo; Pellizzer Fabio; Pirovano Agostino; Meyer Russell L.
11404120Refresh operation of a memory cellSinipete Joemar; Sancon John Christopher; Cui Mingdong
11404124Voltage bin boundary calibration at memory device power upSheperek Michael; Liikanen Bruce A.; Kientz Steve
11404125Memory cell programming applying a programming pulse having different  voltage levelsYip Aaron S.
11404129Power architecture for non-volatile memoryLin Qisong; Xu Shuai; Parry Jonathan S.; Binfet Jeremy; Piccardi Michele;  Liang Qing
11404130Evaluation of background leakage to select write voltage in memory  devicesGajera Nevil N.; Sarpatwari Karthik; Lu Zhongyuan
11404131Decision for executing full-memory refresh during memory sub-system  power-on stageXie Tingjun; Shen Zhenlei; Zhou Zhenming
11404133Valid translation unit count-based memory managementMalshe Ashutosh; Rayaprolu Vamsi Pavan; Muchherla Kishore K.
11404136Memory device protection using interleaved multibit symbolsAmato Paolo; Sforzin Marco; Pawlowski Stephen S.
11404139Smart sampling for block family scanRayaprolu Vamsi Pavan; Nowell Shane; Sheperek Michael; Kientz Steven  Michael
11404141Preemptive read refresh in memories with time-varying error ratesXie Tingjun; Chen Zhengang
11404217Methods of incorporating leaker devices into capacitor configurations to  reduce cell disturb, and capacitor configurations incorporating leaker  devicesChavan Ashonita A.; Cook Beth R.; Nahar Manuj; Ramaswamy Durai Vishak  Nirmal
11404264Silicon doping for laser splash blockageEspina Angelo Oria
11404267Semiconductor structure formationSarkar Santanu; Imonigie Jerome A.; Zhuang Kent H.; Jebaraj Johnley  Muthuraj Josiah; Fucsko Janos; Greenwood Benjamin E.; Good Farrell M.
11404289Semiconductor device assembly with graded modulus underfill and  associated methods and systemsLee Jungbae; Wang Chih Hong
11404390Semiconductor device assembly with sacrificial pillars and methods of  manufacturing sacrificial pillarsWang Chao Wen
11404436Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsGreenlee Jordan D.; Hopkins John D.
11404440Memory arraysTang Sanh D.; Hill Richard J.; Lee Yi Fang; Roberts Martin C.
11404463Color filter array, imagers and systems having same, and methods of  fabrication and use thereofFord Loriston; Boettiger Ulrich C.
11404479Memory including a selector switch on a variable resistance memory cellRedaelli Andrea; Pirovano Agostino
11404571Methods of forming NAND memory arraysCarlson Chris M.; Liu Hung-Wei; Li Jie; Pavlopoulos Dimitrios
11404583Apparatus including multiple channel materials, and related methods,  memory devices, and electronic systemsGoda Akira; Aoulaiche Marc
11404637Tapered cell profile and fabricationRedaelli Andrea; Conti Anna Maria; Pirovano Agostino
11405058Stopping criteria for layered iterative error correctionKaynak Mustafa N.; Radke William H.; Khayat Patrick R.; Parthasarathy  Sivagnanam
11405076Apparatuses and methods for adaptive spatial diversity in a MIMO-based  systemLuo Fa-Long; Chritz Jeremy; Schmitz Tamara; Cummins Jaime
11405579Removable storage device with a virtual camera for video surveillance as  a serviceKale Poorna; Lin Te-Chang
11408935JTAG registers with concurrent inputsMondello Antonino; Troia Alberto
11409348Power backup architecture to manage capacitor healthSuljic Vehid; Rowley Matthew D.
11409354Multi-voltage operation for driving a multi-mode channelBrox Martin; Hein Thomas
11409436Buffer management in memory systems for read and write requestsBavishi Dhawal; Meyerowitz Trevor Conrad
11409450Channel architecture for memory devicesBasu Reshmi
11409461Extending size of memory unitSubbarao Sanjay
11409462Data removal marking in a memory deviceLi Huachen; Zhang Xu; Li Zhong Xian; Duan Xinghui; Wang Xing; Liang Tian
11409533Pipeline merging in a circuitGrassi Michael
11409539On-demand programmable atomic kernel loadingWalker Dean E.; Brewer Tony; Baronne Chris
11409562Class-based dynamic memory slot allocationOu Michael; Liu Hao
11409595Channel modulation for a memory deviceBrox Martin; Mayer Peter; Spirkl Wolfgang Anton; Hein Thomas; Richter  Michael Dieter; Hollis Timothy M.; Greeff Roy E.
11409599Managing probabilistic data integrity scans in workloads with localized  read patternsSharifi Tehrani Saeed
11409600Increased memory access parallelism using parityLiang Qing
11409601Memory device protectionBrewer Tony M.; Keeth Brent
11409651Host accelerated operations in managed NAND devicesJean Sebastien Andre; Blodgett Greg A.
11409654Intelligent optimization of caching operations in a data storage deviceBielby Robert Richard Noel; Kale Poorna
11409657Adaptive address trackingRoberts David Andrew
11409661Logical-to-physical mappingLuo Xiangang; Huang Jianmin
11409674Memory with improved command/address bus utilizationBell Debra M.; Johnson Vaughn N.; Alexander Kyle; Howe Gary L.; Pecha  Brian T.; Wiscombe Miles S.
11409753Reducing probabilistic filter query latencyBoles David; Groves John M.; Moyer Steven; Tomlinson Alexander
11410475Autonomous vehicle data recordersGolov Gil
11410713Apparatuses and methods for detecting illegal commands and command  sequencesWu Di; Bell Debra M.; Veches Anthony D.; Rehmeyer James S.; Wang Libo
11410715Apparatus with refresh management mechanismMeier Nathaniel J.; Rehmeyer James S.; Brown David R.
11410717Apparatuses and methods for in-memory operationsLea Perry V.; Murphy Richard C.
11410718Systems and methods for common gate input buffersKang Shin Deok
11410726Integrated circuit devices for driving conductors to target voltage  levelsPiccardi Michele; Guo Xiaojiang
11410730I/O buffer offset mitigation while applying a same voltage level to two  inputs of an input bufferTang Qiang; Ghodsi Ramin
11410734Voltage bin selection for blocks of a memory device after power up of the  memory deviceMuchherla Kishore Kumar; Ratnam Sampath K; Nowell Shane; Parthasarathy  Sivagnanam; Kaynak Mustafa N; Schuh Karl D; Feeley Peter; Wu Jiangang
11410737Power regulation for memory systemsChoi Baekkyu; Badrieh Fuad; Kinsley Thomas H.
11410742Microelectronic device testing, and related devices, systems, and methodsFujiwara Yoshinori
11410743Self-adaptive read voltage adjustment using directional error statistics  for memories with time-varying error ratesXie Tingjun; Chen Zhengang
11410949Memory devices with backside bond pads under a memory arrayLee Eric N.; Goda Akira
11410961Methods and apparatus for temperature modification in bonding stacked  microelectronic components and related substrates and assembliesBayless Andrew M.; Wirz Brandon P.
11410962Methods and systems for manufacturing semiconductor devicesZhou Wei; Street Bret K.; McClain Benjamin L.; Tuttle Mark E.
11410963Methods and systems for manufacturing semiconductor devicesZhou Wei; Street Bret K.; McClain Benjamin L.; Tuttle Mark E.
11410964Contaminant control in thermocompression bonding of semiconductors and  associated systems and methodsWirz Brandon P.; Song Jaekyu; Huang Sui Chi
11410969Semiconductor device assemblies including multiple stacks of different  semiconductor diesThurgood Blaine J.
11410973Microelectronic device assemblies and packages and related methods and  systemsFay Owen R.; Richards Randon K.; Limaye Aparna U.; Lim Dong Soon; Yoo  Chan H.; Street Bret K.; Nakano Eiichi; Luo Shijian
11410980Integrated assemblies comprising vertically-stacked decksJuengling Werner
11410981Graphics processing unit and high bandwidth memory integration using  integrated interface and silicon interposerYoo Chan H.; Fay Owen R.
11411002Memory arrays comprising vertically-alternating tiers of insulative  material and memory cells and methods of forming a memory arrayRamaswamy Durai Vishak Nirmal
11411008Integrated circuity, dram circuitry, methods used in forming integrated  circuitry, and methods used in forming DRAM circuitrySandhu Gurtej S.; Smythe John A.
11411012Methods used in forming a memory array comprising strings of memory cellsHopkins John D.
11411013Microelectronic devices including stair step structures, and related  electronic devices and methodsJhothiraman Jivaan Kishore; Shrotri Kunal; King Matthew J.
11411015Memory arrays and methods used in forming a memory arrayHowder Collin; Carter Chet E.
11411021Integrated assemblies and methods of forming integrated assembliesGreenlee Jordan D.; Hopkins John D.
11411085Devices comprising floating gate materials, tier control gates, charge  blocking materials, and channel materialsHopkins John D.
11411118Integrated assembliesPulugurtha Srinivas; Yang Litao; Liu Haitao; Karda Kamal M.
11411139Textured optoelectronic devices and associated methods of manufactureXu Lifang; Schellhammer Scott D.; Mou Shan Ming; Bernhardt Michael J.
11412032Sharing a memory resource among physically remote entitiesBoehm Aaron P.
11412075Multiple protocol header processingPatrick David; Brewer Tony
11416048Using a thermoelectric component to improve memory sub-system performanceSpica Michael R.
11416143Runtime selection of memory devices and storage devices in a  disaggregated memory systemBasu Reshmi; Murphy Richard C.
11416154Partially written block treatmentParthasarathy Sivagnanam; Grunzke Terry M.; Botticchio Lucia; Di  Francesco Walter; Indavarapu Vamshi K.; Valeri Gianfranco; Padilla Renato C.;  Mohammadzadeh Ali; Hoei Jung Sheng; De Santis Luca
11416164Time indicator of super block operationsBrandt Kevin R.
11416173Memory system with dynamic calibration using a variable adjustment  mechanismSheperek Michael; Koudele Larry J.; Kientz Steve
11416177Memory sub-system storage mode controlPratt Thomas
11416217Split and duplicate ripple circuitsMorgan Donald Martin
11416250Method and apparatus in memory for input and output parameters  optimization in a memory system during operationDurai Elancheren
11416331Modified checksum using a poison data patternBrewer Tony M.; Hornung Bryan D.
11416333Semiconductor device with power-saving mode and associated methods and  systemsLam Boon Hor; Major Karl L.; Ho Loon Ming; Montierth Dennis G.
11416388Memory sub-system logical block address remappingMuchherla Kishore K.; Rayaprolu Vamsi Pavan; Schuh Karl D.; Wu Jiangang;  Golov Gil
11416389Managing garbage collection in a memory subsystem based on  characteristics of data streamsAkin William; Bahirat Shirish D.
11416391Garbage collectionMuchherla Kishore K.; Ratnam Sampath K.; Feeley Peter; Miller Michael G.;  Hubbard Daniel J.; Padilla Renato C.; Malshe Ashutosh; Singidi Harish R.
11416393Efficient scrambling and encoding for copyback procedures using  precomputed valuesEisenhuth Robert B.; Parry Jonathan S.
11416395Memory virtualization for accessing heterogeneous memory componentsRay Anirban; Maharana Parag R.; Anand Gurpreet
11416420Secure memory system programmingDuval Olivier
11416422Memory chip having an integrated data moverBradshaw Samuel E.; Swami Shivam; Eilert Sean S.; Eno Justin M.; Akel  Ameen D.
11416437Memory devices, modules and systems having memory devices with varying  physical dimensions, memory formats, and operational capabilitiesKinsley Thomas H.; Pax George E.; Hollis Timothy M.; Sharma Yogesh;  Richards Randon K.; Yoo Chan H.; King Gregory A.; Stave Eric J.
11416621Authenticating software imagesDuval Olivier
11416735Neural networks and systems for decoding encoded dataLuo Fa-Long; Cummins Jaime; Schmitz Tamara
11417031Highlighting a tagged object with augmented realityChhabra Bhumika; Viswanathan Radhika; Christensen Carla L.;  Hosseinimakarem Zahra
11417368Memory devices including heatersIwasaki Tomoko Ogura; Koushan Foroozan; Nayar Jayasree; Shin Ji-Hye Gale
11417372Interface protocol configuration for memoryMurphy Richard C.; Hush Glen E.; Sun Honglin
11417373Neuromorphic computing devices and methodsKale Poorna; Gattani Amit
11417374Reset speed modulation circuitry for a decision feedback equalizer of a  memory deviceWaldrop William Chad; Howe Gary L.
11417375Discharge current mitigation in a memory arrayWang Hongmei; Son Jin Seung; Ghetti Andrea
11417380Dual mode ferroelectric memory cell operationVimercati Daniele
11417381Memory device having shared read/write access line for 2-transistor  vertical memory cellSarpatwari Karthik; Karda Kamal M.; Ramaswamy Durai Vishak Nirmal
11417382Apparatuses and methods for skipping wordline activation of defective  memory during refresh operationsArai Minari
11417383Apparatuses and methods for dynamic refresh allocationJenkinson Matthew D.; Meier Nathaniel J.; Montierth Dennis G.
11417384Apparatuses and methods for control of refresh operationsDu Bin; Li Liang
11417387Reserved rows for row-copy operations for semiconductor memory devices  and associated methods and systemsRooney Randall J.
11417388Processing of unassigned row address in a memoryMiyamoto Takayuki; Yamanaka Satoshi
11417389Layouts for sense amplifiers and related apparatuses and systemsWatanabe Yuko; Shirako Takefumi
11417391Systems and methods for level down shifting driversKim Tae H.
11417394Decoding for a memory deviceFratin Lorenzo; Fantini Paolo; Pellizzer Fabio; Graettinger Thomas M.
11417396Sequential voltage ramp-down of access lines of non-volatile memory  deviceFayrushin Albert; Benvenuti Augusto; Goda Akira; Laurin Luca; Liu Haitao
11417398Memory cells for storing operational dataBoniardi Mattia; Conti Anna Maria; Tortorelli Innocenzo
11417405Scan optimization from stacking multiple reliability specificationsVashi Ankit Vinod; Singidi Harish Reddy; Muchherla Kishore Kumar
11417406Reducing program verifies for multi-level NAND cellsMcNeil Jeffrey S.; Nevill Jason Lee; Vali Tommaso
11417411Systems and methods for power savings in row repaired memoryRehmeyer James S.; Fujiwara Yoshinori
11417565Methods of forming high aspect ratio openings and methods of forming high  aspect ratio featuresTokashiki Ken; Smythe John A.; Sandhu Gurtej S.
11417661Integrated assemblies comprising stud-type capacitorsSandhu Gurtej S.; Rocklein Matthew N.; Busch Brett W.
11417671Memory device including pass transistors in memory tiersTanzawa Toru
11417673Microelectronic devices including stair step structures, and related  memory devices, electronic systems, and methodsLuo Shuangqiang; Chary Indra V.; Dorhout Justin B.
11417676Methods of forming microelectronic devices and memory devices, and  related microelectronic devices, memory devices, and electronic systemsMeotto Umberto Maria; Camerlenghi Emilio; Tessariol Paolo; Laurin Luca
11417681Memory arrays and methods used in forming a memory array comprising  strings of memory cells and operative through-array-viasHu Yi; Carlson Merri L.; Chandolu Anilkumar; Chary Indra V.; Daycock  David; Jain Harsh Narendrakumar; King Matthew J.; Li Jian; Lowe Brett D.; Rau  Prakash Rau Mokhna; Xu Lifang
11417682Integrated assemblies having vertically-spaced channel material segments,  and methods of forming integrated assembliesHopkins John D.; Surthi Shyam; Greenlee Jordan D.
11417730Vertical transistors with channel region having vertically elongated  crystal grains that individually are directly against both of the top and  bottom source/drain regionsNahar Manuj; Antonov Vassil N.; Karda Kamal M.; Mutch Michael; Liu  Hung-Wei; Hull Jeffery B.
11417840Protective sealant for chalcogenide material and methods for forming the  sameGood Farrell M.; Grubbs Robert K.; Lugani Gurpreet S.
11417841Techniques for forming self-aligned memory structuresRussell Stephen W.; Redaelli Andrea; Tortorelli Innocenzo; Pirovano  Agostino; Pellizzer Fabio; Fratin Lorenzo
11418353Security descriptor generationDuval Olivier
11418370Time-variable decision feedback equalizationHollis Timothy M.
11418455Transparent packet splitting and recombiningBrewer Tony
11419239Thermal management of circuit boardsQu Xiaopeng; Chun Hyunsuk
11422577Output reference voltageCai Liuchun
11422705Non-deterministic memory protocolWalker Robert M.; Hall, Jr. James A.; Ross Frank F.
11422713Memory error indicator for high-reliability applicationsProsser Erika; Boehm Aaron P.; Bell Debra M.
11422720Apparatuses and methods to change data category valuesWillcock Jeremiah J.
11422745Addressing zone namespace and non-zoned memory based on data  characteristicsBert Luca
11422748Writing and querying operations in content addressable memory systems  with content addressable memory buffersAkel Ameen D.; Eilert Sean S.
11422820Shadow cache for securing conditional speculative instruction executionWallach Steven Jeffrey
11422826Operational code storage for an on-die microprocessorManning Troy A.; Harms Jonathan D.; Larsen Troy D.; Hush Glen E.;  Finkbeiner Timothy P.
11422883Generating parity data based on a characteristic of a stream of dataBahirat Shirish; Kulkarni Aditi P.
11422884Spare substitution in memory systemPawlowski Joseph Thomas
11422885Tiered error correction code (ECC) operations in memoryKaynak Mustafa N.; Khayat Patrick R.; Parthasarathy Sivagnanam
11422887Techniques for non-deterministic operation of a stacked memory systemPawlowski Joseph T.
11422929Memory devices and methods which may facilitate tensor memory accessLuo Fa-Long; Cummins Jaime; Schmitz Tamara; Chritz Jeremy
11422933Data storage layoutWheeler Kyle B.; Finkbeiner Timothy P.
11422934Adaptive address trackingRoberts David Andrew
11422945Generating, maintaining, or utilizing a compressed logical-to-physical  table based on sequential writesPalmer David A.
11423154Endpoint authentication based on boot-time binding of multiple componentsDuval Olivier
11423953Command triggered power gating for a memory deviceAkamatsu Hiroshi; Cho Kwang-Ho
11423964Memory device having an enhanced ESD protection and a secure access from  a testing machineTroia Alberto; Mondello Antonino
11423972Integrated assembliesLi Jiyun; He Yuan
11423973Contemporaneous sense amplifier timings for operations at internal and  edge memory array matsHe Yuan
11423976Memory array reset read operationBinfet Jeremy; Helm Mark; Filipiak William; Hawes Mark
11423981Decoding for a memory deviceFantini Paolo; Fratin Lorenzo; Pellizzer Fabio
11423988Programming techniques for polarity-based memory cellsTortorelli Innocenzo; Boniardi Mattia; Robustelli Mattia
11423989Generating embedded data in memory cells in a memory sub-systemLiikanen Bruce A.; Sheperek Michael; Koudele Larry J.
11423990Multi-stage erase operation for a memory deviceKoushan Foroozan S.; Sato Shinji
11424001Apparatuses, systems, and methods for error correctionFujishiro Keisuke; Mochida Yoshifumi
11424005Apparatuses and methods for adjusting victim dataPenney Daniel B.; Brown Jason M.
11424118Electronic devices comprising silicon carbide materialsSarkar Santanu; Good Farrell M.
11424169Memory device including circuitry under bond padsCerafogli Chiara; Marr Kenneth William; Soderling Brian J.; Violette  Michael P.; Tomayer Joshua Daniel; Davis James E.
11424184Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsLuo Shuangqiang; Chary Indra V.
11424241Devices, memory devices, and methods of forming devicesSills Scott E.; Beigel Kurt D.
11424256Transistors, semiconductor constructions, and methods of forming  semiconductor constructionsThimmegowda Deepak; Bicksler Andrew R.; Awusie Roland
11424262Microelectronic devices including staircase structures, and related  memory devices and electronic systemsLuo Shuangqiang; Lomeli Nancy M.
11424267Dielectric extensions in stacked memory arraysTessariol Paolo; Fukuzumi Yoshiaki
11424291Array of cross point memory cellsFrost Denzil S.; Allen, III Tuman Earl
11424363Programmable charge-storage transistor, an array of  elevationally-extending strings of memory cells, and a method of forming an  array of elevationally-extending strings of memory cellsLiu Haitao; Karda Kamal M.; Fayrushin Albert
11424764Recurrent neural networks and systems for decoding encoded dataLuo Fa-Long
11425740Method and device capable of executing instructions remotely in  accordance with multiple logic unitsLuo Fa-Long; Hush Glen E.; Boehm Aaron P.
11425816Card edge connector with intra-pair couplingStewart Daniel B.
11429284Data categorization based on invalidation velocitiesBahirat Shirish D.; Haswell Jonathan M.; Akin William
11429291Memory system and operations of the sameGans Dean D.
11429292Power management for a memory deviceKinsley Thomas H.; Choi Baekkyu; Badrieh Fuad
11429300Independent parallel plane access in a multi-plane memory devicePekney Theodore T.
11429309Adjusting a parameter for a programming operation based on the  temperature of a memory systemKaynak Mustafa N; Ratnam Sampath K; Loh Zixiang; Rao Nagendra Prasad  Ganesh; Koudele Larry K; Rayaprolu Vamsi Pavan; Khayat Patrick R; Nowell  Shane
11429445User interface based page migration for performance enhancementYudanov Dmitri; Bradshaw Samuel E.
11429479Memory device activity-based copying defect management dataMylavarapu Sai Krishna
11429480Method of demand scrubbing by placing corrected data in memory-side cacheHornung Bryan; Patrick David
11429483Read level edge find operations in a memory sub-systemLiikanen Bruce A.; Koudele Larry J.
11429504Closing block family based on soft and hard closure criteriaSheperek Michael; Koudele Larry J.; Williams Steven S.
11429521Allocation of overprovisioned blocks for minimizing write amplification  in solid state drivesBahirat Shirish D.; Akin William; Kulkarni Aditi P.
11429528Split cache for address mapping dataColella Nicola; Pollio Antonino
11429543Managed NAND flash memory region control against endurance hackingGolov Gil
11429544Enabling devices with enhanced persistent memory region accessSteinmetz Joseph H.; Bert Luca; Akin William
11430489Power management component for memory sub-system power cyclingRowley Matthew D.
11430492Apparatuses including multiple read modes and methods for samePekny Theodore T.
11430503Semiconductor device performing implicit precharge operationSato Homare
11430504Row clear features for memory devices and associated methods and systemsWiscombe Miles S.; Smith Scott E.; Howe Gary L.; Huber Brian W.; Brewer  Tony M.
11430509Varying-polarity read operations for polarity-written memory cellsTortorelli Innocenzo; Giduturi Hari; Pellizzer Fabio
11430511Comparing input data to stored dataCastro Hernan A.
11430518Conditional drift cancellation operations in programming memory cells to  store dataWang Hongmei; Cui Mingdong; Gajera Nevil N.
11430522Programming of memory devicesBeltrami Silvia; Visconti Angelo
11430526Interleaved two-pass data programming techniques with reduced write  amplificationNguyen Phong Sy; Fitzpatrick James; Muchherla Kishore Kumar
11430528Determining a read voltage based on a change in a read windowRayaprolu Vamsi Pavan; Puzzilli Giuseppina; Schuh Karl D.; McNeil, Jr.  Jeffrey S.; Muchherla Kishore K.; Malshe Ashutosh; Righetti  Niccolo′
11430539Modifiable repair solutions for a memory arrayManning Troy A.; Larsen Troy D.; Harms Jonathan D.; Hush Glen E.;  Finkbeiner Timothy P.
11430540Defective memory unit screening in a memory systemFrolikov Alex
11430734Methods of forming memory devices including stair step structuresTessariol Paolo; Wolstenholme Graham R.; Yip Aaron
11430793Microelectronic devices including passing word line structures, and  related electronic systems and methodsPandey Deepak Chandra; Neelapala Venkata Naveen Kumar; Liu Haitao
11430798Methods and apparatuses with vertical strings of memory cells and support  circuitryHasegawa Takehiro; Sakui Koji
11430809Integrated assemblies, and methods of forming integrated assembliesHossain S. M. Istiaque; Mokhna Rau Prakash Rau; Dhayalan Arun Kumar;  Fazil Damir; Peterson Joel D.; Chandolu Anilkumar; Fayrushin Albert; Matamis  George; Larsen Christopher; Islam Rokibul
11430887High voltage isolation devices for semiconductor devicesSmith Michael A.
11430888Integrated assemblies having transistors configured for high-voltage  applicationsShafi Zia A.; Laurin Luca; Panda Durga P.; Vigano´ Sara
11430895Transistors including oxide semiconductive materials, and related  microelectronic devices, memory devices, electronic systems, and methodsKarda Kamal M.; Huang Guangyu; Liu Haitao; Goda Akira
11430950Low resistance via contacts in a memory deviceAlbini Giulio
11431355Error correction code (ECC) operations in memory for providing redundant  error correctionKhayat Patrick R.; Parthasarathy Sivagnanam; Kaynak Mustafa N.
11431629Data packet managementVlasov Aleksei; Sharma Prateek; Weinberg Yoav; Virani Scheheresade;  Mallak Bridget L.
11431653Packet arbitration for buffered packets in a network deviceBrewer Tony; Pospesel Kirk D.; Grassi Michael
11433855Intelligent detection and alerting of potential intrudersBielby Robert Richard Noel; Kale Poorna
11435811Memory device sensorsBell Debra M.; Baghi Roya; Gove Erica M.; Hosseinimakarem Zahra;  O'Donnell Cheryl M.
11435900Namespace size adjustment in non-volatile memory devicesFrolikov Alex
11435919Associating multiple cursors with block family of memory deviceSheperek Michael; Liikanen Bruce A.; Feeley Peter; Koudele Larry J.;  Nowell Shane; Kientz Steven Michael
11435944Dynamic memory address write policy translation based on performance  needsCariello Giuseppe; Parry Jonathan Scott
11435946Intelligent wear leveling with reduced write-amplification for data  storage devices configured on autonomous vehiclesBielby Robert Richard Noel; Kale Poorna
11436041Customized root processes for groups of applicationsYudanov Dmitri; Bradshaw Samuel E.
11436071Error control for content-addressable memoryAkel Ameen D.; Eilert Sean S.
11436076Predictive management of failing portions in a data storage deviceKale Poorna; Bielby Robert Richard Noel
11436078NAND parity information techniques for systems with limited RAMSingidi Harish Reddy; Luo Xiangang; Huang Jianmin; Muchherla Kishore  Kumar; Malshe Ashutosh; Rayaprolu Vamsi Pavan; Ratnam Sampath
11436082Internal error correction for memory devicesBoehm Aaron P.; Schaefer Scott E.
11436084Semiconductor device having error correction code (ECC) circuitSuzuki Takamasa
11436085Dynamic over provisioning allocation for purposed blocksMuchherla Kishore Kumar; Singidi Harish R.; Malshe Ashutosh; Rayaprolu  Vamsi Pavan; Ratnam Sampath K.
11436144Cache memory addressingPawlowski Joseph Thomas; Cooper-Balis Elliott Clifford; Roberts David  Andrew
11436154Logical block mapping based on an offsetMalshe Ashutosh; Schuh Karl D.
11436156Memory access control through permissions specified in page table entries  for execution domainsWallach Steven Jeffrey
11436167Interface components between a controller and memory devicesRowley Matthew D.; Castro Peter R.
11436169Individually addressing memory devices disconnected from a data busSchaefer Scott E.; Prather Matthew A.
11436187Method of notifying a process or programmable atomic operation trapsBrewer Tony
11436864Driver recognition to control vehicle systemsBurk Michael Tex; Bielby Robert Richard Noel
11437079Span mask generationTiwari Sanjay
11437086Phase clock correctionBrox Martin; Kuzmenka Maksim
11437093Methods for mitigating power loss events during operation of memory  devices and memory devices employing the sameMoschiano Violante; Smaniotto Andrea
11437097Voltage equalization for pillars of a memory arrayVilla Corrado; Bedeschi Ferdinando; Fantini Paolo
11437103Memory cells configured to generate weighted inputs for neural networksMinucci Umberto; Vali Tommaso; Irrera Fernanda; De Santis Luca
11437106Capacitive sense NAND memoryFukuzumi Yoshiaki; Fujiki Jun; Tanaka Shuji; Yoshida Masashi; Saito  Masanobu; Kamata Yoshihiko
11437108Voltage bin calibration based on a temporary voltage shift offsetMuchherla Kishore Kumar; Schuh Karl; Kaynak Mustafa N; Luo Xiangang;  Nowell Shane; Batutis Devin; Parthasarathy Sivagnanam; Ratnam Sampath; Wu  Jiangang; Feeley Peter
11437111Trims corresponding to program/erase cyclesMcNeil, Jr. Jeffrey S.; Schuh Karl D.; Rayaprolu Vamsi Pavan; Puzzilli  Giuseppina; Muchherla Kishore K.; Golov Gil; Marquart Todd A.; Wu Jiangang;  Righetti Niccolo'; Malshe Ashutosh
11437112Multi-level signaling for a memory deviceSpirkl Wolfgang Anton; Richter Michael Dieter; Hein Thomas; Mayer Peter;  Brox Martin
11437116System and method for counting fail bit and reading out the sameMohr Christian N.; Wolff Gregg D.; Wieduwilt Christopher G.; Benitez C.  Omar; Montierth Dennis G.
11437117NAND flash array defect real time detectionGuo Xiaojiang; Hoei Jung Sheng; Piccardi Michele; Tripathi Manan
11437119Error read flow componentJeon Seungjune
11437318Microelectronic devices including staircase structures, and related  memory devices and electronic systemsNguyen Qui V.; Siau Chang H.
11437381Integrated assemblies having voltage sources coupled to shields and/or  plate electrodes through capacitorsLi Jiyun; Derner Scott J.
11437389Integrated assemblies and methods of forming integrated assembliesLuo Shuangqiang
11437391Methods of forming microelectronic devices, and related microelectronic  devices and electronic systemsManthena Raja Kumar Varma; Chandolu Anilkumar
11437435On-pitch vias for semiconductor devices and associated devices and  systemsLi Hongqi; Cultra James A.
11437521Methods of forming a semiconductor deviceSills Scott E.; Gandhi Ramanathan; Ramaswamy Durai Vishak Nirmal
11438012Failure-tolerant error correction layout for memory sub-systemsWu Wei; Shen Zhenlei; Chen Zhengang
11438109Apparatuses and methods to change information valuesGunderson Marlon; Ware Kurt
11438171Virtualized authentication deviceSzubbocsev Zoltan
11438414Inter operating system memory services over communication network  connectionsYudanov Dmitri; Akel Ameen D.; Bradshaw Samuel E.; Curewitz Kenneth  Marion; Eilert Sean Stephen
11442091Apparatus and methods for determination of capacitive and resistive  characteristics of access linesXu Dan; Xu Jun; Yu Erwin E.
11442525Power managementGuo Xiaojiang
11442531Independent thermal throttling temperature control for memory sub-systemsEgan Curtis W.
11442631Memory operations with consideration for wear levelingGupta Rajesh N.
11442634Replay protected memory block command queueJean Sebastien Andre; Blodgett Greg A.
11442638Status management in storage backed memory packageBurns Michael; Van Sickle Gary R.; Leyda Jeffery J.
11442641Voltage based combining of block families for memory devicesSheperek Michael; Muchherla Kishore Kumar; Nowell Shane
11442648Data migration dynamic random access memoryWalker Robert M.; Rosenfeld Paul; La Fratta Patrick A.
11442656Interruption of program operations at a memory sub-systemSimionescu Horia C.; Makhija Rohitkumar; Chen Peng-Cheng; Hoei Jung Sheng
11442787Memory pooling between selected memory resourcesBoehm Aaron P.; Hush Glen E.; Luo Fa-Long
11442807Error correction code (ECC) operations in memoryKreifels Gerard A.
11442833Memory sub-system temperature controlLuo Ting; Liu Tao; Bueb Christopher J.; Yuen Eric; Ang Cheng Cheng
11442854Balancing memory-portion accessesRoberts David Andrew
11442858Bias control for a memory deviceWalker Dean; Hornung Bryan D.; Brewer Tony M.; Patrick David M.; Baronne  Christopher A.
11442867Using a second content-addressable memory to manage memory burst accesses  in memory sub-systemsIsenegger Laurent; Bavishi Dhawal; Frederiksen Jeffrey
11442872Memory refresh operations using reduced powerVenkata Harish N.
11442877Data bus duty cycle distortion compensationWang Guan; Ghalam Ali Feiz Zarrin; Chen Chin-Yu; Kim Jongin
11442940Apparatuses and methods for on-memory pattern matchingBell Debra M.; Wang Libo; Wu Di; Rehmeyer James S.; Veches Anthony D.
11443778Peak current reduction using dynamic clocking during charge pump recovery  periodKalluru Vivek Venkata; Piccardi Michele
11443779Drive strength calibration for multi-level signalingMayer Peter; Spirkl Wolfgang Anton; Richter Michael Dieter; Brox Martin;  Hein Thomas
11443780Vertical access line multiplexorHe Yuan; Barry Beau D.; Kim Tae H.; Kawamura Christopher J.
11443788Reference-voltage-generators within integrated assembliesSuzuki Takamasa; Satoh Yasuo; He Yuan; Lee Hyunui
11443798High voltage switch with mitigated gate stressSmith Michael Andrew
11443811Data erase operations for a memory systemBrandt Kevin R.; Hieb Adam J.; Tanguy Jonathan; Thomson Preston A.
11443812Setting an initial erase voltage using feedback from previous operationsStoller Scott A.; Shukla Pitamber; Venkataraman Priya; Puzzilli  Giuseppina; Righetti Niccolo′
11443816Managing digitally-controlled charge pump operation in a memory  sub-systemPiccardi Michele
11443818Safety and correctness data reading and programming in a non-volatile  memory deviceMondello Antonino; Troia Alberto
11443821Memory device architecture coupled to a System-on-ChipMondello Antonino; Troia Alberto
11443828Read threshold adjustment techniques for memoryEisenhuth Robert B.
11443830Error avoidance based on voltage distribution parameters of block  familiesSheperek Michael; Muchherla Kishore Kumar; Nowell Shane; Kaynak Mustafa  N; Koudele Larry J
11444037Semiconductor devices having crack-inhibiting structuresChun Hyunsuk; Yang Sheng Wei; Arifeen Shams U.
11444059Wafer-level stacked die structures and associated systems and methodsChang Chih Yuan
11444067Stacked interposer structures, microelectronic device assemblies  including same, and methods of fabrication, and related electronic systemsFay Owen R.; Yoo Chan H.
11444088Methods of forming integrated assemblies having conductive material along  sidewall surfaces of semiconductor pillarsLi Hong; Venkatanarayanan Ramaswamy Ishwar; Tang Sanh D.; Poelstra Erica  L.
11444093Memory arrays and methods of forming memory arraysTiwari Chandra
11444099Microelectronic devices with lower recessed conductive structures and  related systemsChandolu Anilkumar; Chary Indra V.
11444243Electronic devices comprising metal oxide materials and related methods  and systemsSarkar Santanu; Grubbs Robert K.; Good Farrell M.; Saxler Adam W.; Gotti  Andrea
11444771Leveraging a trusted party third-party HSM and database to securely share  a keyDover Lance W.
11444780Secure replaceable verification key architecture in a memory sub-systemStrong Robert W.; Ruane James
11445157Image processor formed in an array of memory cellsLuo Fa-Long; Cummins Jaime C.; Schmitz Tamara
11449086Power voltage selection circuitMiwa Ikuma; Mochida Yoshifumi
11449249Configuring command/address channel for memoryRichter Michael Dieter; Spirkl Wolfgang Anton; Hein Thomas; Mayer Peter;  Brox Martin
11449250Managing a mode to access a memory component or a logic component for  machine learning computation in a memory sub-systemKale Poorna
11449258Apparatuses and methods for accessing hybrid memory systemKajigaya Kazuhiko
11449264Securely arming a memory device for self-destruction by implementing a  self-destruction countdown timer using a battery backed real-time clockStrong Robert W.
11449266Memory sub-system event log managementHieb Adam J.; Guy Adam C.; Tiwari Sanjay; Marquart Todd A.
11449267Determination of durations of memory device temperaturesRehmeyer James S.; Veches Anthony D.
11449269Edge compute components under a memory arrayHush Glen E.; Murphy Richard C.; Sun Honglin
11449271Implementing fault tolerant page stripes on low density memory systemsMuchherla Kishore Kumar; Helm Mark A.; Puzzilli Giuseppina; Feeley Peter;  Liu Yifen; Moschiano Violante; Goda Akira; Ratnam Sampath K.
11449272Operation based on consolidated memory region description dataSpringberg David Matthew
11449377Adaptive frequency control for high-speed memory devicesHuang Jian; Zhou Zhenming; Xu Zhongguang; Lang Murong
11449419Disassociating memory units with a host systemBavishi Dhawal; Shen Zhenlei
11449524Parking infrastructure powered by a decentralized, distributed databaseTroia Alberto; Mondello Antonino
11449577Methods and apparatus for performing video processing matrix operations  within a memory arrayLuo Fa-Long
11450354Flexible memory system with a controller and a stack of memoryJeddeloh Joe M.; Keeth Brent
11450358On-the-fly programming and verifying method for memory cells based on  counters and ECC feedbackMuzzetto Riccardo; Bedeschi Ferdinando; Di Vincenzo Umberto
11450368Systems and methods for adaptive write training of three dimensional  memoryStoddard Christopher Heaton; Lu Yang
11450373Memory system capable of compensating for kickback noiseChu Wei Lu; Pan Dong
11450375Semiconductor memory devices including subword driver and layouts thereofShirako Takefumi; Yokomichi Masahiro; Lee Kyuseok; Hwang Sangmin
11450377Apparatuses and methods including memory cells, digit lines, and sense  amplifiersKim Tae H.
11450378Apparatuses and methods of power supply control for threshold voltage  compensated sense amplifiersSato Toshiyuki; Noguchi Hidekazu
11450379Ultra-compact page bufferMoschiano Violante
11450380Apparatuses, systems, and methods for frequency-dependent signal  modulationKarim M. Ataul; Hollis Timothy M.
11450381Multi-deck memory device including buffer circuitry under arrayTanaka Tomoharu
11450382Memory cell state in a valley between adjacent data statesParthasarathy Sivagnanam; Khayat Patrick R.; Kaynak Mustafa N.; Eisenhuth  Robert B.
11450388Dynamic trim selection based on operating voltage levels for  semiconductor devices and associated methods and systemsWieduwilt Christopher G.; Rehmeyer James S.
11450391Multi-tier threshold voltage offset bin calibrationMuchherla Kishore Kumar; Nowell Shane; Kaynak Mustafa N.; Schuh Karl D.;  Wu Jiangang; Batutis Devin M.; Luo Xiangang
11450392Selective read disturb samplingMuchherla Kishore Kumar; Singidi Harish R.; Padilla Renato C.; Rayaprolu  Vamsi Pavan; Malshe Ashutosh; Ratnam Sampath K.
11450403Semiconductor memory device capable of performing  soft-post-package-repair operationMatsubara Yasushi
11450552Methods and apparatus for adjusting surface topography of a substrate  support apparatusShirley Paul D.
11450577Methods and systems for imaging and cutting semiconductor wafers and  other semiconductor workpiecesFarnworth Warren M.; Muntifering Tom A.; Clawson Paul J.
11450601Assemblies comprising memory cells and select gatesHopkins John D.; Matamis George
11450645Semiconductor assemblies with hybrid fanouts and associated methods and  systemsBhushan Bharat; Murali Pratap; Bansal Raj K.
11450668Integrated memory comprising secondary access devices between digit lines  and primary access devicesDerner Scott J.; Ingalls Charles L.
11450693Single crystal horizontal access device for vertical three-dimensional  (3D) memory and method of forming 3D memoryLiu Haitao; Lee Si-Woo
11450740Integrated memory comprising gated regions between charge-storage devices  and access devicesDerner Scott J.; Ingalls Charles L.
11451415Circuitry for increasing bandwidth and reducing interference in memory  signalsLoftsgaarden Taylor; Hsieh Ming-ta
11451480Lightweight artificial intelligence layer to control the transfer of big  dataGolov Gil
11454941Peak power management of dice in a power networkParry Jonathan S.; Palmer David A.
11454968Artificial neural network integrity verificationTroia Alberto; Mondello Antonino; Pisasale Michelangelo
11455098Host techniques for stacked memory systemsPawlowski Joseph T.
11455107Managing sequential write performance consistency for memory devicesRajgopal Suresh; Wang Ling; Wei Yue; Rayaprolu Vamsi Pavan
11455109Automatic wordline status bypass managementWu Jiangang; Hoei Jung Sheng; Lin Qisong; Muchherla Kishore Kumar
11455194Management of unmapped allocation units of a memory sub-systemXie Tingjun; Chen Zhengang; Shen Zhenlei
11455210Error detection and correction in memoryMcCrate Joseph M.; Gleixner Robert J.
11455232Debug operations on artificial intelligence operationsTroia Alberto
11455242Scrubber driven wear leveling in out of place media translationEno Justin; Bradshaw Samuel E.
11455245Scheme to improve efficiency of garbage collection in cached flash  translation layerDuan Xinghui; D'Eliseo Giuseppe; Drissi Lalla Fatima; Ferrari Giuseppe;  Yuen Eric Kwok Fung; Iaculo Massimo
11455259Memory module data object processing systems and methodsMurphy Richard C.
11455262Reducing latency for memory operations in a memory controllerBrewer Tony
11456024Variable clock dividerUemura Yutaka
11456027Suspicious activity monitoring memory systemVan De Graaff Scott D.; Plum Todd J.
11456031Write leveling a memory device using write DLL circuitryChen Liang
11456032Systems and methods for memory cell accessesLee Yen Chun
11456033Dedicated commands for memory operationsBalluchi Daniele; Amato Paolo; Mirichigni Graziano; Caraccio Danilo;  Sforzin Marco; Dallabora Marco
11456034Fully associative cache managementPawlowski Joseph T.
11456036Predicting and compensating for degradation of memory cellsLu Zhongyuan; Gleixner Robert J.
11456037Block read count voltage adjustmentSingidi Harish; Muchherla Kishore Kumar; Alsasua Gianni Stephen; Malshe  Ashutosh; Ratnam Sampath; Besinga Gary F.; Miller Michael G.
11456038Simplified operations to read memory cells coarsely programmed via  interleaved two-pass data programming techniquesNguyen Phong Sy; Fitzpatrick James; Muchherla Kishore Kumar
11456039Resumption of program or erase operations in memoryLee Eric N.; Srinivasan Dheeraj
11456043Select gate maintenance in a memory sub-systemBatutis Devin M.; Rajagiri Avinash; Lee Sheng-Huang; Yeung Chun Sum;  Singidi Harish R.
11456049Memory device testing, and associated methods, devices, and systemsJohnson Jason M.; Montierth Dennis G.
11456051Optimized storage charge loss managementBesinga Gary F.; Padilla Renato C.; Opastrakoon Tawalin; Ratnam Sampath  K.; Miller Michael G.; Smitchger Christopher M.; Rayaprolu Vamsi Pavan;  Malshe Ashutosh
11456208Methods of forming apparatuses including air gaps between conductive  lines and related apparatuses, memory devices, and electronic systemsGupta Sidhartha; Economy David Ross; Hill Richard J.; Ritter Kyle A.;  Kaushik Naveen
11456253Semiconductor device and method of forming the sameSugioka Shigeru; Yamaguchi Hidenori; Fujiki Noriaki; Kawakita Keizo;  Bansal Raj K.
11456278Methods for fabricating 3D semiconductor device packages, resulting  packages and systems incorporating such packagesNakano Eiichi
11456284Microelectronic device assemblies and packages and related methodsRichards Randon K.; Limaye Aparna U.; Fay Owen R.; Lim Dong Soon
11456286Semiconductor device assembly with through-package interconnect and  associated systems, devices, and methodsYoo Chan; Bolken Todd O.
11456289Face-to-face semiconductor device with fan-out porchPaek Jong Sik; Ko Yeongbeom
11456299Integrated assemblies having voids along regions of gates, and methods of  forming conductive structuresTang Sanh D.
11456880Cryptographically secure mechanism for remotely controlling an autonomous  vehicleMondello Antonino; Troia Alberto
11456986Single message management platformChhabra Bhumika; Barkam Swetha; Russo Kathryn H.; Zhao Zhao
11461011Extended line width memory-side cache systems and methodsMurphy Richard C.; Korzh Anton; Pawlowski Stephen S.
11461017Systems and methods for improving efficiencies of a memory systemPawlowski J. Thomas
11461019Systems and methods for packing data in a scalable memory system protocolPawlowski J. Thomas
11461020Memory device equipped with data protection schemeAmato Paolo
11461028Memory writing operations with consideration for thermal thresholdsBasu Reshmi; Stube, II William Leins; Dupont Anthony Joseph; Ives Michael  Richard
11461030Transferring data between clock domains using pulses across a queueChen Yueh-Hung; Kao Chih-Kuo; Tai Ying Yu; Zhu Jiangli
11461035Adjusting a preprogram voltage based on use of a memory deviceVenkataraman Priya; Shukla Pitamber; Stoller Scott A.; Puzzilli  Giuseppina; Righetti Niccolo'
11461042Non-volatile memory module architecture to support memory error  correctionPax George; Parry Jonathan Scott
11461048Memory controller with programmable atomic operationsBrewer Tony M.
11461158Granular error reporting on multi-pass programming of non-volatile memoryLin Qisong; Rayaprolu Vamsi Pavan; Wu Jiangang; Ratnam Sampath K.;  Parthasarathy Sivagnanam; Shi Shao Chun
11461170Error caching techniques for improved error correction in a memory deviceEilert Sean S.; Melton William A.; Eno Justin
11461197Flash memory architecture implementing interconnection redundancyTroia Alberto; Mondello Antonino
11461228Multilevel addressingFerrante Gianfranco; Minopoli Dionisio
11461233Handling asynchronous power loss in a memory sub-system that programs  sequentiallyLam Johnny A.; Wesenberg Alex J.; Winterfeld Michael
11461246Multiple memory type memory module systems and methodsMurphy Richard C.
11461256Quality of service levels for a direct memory access engine in a memory  sub-systemBavishi Dhawal; Isenegger Laurent
11461527Interface for data communication between chiplets or other integrated  circuits on an interposerBrewer Tony M.
11461651System on a chip with deep learning accelerator and random access memoryKale Poorna; Cummins Jaime
11462249System and method for reading and writing memory management data using a  non-volatile cell based registerMatsubara Yasushi; Jono Yusuke; Morgan Donald Martin; Yamamoto Nobuo
11462250Apparatuses and methods for concurrently accessing different memory  planes of a memoryPekny Theodore T.; Park Jae-Kwan; Moschiano Violante; Incarnati Michele;  Santis Luca de
11462254Apparatus with data-rate-based voltage control mechanism and methods for  operating the sameHowe Gary L.; Wiscombe Miles S.; Rehmeyer James S.; Stave Eric J.
11462259Apparatuses and methods for providing power responsive to internal power  usageKitagawa Katsuhiro
11462261Methods of activating input/output lines of memory devices, and related  devices and systemsTakano Yosuke; Shimizu Atsushi
11462265Reading memory cells coarsely programmed via interleaved two-pass data  programming techniquesNguyen Phong Sy; Fitzpatrick James; Muchherla Kishore Kumar
11462277Random telegraph signal noise reduction scheme for semiconductor memoriesTanzawa Toru
11462280Adjusting pass-through voltage based on threshold voltage shiftMuchherla Kishore Kumar; Kaynak Mustafa N.; Ratnam Sampath K.; Feeley  Peter; Parthasarathy Sivagnanam
11462281Intervallic dynamic start voltage and program verify sampling in a memory  sub-systemMiranda Lawrence Celso; Lee Eric N.; Liu Tong; Ning Sheyang; Loper Cobie  B.; Russo Ugo
11462283Latch circuits with improved single event upset immunity and related  systems, apparatuses, and methodsLiu Liang
11462288Memory component provided with a test interfaceMondello Antonino; Troia Alberto
11462289Wordline capacitance balancingVilla Corrado; Moser Shane D.
11462291Apparatuses and methods for tracking word line accessesPan Dong
11462472Low cost three-dimensional stacking semiconductor assembliesFay Owen R.; Yoo Chan H.
11462544Array of recessed access gate linesTang Sanh D.; Karda Kamal M.; Mueller Wolfgang; Dhir Sourabh; Kerr  Robert; Hwang Sangmin; Liu Haitao
11462629Field effect transistors having a finTanzawa Toru
11463112Dynamic bit flipping order for iterative error correctionKaynak Mustafa N.; Parthasarathy Sivagnanam
11463263Secure emergency vehicular communicationMondello Antonino; Troia Alberto
11466685Driver circuit equipped with power gating circuitKojima Mieko
11467654Power management integrated circuit based system management bus isolationRowley Matthew D.
11467737Reducing probabilistic data integrity scan collisionsSharifi Tehrani Saeed
11467750Adjustable physical or logical capacity criteria for write cache  replenishment based on temperature or program erase cycles of the memory  deviceBueb Christopher J.
11467761Memory device and method for monitoring the performances of a memory  deviceTroia Alberto; Mondello Antonino
11467763Valid data aware media reliability scanning for memory sub-blocksPalmer David Aaron
11467845Asynchronous pipeline merging using long vector arbitrationGrassi Michael
11467897Adaptive data integrity scan frequencySharifi Tehrani Saeed
11467900Adjusting read throughput level for a data recovery operationZhou Zhenming; Huang Jian; Zhu Jiangli
11467901Disposable parityCariello Giuseppe
11467976Write requests with partial translation unitsBhardwaj Amit
11467979Methods for supporting mismatched transaction granularitiesPawlowski Joseph T.
11467980Performing a media management operation based on a sequence identifier  for a blockMuchherla Kishore Kumar; Feeley Peter; Ratnam Sampath K.; Malshe Ashutosh
11467995Pin mapping for memory devicesLendvay William A.; Cyr Scott R.
11468171Secure boot via system and power management microcontrollerStrong Robert W.; Carter Dustin J.; Levine Neil
11468869Image location based on perceived interest and display positionChristensen Carla L.; Hosseinimakarem Zahra; Chhabra Bhumika; Viswanathan  Radhika
11468923Apparatuses and methods for controlling data timing in a multi-memory  systemTakahashi Tsugio; Liang Zer
11468930Vertical decoderRedaelli Andrea; Pellizzer Fabio
11468931Memory subsystem register clock driver clock teeingLeslie Matthew B.; Hollis Timothy M.; Greeff Roy E.
11468934Access line disturbance mitigationGuo Xinwei
11468937Apparatuses and methods for generating refresh addressesNoguchi Hidekazu
11468938Memory with programmable refresh order and stagger timeJohnson Vaughn N.; Bell Debra M.; Wiscombe Miles S.; Pecha Brian T.;  Alexander Kyle
11468939Conditional row activation and access during refresh for memory devices  and associated methods and systemsWiscombe Miles S.; Bell Debra M.; Pecha Brian T.; Johnson Vaughn N.;  Alexander Kyle
11468944Utilization of data stored in an edge section of an arrayHush Glen E.
11468948Cleaning memory blocks using multiple types of write operationsDel Gatto Nicola
11468949Temperature-dependent operations in a memory deviceShukla Pitamber; Puzzilli Giuseppina; Righetti Niccolo′;  Stoller Scott A.; Venkataraman Priya
11468959Detection of an incorrectly located read voltageParthasarathy Sivagnanam; Fitzpatrick James; Khayat Patrick Robert;  Alhussien AbdelHakim S.
11468960Semiconductor device with selective command delay and associated methods  and systemsLam Boon Hor; Hilde Shawn M.; Major Karl L.; Harwell Garrett
11468962Performing memory testing using error correction code valuesTan Kok Hua; Ngo Chee Hock; Brady Michael T.
11468965Apparatus and techniques for programming anti-fuses to repair a memory  deviceEichmeyer Seth A.; Mullarkey Patrick
11469043Electronic device comprising conductive material and ferroelectric  materialNahar Manuj; Chavan Ashonita A.
11469103Semiconductor structure formationTapias Nicholas R.; Sapra Sanjeev; Khandekar Anish A.; Hu Shen
11469158Construction of integrated circuitry and a method of forming an  elevationally-elongated conductive via to a diffusion region in  semiconductive materialTogashi Yuko
11469207Mitigating thermal impacts on adjacent stacked semiconductor devicesHuang Sui Chi
11469210Semiconductor package with multiple coplanar interposersShih Shing-Yih
11469230Vertically separated storage nodes and access devices for semiconductor  devicesKarda Kamal M.; Liu Haitao; Yang Litao
11469232Epitaxial silicon within horizontal access devices in vertical three  dimensional (3D) memoryLee Si-Woo
11469236DRAM circuitry, and integrated circuitryLee Si-Woo
11469249Method of fabricating electronic devices comprising removing sacrificial  structures to form a cavityClampitt Darwin A.; Wells David H.; Hopkins John D.; Titus Kevin Y.
11469250Integrated assemblies having ferroelectric transistors with body regions  coupled to carrier reservoirs; and methods of forming integrated assembliesKarda Kamal M.; Liu Haitao
11469350Ultrathin solid state dies and methods of manufacturing the sameOdnoblyudov Vladimir; Schubert Martin F.
11469909Physical unclonable function with NAND memory arrayMondello Antonino; Zerilli Tommaso; Condemi Carmelo; Tomaiuolo Francesco
11474698Reset verification in a memory system by using a mode registerSchaefer Scott E.; Boehm Aaron P.
11474705Power management integrated circuit with embedded address resolution  protocol circuitryRowley Matthew David; Springberg David Matthew; Carter Dustin James
11474722Non-volatile memory including selective error correctionChristensen Carla L.
11474738Probabilistic data integrity scan enhanced by a supplemental data  integrity scanSharifi Tehrani Saeed; Malshe Ashutosh; Muchherla Kishore Kumar;  Parthasarathy Sivagnanam; Rayaprolu Vamsi Pavan
11474743Data modificationBasu Reshmi; Wang Libo
11474748Compound feature generation in classification of error rate of data  retrieved from memory cellsParthasarathy Sivagnanam; Fitzpatrick James; Khayat Patrick Robert;  Alhussien AbdelHakim S.
11474820Memory mapping using commands to transfer data and/or perform logic  operationsRoss Frank F.; Prather Matthew A.
11474828Initial data distribution for different application processesYudanov Dmitri; Bradshaw Samuel E.
11474865Allocation schema for a scalable memory areaDella Monica Angelo; Papa Paolo; Manganelli Carminantonio; Iaculo Massimo
11474885Method for an internal command of a first processing core with memory  sub-system that caching identifiers for access commandsTraver John; Shoen Jay R.
11474888Power management component for memory sub-system voltage regulationSpica Michael R.; Caraher Patrick T.
11474921Log compressionBasu Reshmi; Wang Libo
11474955Memory disablement for data securityMorrison Shea M.; Van Leeuwen Brenton P.; Frechette Blakely N.
11474965Apparatuses and methods for in-memory data switching networksLea Perry V.
11475934Ferroelectric memory cell sensingKawamura Christopher John; Derner Scott James
11475937Die voltage regulationSong Taeksang; Malik Saira S.; Lee Hyunyoo; Kim Kang-Yong
11475938Column select swizzleMontierth Dennis G.; Lam Boon Hor; Benitez C Omar
11475939Apparatuses and methods for input buffer power savingsYamashita Akira; Asaki Kenji
11475940Semiconductor device layout for a plurality of pads and a plurality of  data queue circuitsIshii Toshinao
11475947Decoding architecture for memory tilesFantini Paolo; Martinelli Andrea; Nava Claudio
11475951Material implication operations in memoryPirovano Agostino; Pellizzer Fabio
11475969Scan optimization using data selection across wordline of a memory arrayMuchherla Kishore Kumar; Moschiano Violante; Zildzic Sead; Lacsao Junwyn  A.; Htet Paing Z.
11475970Bipolar read retryLee Yen Chun; Sarpatwari Karthik; Gajera Nevil N.
11475974Memory device virtual blocks using half good blocksNamala Sri Rama; Hoei Jung Sheng; Huang Jianmin; Malshe Ashutosh; Luo  Xiangang
11476160Microfeature workpieces and methods for forming interconnects in  microfeature workpiecesHiatt William M.; Dando Ross S.
11476235Stacked light emitting diode (LED) hologram displayHosseinimakarem Zahra; Gruszka Ariela E.; Fortunati Mandy W.
11476241Interposer, microelectronic device assembly including same and methods of  fabricationYoo Chan H.; Fay Owen R.
11476251Channel integration in a three-node access device for vertical three  dimensional (3D) memorySills Scott E.; Smythe, III John A.; Lee Si-Woo; Sandhu Gurtej S.; Saeedi  Vahdat Armin
11476252Memory device having 2-transistor vertical memory cell and shared channel  regionSarpatwari Karthik; Karda Kamal M.; Ramaswamy Durai Vishak Nirmal; Liu  Haitao
11476254Support pillars for vertical three-dimensional (3D) memoryYokoyama Yuichi
11476255Method used in forming an array of vertical transistors and method used  in forming an array of memory cells individually comprising a vertical  transistor and a storage device above the vertical transistorYang Guangjun
11476256Integrated assemblies having body contact regions proximate transistor  body regions; and methods utilizing bowl etches during fabrication of  integrated assembliesJuengling Werner
11476259Memory devices including void spaces between transistor features, and  related semiconductor devices and electronic systemsKarda Kamal M.; Gandhi Ramanathan; Li Hong; Liu Haitao; Ramaswamy Durai  Vishak Nirmal; Tang Sanh D.; Sills Scott E.
11476262Methods of forming an array of capacitorsSimsek-Ege Fatma Arzum; Ramaswamy Durai Vishak Nirmal
11476266Microelectronic devices including staircase structures, and related  memory devices, electronic systems, and methodsLuo Shuangqiang; Lomeli Nancy M.; Xu Lifang
11476268Methods of forming electronic devices using materials removable at  different temperaturesTiwari Chandra S.; Shrotri Kunal
11476274Memory arrays comprising strings of memory cells and methods used in  forming a memory array comprising strings of memory cellsBillingsley Daniel; Greenlee Jordan D.; Hopkins John D.; Hu Yongjun Jeff
11476304Phase change memory device with voltage control elementsPellizzer Fabio; Rigano Antonino
11476332Integrated assemblies, and methods of forming integrated assembliesGreenlee Jordan D.; Hopkins John D.
11477030Method for improving safety of a component or system running a firmware  or a finite state machineTroia Alberto; Mondello Antonino
11481119Limiting hot-cold swap wear levelingZhu Fangfang; Tai Ying Yu; Chen Ning; Zhu Jiangli; Tang Alex
11481123Techniques for failure management in memory systemsCariello Giuseppe
11481126Memory device error based adaptive refresh rate systems and methodsIvanov Ivan Iliev
11481141Secure self-purging memory partitionsCariello Giuseppe
11481152Execution of commands addressed to a logical blockGaddam Venkat R.
11481221Separate branch target buffers for different levels of callsWallach Steven Jeffrey
11481241Virtual machine register in a computer processorWallach Steven Jeffrey
11481265Persistent health monitoring for volatile memory systemsBell Debra M.; Hopper Kristen M.; Prosser Erika; Boehm Aaron P.
11481273Partitioned memory having error detection capabilityMuchherla Kishore K.; Righetti Niccolo′; McNeil, Jr. Jeffrey  S.; Goda Akira; Marquart Todd A.; Helm Mark A.; Golov Gil; Binfet Jeremy;  Miccoli Carmine; Puzzilli Giuseppina
11481279Apparatus including refresh controller controlling refresh operation  responsive to data errorIshikawa Toru
11481299Transmission of data for a machine learning operation using different  microbumpsKale Poorna
11481317Extended memory architectureRamesh Vijay S.; Porterfield Allan; Maes Richard D.
11481330Cache architectures with address delay registers for memory devicesDel Gatto Nicola
11481334Distributed computing based on memory as a serviceAkel Ameen D.; Bradshaw Samuel E.; Curewitz Kenneth Marion; Eilert Sean  Stephen; Yudanov Dmitri
11481336Host assisted operations in managed memory devicesGrosz Nadav; Parry Jonathan Scott
11481343Transporting request types with different latenciesBrewer Tony M.
11481348Handling operation collisions in a non-volatile memoryAdams Lyle E.; Ish Mark; Seetamraju Pushpa; Schuh Karl D.; Tupy Dan
11481353Methods and devices for reducing array size and complexity in automata  processorsFu Yao
11482017Method and apparatus to recognize transported passengers and goodsTroia Alberto; Mondello Antonino
11482260Apparatuses and methods for scatter and gatherZawodny Jason T.; Dobelstein Kelley D.; Finkbeiner Timothy P.; Murphy  Richard C.
11482265Write levelingPenney Daniel B.; Howe Gary L.
11482266Edgeless memory clustersCastro Hernan A.
11482268Leakage compensation for memory arraysVimercati Daniele
11482271Memory with programmable die refresh staggerHiscock Dale H.; Kaminski Michael; Alzheimer Joshua E.; Gentry John H.
11482274Clock signal generator generating four-phase clock signalsTsukihashi Toshiaki
11482275Apparatuses and methods for dynamically allocated aggressor detectionAyyapureddi Sujeet; Morgan Donald M.
11482280Apparatuses including multi-level memory cells and methods of operation  of sameTortorelli Innocenzo; Meyer Russell L.; Pirovano Agostino; Redaelli  Andrea; Fratin Lorenzo; Pellizzer Fabio
11482284Parallel drift cancellationPellizzer Fabio
11482298Device field degradation and factory defect detection by pump clock  monitoringNevill Jason Lee; Thomson Preston Allen; Chu Chi Ming; Lee Sheng-Huang
11482409Freezing a sacrificial material in forming a semiconductorThorum Matthew S.
11482492Assemblies having conductive interconnects which are laterally and  vertically offset relative to one anotherAhmed Raju; Kotti Radhakrishna; Kewley David A.; Pratt Dave
11482504Edge-notched substrate packaging and associated systems and methodsFay Owen R.; Wale Madison E.; Voelz James L.; Southern Dylan W.
11482534Integrated structures and methods of forming vertically-stacked memory  cellsSimsek-Ege Fatma Arzum; Kuo Meng-Wei; Hopkins John D.
11482536Electronic devices comprising memory pillars and dummy pillars including  an oxide material, and related systems and methodsHossain S M Istiaque; John Tom J.; Clampitt Darwin A.; Chandolu  Anilkumar; Rau Prakash Rau Mokhna; Larsen Christopher J.; Baek Kye Hyun
11482538Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsLiu Haitao; Parekh Kunal R.
11482989Apparatuses and methods for calibrating adjustable impedances of a  semiconductor deviceGans Dean
11483013Error correction on a memory devicePorter John David
11483137Dynamic command extension for a memory sub-systemRuane James; Strong Robert W.
11483148Batch transfer of control of memory devices over computer networksNelson Travis Duane; Dover Lance W.
11487339Operating mode registerTroia Alberto
11487433Memory systems and methods including training, data organizing, and/or  shadowingChen Yi; Murakami Yukiyasu
11487436Trims corresponding to logical unit quantityMcNeil, Jr. Jeffrey S.; Righetti Niccolo'; Muchherla Kishore K.; Goda  Akira; Marquart Todd A.; Helm Mark A.; Golov Gil; Binfet Jeremy; Miccoli  Carmine; Puzzilli Giuseppina
11487444Centralized power management in memory devicesPalmer David A.
11487464Neural network memoryBoniardi Mattia; Tortorelli Innocenzo
11487479Memory sub-system for performing wear-leveling adjustments based on  memory component endurance estimationsSzubbocsev Zoltan
11487609Separating parity data from host data in a memory sub-systemBolisetty Naveen; Kailash Rajeshwar
11487610Methods for parity error alert timing interlock and memory devices and  systems employing the sameWaldrop William C.; Vankayala Vijayakrishna J.; Smith Scott E.
11487612Multiple memory devices having parity protectionSingidi Harish Reddy; Luo Xiangang; Thomson Preston Allen; McNeeley  Michael G.
11487652Host logical-to-physical information refreshCariello Giuseppe
11487653L2P translation techniques in limited RAM systems to increase random  write performance using multiple L2P cachesLuo Xiangang; Liang Qing
11487666Timed data transfer between a host system and a memory sub-systemSubbarao Sanjay
11487699Processing of universal number bit strings accumulated in memory array  peripheryRamesh Vijay S.
11488378Analyzing data using a hierarchical structureDlugosch Paul
11488643Method for configuring multiple input-output channelsWalker Dean E.; Brewer Tony
11488645Methods for reading data from a storage buffer including delaying  activation of a column selectGajapathy Parthasarathy
11488651Systems and methods for improving power efficiency in refreshing memory  banksRehmeyer James S.; Bell Debra M.; Raad George B.; Callaway Brian P.;  Alzheimer Joshua E.
11488655Subword drivers with reduced numbers of transistors and circuit layout of  the sameMiyatake Shinichi
11488656Write techniques for a memory device with a charge transfer deviceSchreck John F.; Raad George B.
11488663Electrical distance-based wave shaping for a memory deviceSancon John Christopher
11488670Temperature sensitive NAND programmingLuo Xiangang; Huang Jianmin; Hoei Jung Sheng; Singidi Harish Reddy; Luo  Ting; Vashi Ankit Vinod
11488677Distributed compaction of logical states to reduce program timeKavalipurapu Kalyan Chakravarthy; Matamis George; Dong Yingda; Siau Chang  H.
11488681Data state synchronizationAmato Paolo; Dallabora Marco; Balluchi Daniele; Caraccio Danilo;  Confalonieri Emanuele
11488685Adjustable column address scramble using fusesRehmeyer James S.; Wieduwilt Christopher G.; Raad George; Eichmeyer Seth;  Gans Dean
11488879Methods and apparatuses to wafer-level test adjacent semiconductor dieKariya Rajesh H.; Lam Boon Hor
11488938Semiconductor packages with pass-through clock traces and associated  systems and methodsKinsley Thomas H.; Pax George E.
114889453D stacked integrated circuits having functional blocks configured to  provide redundancy sitesBrewer Tony M.
11488963Method of forming a semiconductor deviceSukekawa Mitsunari; Nakamura Yoshitaka
11488981Array of vertical transistors and method used in forming an array of  vertical transistorsLee Yi Fang; Guha Jaydip; Heineck Lars P.; Karda Kamal M.; Lee Si-Woo;  McDaniel Terrence B.; Sills Scott E.; Torek Kevin J.; Yang Sheng-Wei
11489038Capacitors having vertical contacts extending through conductive tiersTessariol Paolo; Tang Qiang
11489049Integrated assemblies, and methods of forming integrated assembliesHaller Gordon A.
11489117Self-aligned memory decks in cross-point memory arraysPirovano Agostino; Pellizzer Fabio; Conti Anna Maria; Redaelli Andrea;  Tortorelli Innocenzo
11490135Surveillance camera upgrade via removable media having deep learning  accelerator and random access memoryKale Poorna; Lin Te-Chang
11493550Standalone thermal chamber for a temperature control componentScobee Daniel G.; Semenuk Aleksandr; Thiruvengadam Aswin
11494078Translation lookaside buffer in memoryLeidel John D.; Murphy Richard C.
11494084Managing dielectric stress of a memory device using controlled ramping  slopesNing Sheyang; Miranda Lawrence
11494095Power behavior detection in a memory deviceYeung Chun Sum; He Deping
11494102Media management operations based on a ratio of valid dataMalshe Ashutosh; Rayaprolu Vamsi Pavan; Muchherla Kishore K.
11494111Data operation based on valid memory unit countPeh Woei Chen; Guda Chandra Mouli
11494114Read threshold adjustment techniques for non-binary memory cellsEisenhuth Robert B.
11494119Memory searching componentCooper-Balis Elliott C.; Walker Robert M.; Rosenfeld Paul
11494122Command queuingTsai Victor Y.; Caraccio Danilo; Balluchi Daniele; Galbo Neal A.; Warren  Robert
11494124Inversion refresh of physical memory locationMylavarapu Sai Krishna
11494198Output impedance calibration, and related devices, systems, and methodsLee Hyunui
11494258Dynamic control of error management and signalingRichter Michael Dieter; Hein Thomas; Spirkl Wolfgang Anton; Brox Martin;  Mayer Peter
11494264Generating a protected and balanced codewordLaurent Christophe Vincent Antoine
11494277Data encoding using spare channels in a memory systemHollis Timothy Mowry
11494296Memory shapesLeidel John D.; Crawford, Jr. Isom
11494302Phase change memory in a dual inline memory moduleQawami Shekoufeh; Hulbert Jared E.
11494306Managing data dependencies in a transfer pipeline of a hybrid dimmSimionescu Horia C.; Chin Chung Kuang; Stonelake Paul; Kotte Narasimhulu  Dharanikumar
11494311Page table hooks to memory typesBradshaw Samuel E.; Eno Justin M.; Eilert Sean S.; Gunasekaran  Shivasankar; Wang Hongyu; Swami Shivam
11494319Apparatuses, systems, and methods for input/output mappingsKim Jaeil; Tatapudi Suryanarayana B.
11494323Addressing scheme for a memory systemStave Eric J.
11494522Semiconductor device with self-lock security and associated methods and  systemsMeier Nathaniel J.; Van Leeuwen Brenton P.
11494865Passenger screeningBielby Robert Richard Noel
11494992Constructing an augmented reality imageHosseinimakarem Zahra; Viswanathan Radhika; Christensen Carla L.; Chhabra  Bhumika
11495274Apparatuses and methods for performing logical operations using sensing  circuitryManning Troy A.
11495279Managing write disturb for units of memory in a memory sub-system using a  randomized refresh periodKwong Charles See Yeung; Jeon Seungjune
11495281Write interamble counterWaldrop William C.; Penney Daniel B.
11495282Sense amplifier drivers, and related devices, systems, and methodsNishizaki Mamoru
11495283Integrated assembly with memory array over base, sense amplifiers in  base, and vertically-extending digit lines associated with the memory arrayHe Yuan; Barry Beau D.
11495285Apparatuses and methods for signal line buffer timing controlFujishiro Keisuke; Mochida Yoshifumi
11495293Configurable resistivity for lines in a memory deviceBanerjee Koushik; Gyan Isaiah O.; Cassel Robert; Jiao Jian; Cooper  William L.; Johnson Jason R.; O'Toole Michael P.
11495299Non-volatile memory devices and systems with volatile memory features and  methods for operating the sameCowles Timothy B.; Raad George B.; Rehmeyer James S.; Parry Jonathan S.
11495306Peak current management in a memory arrayPiccardi Michele; Guo Xiaojiang; Kavalipurapu Kalyan Chakravarthy C.
11495309Initiating media management operation using voltage distribution metrics  in memory systemRayaprolu Vamsi Pavan; Smitchger Christopher M.
11495316Optimized seasoning trim values based on form factors in memory  sub-system manufacturingXie Tingjun; Lang Murong; Zhou Zhenming
11495317Managed-NAND real time analyzer and methodVigilante Andrea; Scalisi Gianluca
11495321Method for setting a reference voltage for read operationsBedeschi Ferdinando; Di Vincenzo Umberto; Muzzetto Riccardo
11495322First-pass continuous read level calibrationSheperek Michael; Koudele Larry J.; Liikanen Bruce A.
11495530Microelectronic devices including stadium structures, and related  methods, memory devices, and electronic systemsClampitt Darwin A.; Lindsay Roger W.; Runia Jeffrey D.; Holland Matthew;  Chamunda Chamunda N.
11495577Semiconductor devices having through-stack interconnects for facilitating  connectivity testingMohr Christian N.; Smith Scott E.
11495600Vertical three-dimensional memory with vertical channelKarda Kamal M.; Liu Haitao; Yang Litao
11495604Channel and body region formation for semiconductor devicesLee Si-Woo; Liu Haitao
11495610Integrated circuitry, a method used in forming integrated circuitry, and  a method used in forming a memory array comprising strings of memory cellsHowder Collin; Surthi Shyam; Thorum Matthew
11495617Electronic devices and systems with channel openings or pillars extending  through a tier stack, and methods of formationHopkins John D.; Lomeli Nancy M.; Dorhout Justin B.; Fazil Damir
11496149Bit string conversion invoking bit strings having a particular data  patternRamesh Vijay S.
11496341Wireless devices and systems including examples of compensating I/Q  imbalance with neural networks or recurrent neural networksLuo Fa-Long
11496699Method, apparatus, and system providing an imager with pixels having  extended dynamic rangeBock Nikolai E.
11498388Intelligent climate control in vehiclesKale Poorna; Bielby Robert Richard Noel
11500548Memory physical presence security identificationHieb Adam J.; Strong Robert W.
11500555Volatile memory to non-volatile memory interface for power managementSwami Shivam; Curewitz Kenneth Marion
11500561Masked training and analysis with a memory arraySpirkl Wolfgang Anton; Rasmussen Phillip A.; Hein Thomas
11500564Grouping blocks based on power cycle and power on timeMuchherla Kishore Kumar; Kaynak Mustafa N.; Wu Jiangang; Ratnam Sampath  K.; Parthasarathy Sivagnanam; Feeley Peter; Schuh Karl D.
11500567Configuring partitions of a memory sub-system for different dataKale Poorna
11500575Pattern generation for multi-channel memory arrayShin Sang-Hoon
11500578Memory access threshold based memory managementHu Guang
11500582Trigger margin based dynamic program step characteristic adjustmentLiikanen Bruce A.
11500588Adjusting read voltage levels based on a temperature-dependent slope of  the threshold voltage drift of a memory sub-systemLang Murong; Zhou Zhenming
11500637Software instruction set update of memory die using page buffersStoller Scott Anthony; Majerus Douglas Eugene; Lin Qisong
11500665Dynamic configuration of a computer processor based on the presence of a  hypervisorWallach Steven Jeffrey
11500766Aggregated and virtualized solid state drives accessed via multiple  logical address spacesBueb Christopher Joseph; Kale Poorna
11500769Valid data identification for garbage collectionPalmer David Aaron
11500782Recovery of logical-to-physical table information for a memory deviceCariello Giuseppe
11500791Status check using chip enable pinKim Chulbum; Helm Mark A.; Weinberg Yoav
11500794Training procedure for receivers associated with a memory deviceMayer Peter; Hein Thomas; Brox Martin; Spirkl Wolfgang Anton; Richter  Michael Dieter
11501027Mechanism to support writing files into a file system mounted in a secure  memory deviceDuval Olivier
11501803Memory array decoding and interconnectsCastro Hernan A.; Russell Stephen W.; Tang Stephen H.
11501804Microelectronic devices including semiconductive pillar structures, and  related electronic systemsFishburn Fredrick D.; Lee Si-Woo; Light Scott L.; Guo Song
11501814Parallel access techniques within memory sections through section  independenceFackenthal Richard E.
11501815Sensing scheme for a memory with shared sense componentsHe Yuan; Kim Tae H.; Derner Scott James
11501816Low voltage ferroelectric memory cell sensingVimercati Daniele
11501817Memory cell imprint avoidanceCalderoni Alessandro; Ramaswamy Durai Vishak Nirmal; Prall Kirk; Bedeschi  Ferdinando
11501818Self refresh of memory cellCarman Eric S.
11501828Apparatuses, memories, and methods for address decoding and selecting an  access lineTang Stephen H.
11501838Preread and read threshold voltage optimizationJeon Seungjune; Zhou Zhenming; Shen Zhenlei
11501840Proximity disturb remediation based on a number of programmed memory  cellsYeung Chun Sum; Batutis Devin M.
11501842Memory device and method with stabilization of selector devices in  strings in a memory array of the memory deviceRajagiri Avinash; Sato Shinji
11502006Apparatuses having an interconnect extending from an upper conductive  structure, through a hole in another conductive structure, and to an  underlying structureYokoyama Nanae; Suzuki Ryota; Sato Makoto
11502053Bond pad connection layoutBhushan Bharat; Murali Pratap; Bansal Raj K.; Daycock David A.
11502085Integrated memory with redistribution of capacitor connections, and  methods of forming integrated memoryYang Guangjun
11502089Three-dimensional fuse architectures and related systems, methods, and  apparatusesHe Yuan; Toyama Daigo
11502091Thin film transistor deck selection in a memory deviceVimercati Daniele
11502179Integrated assemblies containing ferroelectric transistors, and methods  of forming integrated assembliesSharma Pankaj
11502881Channel equalization for multi-level signalingLin Feng; Hollis Timothy M.
11503225Stacked polarizer hyperspectral imagingHosseinimakarem Zahra
11503685Self-identifying solid-state transducer modules and associated systems  and methodsMcMahon Steven A.
11507175Data link between volatile memory and non-volatile memoryGolov Gil
11507296Repair operation techniquesWilson Alan J.; Morgan Donald M.
11507300Word line group read countersMiller Michael G.; Malshe Ashutosh; Alsasua Gianni Stephen; Padilla, Jr.  Renato; Rayaprolu Vamsi Pavan; Muchherla Kishore Kumar; Singidi Harish Reddy
11507302Scheduling media management operations based on determined host system  usage requirementsKale Poorna
11507304Diagonal page mapping in memory systemsOpastrakoon Tawalin; Padilla Renato C.; Miller Michael G.; Smitchger  Christopher M.; Besinga Gary F.; Ratnam Sampath K.; Rayaprolu Vamsi Pavan
11507317Establishing a delay period associated with a program resume operation of  a memory subsystemWu Jiangang; Ratnam Sampath K.; Zhang Yang; Ye Guang Chang; Muchherla  Kishore Kumar; Lu Hong; Schuh Karl D.; Rayaprolu Vamsi Pavan
11507374True/false vector index registers and methods of populating thereofWallach Steven Jeffrey
11507443Memory fault map for an accelerated neural networkRoberts David Andrew
11507449Health characteristics of a memory deviceRedaelli Marco
11507453Low-latency register error correctionWalker Dean E.; Baronne Chris
11507493Debugging dataflow computer architecturesWindh Skyler Arron; Brewer Tony M.; Estep Patrick
11507504Memory sub-system for decoding non-power-of-two addressable unit address  boundariesLa Fratta Patrick A.; Walker Robert; Nagarajan Chandrasekhar
11507516Adaptive cache partitioningRoberts David Andrew; Pawlowski Joseph Thomas
11507518Logical-to-physical mapping using a flag to indicate whether a mapping  entry points to [[for]] sequentially stored dataCariello Giuseppe; Parry Jonathan S.
11507531Apparatus and method to switch configurable logic unitsLuo Fa-Long; Schmitz Tamara; Chritz Jeremy; Cummins Jaime
11508421Electronic devices comprising air gaps adjacent to bitlines and related  methods and systemsRamasahayam Mithun Kumar; Gossman Michael J.
11508422Methods for memory power management and memory devices and systems  employing the sameStave Eric J.; Pax George E.; Sharma Yogesh; King Gregory A.; Yoo Chan  H.; Richards Randon K.; Hollis Timothy M.
11508430Data circuit for a low swing data busBrox Martin
11508431Logical operations using a logical operation componentHush Glen E.
11508433Updating program files of a memory device using a differential write  operationDuval Olivier
11508437Restoring memory cell threshold voltagesYang Lingming; Gajera Nevil; Sarpatwari Karthik
11508444Memory cell sensingSiau Chang H.; Nguyen Hao T.
11508447Memories for determining data states of memory cellsVali Tommaso; Ghodsi Ramin
11508449Detrapping electrons to prevent quick charge loss during program verify  operations in a memory deviceLu Ching-Huang; Diep Vinh Q.; Zhang Zhengyi; Dong Yingda
11508453Encoding test data of microelectronic devices, and related methods,  devices, and systemsJohnson Jason M.
11508455Signal drop compensated memoryBedeschi Ferdinando
11508458Access schemes for access line faults in a memory deviceMatsubara Yasushi
11508573Plasma doping of gap fill materialsSarkar Santanu; Brown Jay Steven; Qin Shu; Hu Yongjun Jeff; Good Farrell  Martin
11508657Semiconductor devices having 3-dimensional inductive structuresDavis James E.; Duesman Kevin G.
11508734Integrated assemblies, and methods of forming integrated assembliesNourbakhsh Amirhasan; Zahurak John K.; Tang Sanh D.; Borsari Silvia; Li  Hong
11508742Devices including stair step structures adjacent substantially planar,  vertically extending surfaces of a stack structureSorensen Troy R.; Akhtar Mohd Kamran
11508746Semiconductor device having a stack of data lines with conductive  structures on both sides thereofClampitt Darwin A.; Lindsay Roger W.; Ritchie Christopher R.; Lyonsmith  Shawn D.; King Matthew J.; Clampitt Lisa M.
11509214Apparatuses and methods for controlling charge pump circuitsSuzuki Takamasa
11513669User interface for modifying picturesChhabra Bhumika; Christensen Carla L.
11513703Apparatus for managing data storage among groups of memory cells of  multiple reliability ranksChristensen Carla L.; Trivedi Avani F.; Evans Tracy D.
11513713Apparatuses and methods for partitioned parallel data movementWillcock Jeremiah J.; Pinney David L.
11513719Fast purge on storage devicesGrosz Nadav
11513734Hardware-based power management integrated circuit register file write  protectionRowley Matthew David
11513835Notifying memory system of host events via modulated reset signalsLiang Qing; Parry Jonathan S.; Tanpairoj Kulachet; Hanna Stephen
11513837Thread commencement and completion using work descriptor packets in a  system having a self-scheduling processor and a hybrid threading fabricBrewer Tony M.
11513838Thread state monitoring in a system having a multi-threaded,  self-scheduling processorBrewer Tony M.
11513839Memory request size management in a multi-threaded, self-scheduling  processorBrewer Tony M.
11513840Thread creation on local or remote compute elements by a multi-threaded,  self-scheduling processorBrewer Tony M.
11513889Parity protectionYeung Chun Sum; Trivedi Falgun G.; Singidi Harish Reddy; Luo Xiangang;  Thomson Preston Allen; Luo Ting; Huang Jianmin
11513923Dynamic fail-safe redundancy in aggregated and virtualized solid state  drivesKale Poorna; Bueb Christopher Joseph
11513933Apparatus with temperature mitigation mechanism and methods for operating  the sameElmtalab Cyrus; Sloat Jacob
11513945Apparatuses and methods for transferring data using a cachePenney Daniel B.; Howe Gary L.
11513952Data separation for garbage collectionColella Nicola; Pollio Antonino
11513959Managing collisions in a non-volatile memory system with a coherency  checkerSimionescu Horia C.; Adams Lyle E.; Xu Yongcai; Ish Mark
11513969Hierarchical memory systemsRamesh Vijay S.
11514174Memory devices with cryptographic componentsMondello Antonino; Condemi Carmelo; Tomaiuolo Francesco; Zerilli Tommaso
11514953Integrated assemblies, and methods of forming integrated assembliesFukuzumi Yoshiaki; Tessariol Paolo; Wells David H.; Heineck Lars P.; Hill  Richard J.; Xu Lifang; Chary Indra V.; Camerlenghi Emilio
11514955Power management integrated circuit with dual power feedRowley Matthew David
11514957Bank to bank data transferLea Perry V.; Manning Troy A.
11514959Memory device capable of adjusting clock signal based on operating speed  and propagation delay of command/address signalRichards Randon K.; Khatri Dirgha
11514961Memory topologiesLeslie Matthew B.
11514968Charge leakage detection for memory system reliabilityVisconti Angelo; Pazzocco Riccardo; Strand Jonathan J.; Majerus Kevin T.
11514969Arbitrated sense amplifierVo Huy T.; Bedeschi Ferdinando; Tatapudi Suryanarayana B.; Lee Hyunyoo;  El-Mansouri Adam S.
11514977Memory devices implementing data-access schemes for digit lines proximate  to edges of column planes, and related devices, systems, and methodsHe Yuan; Kwak Jongtae
11514983Identify the programming mode of memory cells based on cell statistics  obtained during reading of the memory cellsSarpatwari Karthik; Pellizzer Fabio; Gajera Nevil N.
11514985Spike current suppression in a memory arrayRajarajan Sundaravadivel; Venkatesan Srivatsan; Soundappa Elango Iniyan;  Cassel Robert Douglas
11514987Erasing memoryPaolucci Giovanni Maria; Tessariol Paolo; Camerlenghi Emilio; Carnevale  Gianpietro; Benvenuti Augusto
11514989Dynamic adjustment of offset voltages for reading memory cells in a  memory deviceKaynak Mustafa N.; Parthasarathy Sivagnanam; Khayat Patrick Robert
11514995Memory sub-system self-testing operationsEckel Nathan A.; Benjamin Keith A.
11515147Material deposition systems, and related methodsJacob Clement; Elliott Richard L.; Petz Christopher W.
11515171Methods and apparatus for temperature modification and reduction of  contamination in bonding stacked microelectronic devicesQu Xiaopeng; Chun Hyunsuk; Wirz Brandon P.; Bayless Andrew M.
11515174Semiconductor devices with package-level compartmental shielding and  associated systems and methodsKwon Youngik; Paek Jong Sik
11515198Semiconductor constructions comprising dielectric material, and methods  of forming dielectric fill within openings extending into semiconductor  constructionsSandhu Gurtej S.; Light Scott L.; Smythe John A.; Varghese Sony
11515204Methods for forming conductive vias, and associated devices and systemsGawai Trupti D.; Pratt David S.; Elsied Ahmed M.; Kewley David A.;  Collins Dale W.; Ahmed Raju; Jordan Chelsea M.; Kotti Radhakrishna
11515222Semiconductor assemblies with flow controller to mitigate ingression of  mold materialLin Lu Fu; Zou Yung Sheng; Gan Chong Leong; Jao Li; Chung Min Hua
11515311Semiconductor structure formation at differential depthsNeelapala Venkata Naveen Kumar; Pandey Deepak Chandra; Kaushik Naveen
11515320Methods of forming microelectronic devices, and related microelectronic  devices, memory devices, and electronic systemsHopkins John D.; Greenlee Jordan D.; Lomeli Nancy M.
11515321Memory cells, memory arrays, and methods of forming memory arraysKim Changhan
11515331Integrated assemblies comprising ferroelectric transistors and  non-ferroelectric transistorsKinney Wayne I.
11515358Semiconductor devices including a passive material between memory cells  and conductive access linesTortorelli Innocenzo; Pellizzer Fabio
11515396Ferroelectric assemblies and methods of forming ferroelectric assembliesLiao Albert; Siddik Manzar
11515417Transistors including heterogeneous channelsSills Scott E.; Gandhi Ramanathan; Ramaswamy Durai Vishak Nirmal; Lee Yi  Fang; Karda Kamal M.
11515860Deterministic jitter generator with controllable probability distributionGomm Tyler J.
11520240Wafer alignment markers, systems, and related methodsMirin Nikolay A.; Dembi Robert; Housley Richard T.; Zhang Xiaosong; Harms  Jonathan D.; Kramer Stephen J.
11520484Namespaces allocation in non-volatile memory devicesFrolikov Alex
11520485Data caching for ferroelectric memoryKajigaya Kazuhiko
11520487Managing write operations during a power lossSheperek Michael W.; Crowley James P.
11520491Parity protection in non-volatile memoryLuo Xiangang; Chen Zhengang
11520497Peak power management in a memory deviceYu Liang; Parry Jonathan Scott; Pilolli Luigi
11520500Managing capacity reduction when downshifting multi-level memory cellsBert Luca
11520502Performance control for a memory sub-systemLi Yun; Crowley James P.; Wu Jiangang; Xu Peng
11520513Code word format and structurePawlowski Joseph T.
11520517Data logging sub-system for memory sub-system controllerSpica Michael Richard
11520524Host adaptive memory device optimizationGrosz Nadav; Palmer David Aaron
11520525Integrated pivot table in a logical-to-physical mapping having entries  and subsets associated via a flagD'Eliseo Giuseppe; Porzio Luca; Hanna Stephen
11520529Signal development caching in a memory deviceYudanov Dmitri A.; Jain Shanky Kumar
11520656Managing capacity reduction and recovery due to storage device failureBert Luca
11520657Defect detection in memory based on active monitoring of read operationsShen Zhenlei; Xie Tingjun; Adi Frederick; Wang Wei; Zhou Zhenming
11520699Using a common pool of blocks for user data and a system data structureMuchherla Kishore Kumar; Tanpairoj Kulachet; Feeley Peter; Ratnam Sampath  K.; Malshe Ashutosh
11520711Semiconductor device with secure access key and associated methods and  systemsVan Leeuwen Brenton P.; Meier Nathaniel J.
11520718Managing hazards in a memory controllerBrewer Tony
11521669Semiconductor device having cam that stores address signalsEnomoto Honoka; Morohashi Masaru
11521670Word lines coupled to pull-down transistors, and related devices,  systems, and methodsLovett Simon J.
11521690NAND data placement schemaManganelli Carminantonio; Papa Paolo; Iaculo Massimo; D'Eliseo Giuseppe;  Sassara Alberto
11521694Adjustment to trim settings based on a use of a memory deviceHartz Ezra E.; De La Cerda Joseph A.; Rivera Benjamin; Ford Bruce J.;  Soberanes Nicolas; Moore Christopher
11521699Adjusting a reliability scan threshold in a memory sub-systemRayaprolu Vamsi Pavan; Muchherla Kishore Kumar; Malshe Ashutosh; Alsasua  Gianni S.; Singidi Harish R.
11521897Methods of forming microelectronic devicesChandolu Anilkumar; Ritchie Christopher R.; Clampitt Darwin A.; Hossain S  M Istiaque
11521979Power gating in a memory deviceKitagawa Makoto
11522014Connections for memory electrode linesCastro Hernan A.
11522559Variable read error code correctionLuo Xiangang; Luo Ting
11523264Wireless memory interfaceMirichigni Graziano; Caraccio Danilo
11524690Black box operations controlled by driver mental state evaluationGolov Gil
11525956Semiconductor devices having electro-optical substratesBchir Omar J.
11526277Adjustable NAND write performanceCariello Giuseppe; Sali Mauro Luigi; Falduti Stefano; Russo Ugo
11526280Scalable memory system protocol supporting programmable number of levels  of indirectionPawlowski J. Thomas
11526289Supplemental AI processing in memorySun Honglin; Murphy Richard C.; Hush Glen E.
11526293Data replicationWillcock Jeremiah J.
11526295Managing an adjustable write-to-read delay of a memory sub-systemLang Murong; Xie Tingjun; Wang Wei; Adi Frederick; Zhou Zhenming; Zhu  Jiangli
11526299Elastic buffer for media management of a memory sub-systemBianco Antonio David
11526306Command scheduling in a memory subsystem according to a selected  scheduling orderingLa Fratta Patrick A.; Walker Robert M.
11526355Smallest or largest value element determinationTiwari Sanjay
11526361Variable pipeline length in a barrel-multithreaded processorBrewer Tony
11526393Memory sub-system with dynamic calibration using component-based  function(s)Cadloni Gerald L.; Liikanen Bruce A.; Moschiano Violante
11526395Write buffer managementWang Wei; Zhu Jiangli; Tai Ying Yu; Chen Ning; Chen Zhengang; Wu Cheng  Yuan
11526442Metadata management for a cacheBallapuram Chinnakrishnan; Song Taeksang; Malik Saira Samar
11526450Memory system for binding data to a memory namespaceBradshaw Samuel E.; Gunasekaran Shivasankar; Wang Hongyu; Eno Justin M.
11526453Apparatus including parallel pipelines and methods of manufacturing the  sameMazumder Kallol; Sreeram Navya Sri; Fujimaki Ryo
11527279Read algorithm for memory deviceBedeschi Ferdinando; Di Vincenzo Umberto; Muzzetto Riccardo
11527281Signal buffer circuitWatanabe Kenichi; Shibuya Moeha
11527286Voltage drivers with reduced power consumption during polarity transitionCui Mingdong; Sirocka Nathan Joseph; Giduturi Hari
11527287Drift aware read operationsSarpatwari Karthik; Gajera Nevil N.; Yang Lingming; Schreck John F.
11527291Performing a program operation based on a high voltage pulse to securely  erase dataMuchherla Kishore Kumar; Singidi Harish R.; Rayaprolu Vamsi Pavan; Malshe  Ashutosh; Ratnam Sampath K.
11527294Memory sub-system scanRayaprolu Vamsi Pavan; Schuh Karl D.; McNeil, Jr. Jeffrey S.; Muchherla  Kishore K.; Malshe Ashutosh; Wu Jiangang
11527436Microelectronic devices with through-substrate interconnects and  associated methods of manufacturingKirby Kyle K.; Parekh Kunal R.; Niroumand Sarah A.
11527459Substrates for semiconductor packages, including hybrid substrates for  decoupling capacitors, and associated devices, systems, and methodsNg Hong Wan; Chong Chin Hui; Takiar Hem P.; Ye Seng Kim; Boo Kelvin Tan  Aik
11527505Uniform electrochemical plating of metal onto arrays of pillars having  different lateral densities and related technologyHacker Jonathan S.
11527508Apparatuses and methods for coupling a plurality of semiconductor devicesLeslie Matthew B.; Hollis Timothy M.; Greeff Roy E.
11527510Finer grain dynamic random access memoryKeeth Brent
11527536Semiconductor structure with gate electrode dopingYoshida Mika; Moriwaki Yoshikazu
11527546Microelectronic devices including conductive structures, and related  memory devices, electronic systems, and methodsBillingsley Daniel; King Matthew J.; Greenlee Jordan D.; Hu Yongjun J.;  George Tom; Rai Amritesh; Gupta Sidhartha; Ritter Kyle A.
11527548Semiconductor devices and electronic systems including an etch stop  material, and related methodsLi Haoyu; McTeer Everett A.; Petz Christopher W.; Hu Yongjun J.
11527550Memory array and a method used in forming a memory arrayKim Changhan; Hill Richard J.; Hopkins John D.; Howder Collin
11527620Integrated assemblies having polycrystalline first semiconductor material  adjacent conductively-doped second semiconductor materialKarda Kamal M.; Pandey Deepak Chandra; Liu Haitao; Hill Richard J.; Huang  Guangyu; Gao Yunfei; Gandhi Ramanathan; Sills Scott E.
11527623Integrated assemblies and methods of forming integrated assembliesLowe Aaron Michael
11527631Memory cells having electrically conductive nanodots and apparatus having  such memory cellsRamaswamy Nirmal
11528015Level shifter with reduced duty cycle variationFeiz Zarrin Ghalam Ali; Pilolli Luigi; Won Myung Gyoo
11528043Wireless devices and systems including examples of compensating power  amplifier noiseLuo Fa-Long; Chritz Jeremy; Cummins Jaime; Schmitz Tamara
11528048Mixing coefficient data for processing mode selectionLuo Fa-Long
11531339Monitoring of drive by wire sensors in vehiclesBielby Robert Richard Noel; Kale Poorna
11531472Scalable memory system protocol supporting programmable number of levels  of indirectionPawlowski J. Thomas
11531490Data transfer in port switch memoryRoss Frank F.
11531543Backpressure control using a stop signal for a multi-threaded,  self-scheduling reconfigurable computing fabricBrewer Tony M.
11531622Managing data dependencies for out of order processing in a hybrid DIMMSimionescu Horia C.; Chin Chung Kuang; Stonelake Paul; Kotte Narasimhulu  Dharanikumar
11531632Multi-level receiver with termination-off modeBrox Martin; Spirkl Wolfgang Anton; Hein Thomas; Richter Michael Dieter;  Mayer Peter
11532345Self-reference sensing for memory cellsMuzzetto Riccardo
11532346Apparatuses and methods for access based refresh timingBrown Jason M.; Penney Daniel B.
11532347Performing refresh operations of non-volatile memory to mitigate read  disturbSarpatwari Karthik; Yang Lingming; Gajera Nevil N.; Sancon John  Christopher M.
11532348Power management across multiple packages of memory diesYu Liang; Butterfield Jeremy Wayne; Binfet Jeremy
11532349Power distribution for stacked memoryVeches Anthony D.; Callaway Brian P.
11532358Memory with automatic background precondition upon powerupVeches Anthony D.; Bell Debra M.; Rehmeyer James S.; Bunnell Robert;  Meier Nathaniel J.
11532367Managing programming convergence associated with memory cells of a memory  sub-systemXu Jun; Moschiano Violante; Yu Erwin E.
11532373Managing error-handling flows in memory devicesMuchherla Kishore Kumar; Nowell Shane; Kaynak Mustafa N.; Ratnam Sampath  K.; Feeley Peter; Parthasarathy Sivagnanam; Batutis Devin M.; Luo Xiangang
11532477Self-assembled nanostructures including metal oxides and semiconductor  structures comprised thereofHendricks Nicholas; Olson Adam L.; Brown William R.; Eom Ho Seop; Chen  Xue; Jain Kaveri; Schuldenfrei Scott
11532490Semiconductor packages with indications of die-specific informationPio Federico
115325783DI solder cupKirby Kyle K.
11532595Stacked semiconductor dies for semiconductor device assembliesLee Jungbae
11532630Channel formation for vertical three dimensional (3D) memoryKarda Kamal M.; Liu Haitao
11532638Memory device including multiple decks of memory cells and pillars  extending through the decksClampitt Darwin A.; Lyonsmith Shawn D.; King Matthew J.; Clampitt Lisa  M.; Hopkins John; Titus Kevin Y.; Chary Indra V.; Barclay Martin Jared;  Chandolu Anilkumar; Natarajan Pavithra; Lindsay Roger W.
11532699Devices comprising crystalline materials and related systemsMutch Michael; Nahar Manuj; Kinney Wayne I.
11533064Error correcting code poisoning for memory devices and associated methods  and systemsAlzheimer Joshua E.; Rooney Randall J.
11536915Methods and systems for hermetically sealed fiber to chip connectionsMeade Roy; Sandhu Gurtej
11537298Memory systems and devices including examples of accessing memory and  generating access codes using an authenticated stream cipherChritz Jeremy; Hulton David
11537306Cold data detector in memory systemHe Yuan; Toyama Daigo
11537307Hybrid wear leveling for in-place data replacement mediaTai Ying Yu; Zhu Jiangli; Chen Ning
11537321Data selection based on qualityChhabra Bhumika; Christensen Carla L.; Hosseinimakarem Zahra
11537327Adaptive watchdog in a memory deviceGrosz Nadav; Palmer David Aaron
11537462Apparatuses and methods for cyclic redundancy calculation for  semiconductor deviceFujimaki Ryo
11537464Host-based error correctionBasu Reshmi; Murphy Richard C.
11537484Salvaging bad blocks in a memory deviceNamala Sri Rama; Tong Lu; Kopel Kristopher; Lee Sheng-Huang; Siau Chang  H.
11537512Asynchronous power loss recovery for memory devicesWinterfeld Michael; Williams Steven S.; Wesenberg Alex J.; Lam Johnny A.
11537525Hierarchical memory systemsKorzh Anton; Ramesh Vijay S.
11537526Translating of logical address to determine first and second portions of  physical addressPalmer David A.
11537527Dynamic logical page sizes for memory devicesAmbula Sharath Chandra; Palmer David Aaron; Matturi Venkata Kiran Kumar;  Pinisetty Sri Ramya; Kumar Sushil
11537565Lock management associated with a key-value database systemBecker Gregory Alan; Premsankar Neelima; Boles David
11537861Methods of performing processing-in-memory operations, and related  devices and systemsYudanov Dmitri; Eilert Sean S.; Castro Hernan A.; Melton William A.
11538508Memory module multiple port buffer techniquesGibbons Jasper S.; Prather Matthew A.; Keeth Brent; Ross Frank F; Stewart  Daniel Benjamin; Rooney Randall J.
11538510Methods of charging local input/output lines of memory devices, and  related devices and systemsLan Jin; Takaya Genta
11538513Memory element for weight update in a neural networkSarpatwari Karthik; Pellizzer Fabio
11538516Column selector architecture with edge mat optimizationHe Yuan; Akamatsu Hiroshi
11538521Adaptive application of voltage pulses to stabilize memory cell voltage  levelsLang Murong; Xie Tingjun; Zhou Zhenming
11538522Systems and methods for adaptive self-referenced reads of memory devicesMirichigni Graziano; Villa Corrado
11538526Charge separation for memory sensingDi Vincenzo Umberto; Muzzetto Riccardo; Bedeschi Ferdinando
11538529Adjusting voltage levels applied to a control gate of a string driver in  a memoryGuo Xiaojiang; An Guanglei; Tang Qiang
11538535Apparatus for rapid data destructionZhang Zhengyi; Xu Dan; Iwasaki Tomoko Ogura
11538544Two-stage flash programming for embedded systemsGolov Gil
11538545Auto-power on mode for biased testing of a power management integrated  circuit (PMIC)Lendvay William Anthony
11538546Data compression for global column repairJohnson Jason M.
11538711Methods for edge trimming of semiconductor wafers and related apparatusLin Jing-Cheng
11538762Methods for making double-sided semiconductor devices and related  devices, assemblies, packages and systemsChun Hyunsuk
11538809Metal insulator semiconductor (MIS) contact in three dimensional (3D)  vertical memoryKarda Kamal M.; Pandey Deepak Chandra; Yang Litao; Pulugurtha Srinivas;  Gao Yunfei; Liu Haitao
11538819Integrated circuitry, a method used in forming integrated circuitry, and  a method used in forming a memory array comprising strings of memory cellsNarayanan Purnima
11538822Integrated assemblies having metal-containing liners along bottoms of  trenches, and methods of forming integrated assembliesHopkins John D.; Shepherdson Justin D.; Howder Collin; Greenlee Jordan D.
11538860Memory array with graded memory stack resistancesPellizzer Fabio; Fratin Lorenzo; Wang Hongmei
11538919Transistors and arrays of elevationally-extending strings of memory cellsGandhi Ramanathan; Benvenuti Augusto; Paolucci Giovanni Maria
11538991Methods of forming a memory cell comprising a metal chalcogenide materialMarsh Eugene P.; Uhlenbrock Stefan
11539502Wireless devices and systems including examples of cross correlating  wireless transmissionsLuo Fa-Long; Schmitz Tamara; Chritz Jeremy; Cummins Jaime
11539623Single field for encoding multiple elementsBrewer Tony
11539692Setting based access to data stored in quarantined memory mediaChhabra Bhumika; Christensen Carla L.; Hosseinimakarem Zahra; Viswanathan  Radhika







https://blog.sciencenet.cn/blog-681765-1411947.html

上一篇:2022年三星显示公司的在美专利状况——半导体集成电路、半导体元器件、显示展示与声学技术较强
下一篇:2022年亚马逊技术公司的在美专利状况——网络协议、计算机核心部件、数据库与信息检索技术较强
收藏 IP: 61.158.184.*| 热度|

0

该博文允许注册用户评论 请点击登录 评论 (0 个评论)

数据加载中...
扫一扫,分享此博文

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-7-23 14:29

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部