|
陈立新 张琳 黄颖:中美欧日韩五局专利报告3547.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
2022年,英特尔公司获得美国发明专利2412项,比上一年增长了-8%,是获得美国专利数量第8多的机构。
相对来讲,英特尔公司专利研发的优势领域是:半导体制造、半导体元器件、计算机一般部件、计算机核心部件、半导体集成电路。其在这5个技术领域上的专利份额相对较高,为4.2%至2.6%。
表18.8-1 2022年英特尔公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 半导体制造 | 384 | 4.2% |
2 | 半导体元器件 | 675 | 3.7% |
3 | 计算机一般部件 | 572 | 3.4% |
4 | 计算机核心部件 | 538 | 3.3% |
5 | 半导体集成电路 | 253 | 2.6% |
6 | 计算机安全 | 168 | 2.3% |
7 | 计算机辅助设计 | 43 | 2.0% |
8 | 图像处理 | 233 | 1.8% |
9 | 数据交换网络 | 181 | 1.7% |
10 | 人工智能 | 214 | 1.6% |
11 | 数字信息传输 | 125 | 1.5% |
12 | 网络协议 | 320 | 1.5% |
13 | 电气元件与电路 | 330 | 1.3% |
14 | 通信传输系统 | 113 | 1.2% |
15 | 无线通信网络 | 243 | 1.1% |
16 | 计算机接口 | 170 | 0.9% |
17 | 计算机应用与软件 | 111 | 0.8% |
18 | 数据与图像识别 | 112 | 0.7% |
19 | 显示展示与声学 | 79 | 0.7% |
20 | 物理信号与控制 | 102 | 0.6% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
从绝对数量上来看,英特尔公司的重点技术领域是:半导体元器件、计算机一般部件、计算机核心部件、半导体制造、电气元件与电路。其在这5个技术领域上的专利数量最多,为675至330项。
可见,英特尔公司的专利技术研发重点主要集中在半导体元器件领域。
从发明人来看,2022年英特尔公司的研发人员较多,达到4600人,人均发明专利1.94项。其中,Ray Joydeep、Appu Abhishek R.、Koker Altug、Ghani Tahir、Dewey Gilbert、Rachmady Willy、Smith Ned M.、Surti Prasoonkumar、Ould-Ahmed-Vall Elmoustapha、Swan Johanna M.等人的专利数量较多,高达84至47项。
图18.8-1 2022年英特尔公司在20个相对优势领域中的专利占比
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员对本报告的支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表18.8-1 2022年英特尔公司的美国局授权发明专利
Patent No. | Title | Inventors |
11213947 | Apparatus and methods for object manipulation via action sequence optimization | Leon Javier Felip; Gonzalez Aguirre David Israel; Turek Javier Sebastián; Alvarez Ignacio Javier; Remis Luis Carlos Maria; Gottschlich Justin |
11214268 | Methods and apparatus for unsupervised multimodal anomaly detection for autonomous vehicles | Gonzalez Aguirre David I.; Sharma Sridhar G.; Felip Leon Javier; Turek Javier S.; Elli Maria Soledad |
11215662 | Method, device and system to protect circuitry during a burn-in process | Lambert William; Radhakrishnan Kaladhar; Hill Michael |
11215826 | Sealed edge lens for near eye display | Wade Andrew G.; Dawn Andrew; Groff John; Guillaumee Mickael; Maginn William |
11216038 | Facilitating dynamic detection and intelligent use of segmentation on flexible display screens | Rider Tomer; Olmo Ramon C.; Levy Dor; Taite Shahar |
11216182 | Dynamic configuration of a virtual keyboard | Wooster Roland P.; Erdman Alexander Conrad |
11216235 | Message passing framework for audio/video streaming in a topology of devices | Kambhatla Srikanth |
11216249 | Method and apparatus for performing field programmable gate array packing with continuous carry chains | Langhammer Martin; Baeckler Gregg William |
11216276 | Controlling power state demotion in a processor | Weissmann Eliezer; Abu-Salah Hisham; Lederman Daniel; Rosenzweig Nir; Rotem Efraim; Natanzon Esfir; Sabin Yevgeni; Levy Shay |
11216306 | Technologies for dynamically sharing remote resources across remote computing nodes | Bernat Francesc Guim; Doshi Kshitij A.; Barragan Daniel Rivas; Gonzalez Alejandro Duran; Servat Harald |
11216366 | Security check systems and methods for memory allocations | Durham David M.; LeMay Michael |
11216386 | Techniques for setting a 2-level auto-close timer to access a memory device | Kozhikkottu Vivek; Chittor Suresh; Choukse Esha; Ramasubramanian Shankar Ganesh |
11216396 | Persistent memory write semantics on PCIe with existing TLP definition | Schmisseur Mark A.; Ramanujan Raj K.; Schmole Filip; Lee David M.; Agarwal Ishwar; Harriman David J. |
11216397 | Translation circuitry for an interconnection in an active interposer of a semiconductor package | Tang Lai Guan; Nalamalpu Ankireddy; Subbareddy Dheeraj; Teh Chee Hak; Hossain Md Altaf |
11216404 | Mechanism for device interoperability of switches in computer buses | Natu Mahesh |
11216408 | Time sensitive networking device | Kasichainula Kishore |
11216409 | Providing access from outside a multicore processor SoC to individually configure voltages | Ragland Daniel J.; Therien Guy M.; Pfaender Kirk |
11216532 | Circuitry for high-bandwidth, low-latency machine learning | Langhammer Martin; Hagiescu-Miriste Andrei-Mihai |
11216556 | Side channel attack prevention by maintaining architectural state consistency | Grewal Ken; Sahita Ravi; Durham David; Aktas Erdem; Deutsch Sergej; Basak Abhishek |
11216594 | Countermeasures against hardware side-channel attacks on cryptographic operations | Ghosh Santosh; Das Debayan; Tokunaga Carlos; Varna Avinash L.; Friel Joseph |
11216719 | Methods and arrangements to quantize a neural network with machine learning | Majumdar Somdeb; Banner Ron; Nassar Marcel; Storfer Lior; Agbaria Adnan; Tumer Evren; Webb Tristan; Wang Xin |
11216722 | Hardware accelerator template and design framework for implementing recurrent neural networks | Nurvitadhi Eriko; Marr Deborah |
11216724 | Acoustic event detection based on modelling of sequence of event subparts | Lopatka Kuba; Bocklet Tobias; Kotarski Mateusz |
11216749 | Technologies for platform-targeted machine learning | Kida Luis S.; Jain Nilesh K.; Iyer Darshan; Al Safadi Ebrahim |
11216915 | On demand MSAA resolve during lens correction and/or other post-processing phases | Bar-On Tomer; Labbe Hugues; Lake Adam T.; Xiao Kai; Shah Ankur N.; Guenther Johannes; Appu Abhishek R.; Ray Joydeep; Vembar Deepak S.; Ould-Ahmed-Vall ElMoustapha |
11216979 | Dual model for fisheye lens distortion and an algorithm for calibrating model parameters | Tezaur Radka |
11217004 | Graphics system with additional context | Kuwahara Atsuo; Vembar Deepak S.; Sakthivel Chandrasekaran; Venkataraman Radhakrishnan; Insko Brent E.; Kalra Anupreet S.; Labbe Hugues; Appu Abhishek R.; Shah Ankur N.; Ray Joydeep; Ould-Ahmed-Vall Elmoustapha; Surti Prasoonkumar; Ramadoss Murali |
11217040 | Autonomous vehicle advanced sensing and response | Lakshamanan Barath; Hurd Linda L.; Ashbaugh Ben J.; Ould-Ahmed-Vall Elmoustapha; Ma Liwei; Jin Jingyi; Gottschlich Justin E.; Sakthivel Chandrasekaran; Strickland Michael S.; Lewis Brian T.; Kuper Lindsey; Koker Altug; Appu Abhishek R.; Surti Prasoonkumar; Ray Joydeep; Vembu Balaji; Turek Javier S.; Farooqui Naila |
11217126 | Systems, methods and apparatus for self-coordinated drone based digital signage | Marshall Carl S.; Sherry John; Raffa Giuseppe; Anderson Glen J.; Panneer Selvakumar; Pohl Daniel |
11217132 | Methods and apparatus to manage display luminance | Wooster Roland; Sameer Kalathil Perazhi |
11217455 | Carbon-based dielectric materials for semiconductor structure fabrication and the resulting structures | Blackwell James M.; Mahdi Tayseer |
11217456 | Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication | Blackwell James M.; Clendenning Scott B.; Tan Cen; Krysak Marie |
11217516 | Stacked-chip packages in package-on-package apparatus, methods of assembling same, and systems containing same | Muthukumar Sriram; Gealer Charles A. |
11217534 | Galvanic corrosion protection for semiconductor packages | Xu Cheng; Zhao Junnan; Park Ji Yong; Lee Kyu Oh |
11217535 | Microelectronic assemblies with communication networks | Elsherbini Adel A.; Elshazly Amr; Chandrasekhar Arun; Liff Shawna M.; Swan Johanna M. |
11217573 | Dual-sided co-packaged optics for high bandwidth networking applications | Pothukuchi Suresh V.; Alduino Andrew; Mahajan Ravindranath V.; Nekkanty Srikant; Liao Ling; Potluri Harinadh; Bond David M.; Gujjula Sushrutha Reddy; Tran Donald Tiendung; Hui David; Tamarkin Vladimir |
11217582 | Unidirectional self-aligned gate endcap (SAGE) architectures with gate-orthogonal walls | Hafez Walid M.; Govindaraju Sridhar; Liu Mark; Liao Szuya S.; Jan Chia-Hong; Lindert Nick; Kenyon Christopher; Subramanian Sairam |
11217934 | Socket cover | Chang Ming-Chen; Haswarey Mustafa H.; Kolla Praneetha; Schulz Whitten |
11217964 | Current channel for III-V silicon hybrid laser | Doussiere Pierre; Ghiurcan George A.; Doylend Jonathan K.; Frish Harel |
11218133 | Film bulk acoustic resonator (FBAR) devices for high frequency RF filters | Dasgupta Sansaptak; Block Bruce A.; Fischer Paul B.; Then Han Wui; Radosavljevic Marko |
11218183 | Low loss transmitter receiver switch with transformer matching network | Callender Steven; Hull Christopher; Pellerano Stefano; Shin Woorim; Kwok Ka Chun |
11218249 | Apparatus, system and method of processing a wireless communication packet based on a cyclic redundancy check (CRC) result | Zhang Yuwei; Desai Prasanna |
11218251 | Apparatus, system and method of communicating an enhanced directional multi-gigabit (DMG) (EDMG) orthogonal frequency-division multiplexing (OFDM) physical layer (PHY) protocol data unit (PPDU) | Da Silva Claudio; Lomayev Artyom; Maltsev Alexander; Cordeiro Carlos; Genossar Michael |
11218320 | Accelerators for post-quantum cryptography secure hash-based signing and verification | Suresh Vikram; Mathew Sanu; Sastry Manoj; Ghosh Santosh; Kumar Raghavan; Misoczki Rafael |
11218322 | System and method for reconfiguring and deploying soft stock-keeping units | Ghetie Sergiu D.; Upasani Neeraj S.; Nnebe Chukwunenye S.; Lee Won; Murty Shaila R.; Berent Arkadiusz; Chilukuri Vasuki; Mayo David T.; Bobholz Scott P.; Rose Vinila; Powiertowski Wojciech S. |
11218538 | Technologies for providing function as service tiered scheduling and mapping for multi-operator architectures | Bernat Francesc Guim; Smith Ned; Custodio Evan; Prabhkaran Suraj; Diez Ignacio Astilleros |
11218546 | Computer-readable storage medium, an apparatus and a method to select access layer devices to deliver services to clients in an edge computing system | Spoczynski Marcin; Nolan Michael; Ellis Keith A.; Loomba Radhika |
11218553 | Inter-MEC system communication for V2X services | Filippou Miltiadis; Sabella Dario; Mueck Markus Dominik; Miao Honglei |
11218562 | Methods and apparatus for computation offloading in networked environments | Yu Yifan |
11218633 | Technology to assign asynchronous space warp frames and encoded frames to temporal scalability layers having different priorities | Boyce Jill M.; Baran Stanley J.; Mohan Sumit; Chiu Yi-Jen; Tanner Jason; Mohammed Atthar H.; Hicks Richmond; Das Barnan |
11218829 | Audio spatialization | Kaburlasos Nikos; Cheng Scott W.; Worrell Devon |
11218865 | Smart searching of wireless devices using device location information | Thota Praveen |
11218907 | Publisher control in an information centric network | Balakrishnan Ravikumar; Nallampatti Ekambaram Venkatesan; Srikanteswara Srikathyayani; McCarthy Jessica C.; Schooler Eve M.; Schoenberg Sebastian; Vannithamby Rath; Ambrosin Moreno; Gupta Hyde Maruti |
11219037 | Radio resource scheduling | Agerstam Mats Gustav; Kesavan Vijay Sarathi; Tran Thuyen C; Sodani Shilpa A; Hudson Douglas K |
11221354 | Switched closed loop read-out methods and systems for resonant sensing platforms | Dogiamis Georgios C.; Oster Sasha N.; Eid Feras; Young Ian A. |
11221687 | Predictive detection of user intent for stylus use | Kumar Arvind; Wiles Amy |
11221762 | Common platform for one-level memory architecture and two-level memory architecture | Ray Joydeep; George Varghese; Sodhi Inder M.; Wilcox Jeffrey R. |
11221848 | Sharing register file usage between fused processing resources | Maiyuran Subramaniam; George Varghese; Ray Joydeep; Garg Ashutosh; Parra Jorge; Shah Shubh; Marwaha Shubra |
11221849 | Instructions for vector multiplication of unsigned words with rounding | Madduri Venkateswara R.; Murray Carl; Ould-Ahmed-Vall Elmoustapha; Charney Mark J.; Valentine Robert; Corbal Jesus |
11221857 | Collaborative processor and system performance and power management | Therien Guy M.; Diefenbaugh Paul S.; Aggarwal Anil; Henroid Andrew D.; Shrall Jeremy J.; Rotem Efraim; Sistla Krishnakanth V.; Weissmann Eliezer |
11221875 | Cooperative scheduling of virtual machines | Du Yuyang; Sun Mingqiu; Sun Jian; Chua Yong Tong |
11222082 | Identification of a computer processing unit | Komarov Alexander |
11222119 | Technologies for secure and efficient native code invocation for firmware services | Jayakumar Sarathy; Kumar Mohan J.; Story Ron; Natu Mahesh |
11222127 | Processor hardware and instructions for SHA3 cryptographic operations | Ghosh Santosh; LeMay Michael; Sastry Manoj R.; Durham David M. |
11222392 | Compute optimization mechanism for deep neural networks | Surti Prasoonkumar; Srinivasa Narayan; Chen Feng; Ray Joydeep; Ashbaugh Ben J.; Galoppo Von Borries Nicolas C.; Nurvitadhi Eriko; Vembu Balaji; Lin Tsung-Han; Sinha Kamal; Barik Rajkishore; Baghsorkhi Sara S.; Gottschlich Justin E.; Koker Altug; Satish Nadathur Rajagopalan; Akhbari Farshad; Kim Dukhwan; Fu Wenyin; Schluessler Travis T.; Mastronarde Josh B.; Hurd Linda L.; Feit John H.; Boles Jeffery S.; Lake Adam T.; Vaidyanathan Karthik; Burke Devan; Maiyuran Subramaniam; Appu Abhishek R. |
11222462 | Method, apparatus, and computer program product for improved graphics performance | Hasselgren Jon N.; Munkberg Jacob J.; Clarberg Franz Petrik; Akenine-Moller Tomas G. |
11222545 | Technologies for providing signal quality based route management for unmanned aerial vehicles | Yeh Shu-Ping; Bai Jingwen; Xue Feng; Davis Mark; Talwar Shilpa |
11222836 | Zero-misalignment two-via structures | Strong Veronica; Aleksov Aleksandar; Rawlings Brandon; Swan Johanna |
11222837 | Low-inductance current paths for on-package power distributions and methods of assembling same | Collins Andrew; Xie Jianyong; Sharan Sujit |
11222847 | Enabling long interconnect bridges | Mahajan Ravindranath V.; Qian Zhiguo; Braunisch Henning; Aygun Kemal; Sharan Sujit |
11222848 | Power delivery for embedded bridge die utilizing trench structures | Aygun Kemal; Qian Zhiguo; Xie Jianyong |
11222856 | Package-integrated bistable switch for electrostatic discharge (ESD) protection | Eid Feras; Strong Veronica Aleman; Aleksov Aleksandar; Elsherbini Adel A.; Swan Johanna M. |
11222863 | Techniques for die stacking and associated configurations | Hua Fay; Pelto Christopher M.; Rao Valluri R.; Bohr Mark T.; Swan Johanna M. |
11222877 | Thermally coupled package-on-package semiconductor packages | Karhade Omkar; Sankman Robert L.; Deshpande Nitin A.; Modi Mitul; De Bonis Thomas J.; Nickerson Robert M.; Wan Zhimin; Hariri Haifa; Chavali Sri Chaitra J.; Akbay Nazmiye Acikgoz; Hafez Fadi Y.; Rumer Christopher L. |
11222885 | Backend electrostatic discharge diode apparatus and method of fabricating the same | Majhi Prashant; Karpov Ilya; Doyle Brian; Pillarisetty Ravi; Sharma Abhishek |
11222895 | Embedded memory employing self-aligned top-gated thin film transistors | Wang Yih; Sharma Abhishek; Le Van |
11222921 | Selector devices | Sharma Abhishek A.; Pillarisetty Ravi; Le Van H.; Dewey Gilbert W.; Rachmady Willy |
11222947 | Methods of doping fin structures of non-planar transistor devices | Weber Cory E.; Lilak Aaron D.; Liao Szuya S.; Budrevich Aaron A. |
11222977 | Source/drain diffusion barrier for germanium NMOS transistors | Glass Glenn A.; Murthy Anand S.; Jambunathan Karthik; Bomberger Cory C.; Ghani Tahir; Kavalieros Jack T.; Chu-Kung Benjamin; Sung Seung Hoon; Chouksey Siddharth |
11222982 | Methods and apparatus to form silicon-based transistors on group III-nitride materials using aspect ratio trapping | Radosavljevic Marko; Dasgupta Sansaptak; Then Han Wui |
11222987 | Optical receiver employing a metasurface collection lens having concentric belts or rings | Heck John; Frish Harel; West Paul R. |
11223361 | Interface for parallel configuration of programmable devices | Clark Kevin; Weber Scott J.; Ball James; Chong Simon; Gutala Ravi Prakash; Dasu Aravind Raghavendra; Tan Jun Pin |
11223446 | Forward error correction mechanism for data transmission across multi-lane links | Das Sharma Debendra |
11223483 | Odd index precomputation for authentication path computation | Misoczki Rafael; Suresh Vikram; Ghosh Santosh; Sastry Manoj; Mathew Sanu; Kumar Raghavan |
11223520 | Remote control plane directing data plane configurator | Kim Changhoon; Li Xiaozhou; Agrawal Anurag; Zhu Julianne |
11223524 | Package integrated security features | Liff Shawna M.; Elsherbini Adel A.; Oster Sasha N.; Eid Feras; Dogiamis Georgios C.; Sounart Thomas L.; Swan Johanna M. |
11223606 | Technologies for attesting a deployed workload using blockchain | Van de Groenendaal Johan; Munoz Alberto J. |
11223831 | Method and system of video coding using content based metadata | Noraz Frederic J.; Boyce Jill M.; Mohan Sumit |
11223879 | Method of adaptive browsing for digital content | Dunnahoo Sean; Flynn Christie |
11223882 | Techniques for acoustic management of entertainment devices and systems | Ferren Bran; Booth Cory J.; Andersen David B. |
11223970 | Apparatus, system and method of concurrent multiple band (CMB) wireless communication | Cohn Daniel; Birnbaum David; Reshef Ehud; Hareuveni Ofer; Chay Dor |
11226162 | Heat dissipation device having anisotropic thermally conductive sections and isotropic thermally conductive sections | Eid Feras; Elsherbini Adel; Swan Johanna |
11226353 | Integrated cable probe design for high bandwidth RF testing | Hu Chengqing; Xie Mayue; Khalsa Simranjit S.; Goyal Deepak |
11226653 | Single display laptop design | Kulkarni Shantanu Dattatraya; Zhai Tongyan; Ghosh Prosenjit |
11226660 | Multiple mode display apparatus | Oakley Nicholas W. |
11226663 | Methods, systems, articles of manufacture and apparatus to reduce temperature of a networked device | Guy Wey-Yi; Gokhale Aarti; Deuskar Gaurish |
11226912 | Out-of-band interrupt mapping in MIPI improved inter-integrated circuit communication | Foust Kenneth P.; Quiet Duane G.; Srivastava Amit Kumar |
11226922 | System, apparatus and method for controlling duty cycle of a clock signal for a multi-drop interconnect | Srivastava Amit K.; Foust Kenneth P. |
11227179 | Video tracking with deep Siamese networks and Bayesian optimization | Rhodes Anthony; Goel Manan |
11227277 | Facilitating smart geo-fencing-based payment transactions | McClard Anne P.; Esplin Aaren B.; March Wendy |
11227358 | Systems and methods for exploiting queues and transitional storage for improved low-latency high-bandwidth on-die data retrieval | Anantaraman Aravindh; Koker Altug; George Varghese; Maiyuran Subramaniam; Kim SungYe; Andrei Valentin |
11227360 | Thread scheduling over compute blocks for power optimization | Koker Altug; Vembu Balaji; Ray Joydeep; Valerio James A.; Appu Abhishek R. |
11227363 | Apparatus and method for correcting image regions following upsampling or frame interpolation | Pohl Daniel |
11227489 | Technologies for managing interoperable high definition maps for autonomous vehicles | Moustafa Hassnaa; Anandaraj Subramanian; Soundararajan Srivathsan; Pinherio Ana Lucia A.; Sankaran Kutty Jithin Sankar |
11227644 | Self-aligned spin orbit torque (SOT) memory devices and their methods of fabrication | O'Brien Kevin; Sato Noriyuki; Oguz Kaan; Doczy Mark; Kuo Charles |
11227766 | Metal oxide nanoparticles as fillable hardmask materials | Krysak Marie; Gstrein Florian; Chandhok Manish |
11227798 | Metal aluminum gallium indium carbide thin films as liners and barriers for interconnects | Clendenning Scott B.; Gstrein Florian |
11227799 | Wrap-around contact structures for semiconductor fins | Mehandru Rishabh |
11227825 | High performance integrated RF passives using dual lithography process | Elsherbini Adel A.; Manusharow Mathew J.; Bharath Krishna; Lambert William J.; Sankman Robert L.; Aleksov Aleksandar; Rawlings Brandon M.; Eid Feras; Soto Gonzalez Javier; Jiao Meizi; Nad Suddhasattwa; Kamgaing Telesphor |
11227829 | Device terminal interconnect structures | Subramanian Sairam; Hafez Walid M. |
11227841 | Stiffener build-up layer package | Cheah Bok Eng; Kong Jackson Chung Peng; Ong Jenny Shio Yin; Lim Seok Ling |
11227849 | Electroless-catalyst doped-mold materials for integrated-circuit die packaging architectures | Marin Brandon C; Pietambaram Srinivas V.; Darmawikarta Kristof; Duan Gang; Paital Sameer |
11227859 | Stacked package with electrical connections created using high throughput additive manufacturing | Eid Feras; Swan Johanna M.; Liff Shawna M. |
11227863 | Gate isolation in non-planar transistors | Guler Leonard P.; Bhimarasetti Gopinath; Sharma Vyom; Hafez Walid M.; Auth Christopher P. |
11228126 | Dual in-line memory modules (DIMM) connector towers with removable and/or lay-flat latches | Tan Guixiang; Li Xiang; Winkel Casey |
11228420 | Securing audio communications | Pappachan Pradeep M.; Lal Reshma; Ughreja Rakesh A.; Dwarakanath Kumar N.; Moore Victoria C. |
11228480 | Gateway assisted diagnostics and repair | Chew Yen Hsiang; Murugayah Kanapathy; Avalos Jose |
11228539 | Technologies for managing disaggregated accelerator networks based on remote direct memory access | Ganguli Mrittika; Chandran Sugesh; Sarangam Parthasarathy; Sen Sujoy; Balle Susanne M.; Sankaran Rajesh |
11228601 | Surveillance-based relay attack prevention | Zivkovic Zoran; Kounavis Michael E. |
11228869 | Roadway communication system with multicast | Moustafa Hassnaa; Sadeghi Bahareh; Cavalcanti Dave |
11228880 | Methods and devices for vehicular radio communications | Aldana Carlos; Cavalcanti Dave; Choudhury Debabani; Fwu Jong-Kae; Gunzelmann Bertram; Himayat Nageen; Karls Ingolf; Kitchin Duncan; Mueck Markus Dominik; Skinner Harry; Stobart Christopher; Talwar Shilpa; Yu Zhibin |
11228887 | Internet of things device hierarchies | Kesavan Vijay Sarathi; Prasad Sudarshan |
11228978 | Low-power wake-up radio discovery procedure and frame format | Huang Po-Kai; Bravo Daniel F.; Ginsburg Noam; Stacey Robert J. |
11229052 | Processes and methods to enable downlink data transmission over wide bandwidth for the cell edge station | Fang Juan; Park Minyoung; Azizi Shahrnaz |
11231731 | System, apparatus and method for sensor-driven and heuristic-based minimum energy point tracking in a processor | Vangal Sriram R.; Devaraju Jayanth Mallanayakanahalli; De Vivek; Milstrey Robert; Gunther Stephen H. |
11231757 | Thermal dissipation in dual-chassis devices | Kurma Raju Prakash; Triplicane Gopikrishnan Babu; Singh Bijendra; Pichumani Prasanna; Doddi Raghavendra; Jagadish Harish; Kandasamy Gopinath; Pidwerbecki David |
11231761 | Power monitoring for a processing platform | Lehwalder Philip; Santucci Robert; Schiff Tod |
11231762 | Content adaptive display power savings systems and methods | Belagal Math Shravan Kumar; Ghosh Tamoghna; Abdelhak Sherine; Qiu Junhai |
11231781 | Haptic gloves for virtual reality systems and methods of controlling the same | Xiong Yuan; Zhai Feiyue; Cao Buddy; Yang Wenlong |
11231873 | Apparatus and method for assigning velocities to write data | Trika Sanjeev N. |
11231896 | Configuring display screen coordinates | Lawrence Sean J. |
11231905 | Vehicle with external audio speaker and microphone | Cordourier Maruri Hector Alfonso; Coello Chavarin Sandra; Cortés Hernández Diego Mauricio; Sanchez Mesa Rosa Jacqueline; De la Mora Hernandez Lizbeth; Tlaxcalteco Matus Miquel |
11231927 | System, apparatus and method for providing a fabric for an accelerator | Pappu Lakshminarayana; Adler Robert D.; Srivastava Amit Kumar; Anantaraman Aravindh |
11231937 | Autonomous host detection for communication port management | Por Choon Gun |
11231963 | Methods and apparatus to enable out-of-order pipelined execution of static mapping of a workload | Behar Michael; Maor Moshe; Gabbai Ronen; Rosner Roni; Walter Zigi; Agam Oren |
11232035 | Memory controller with pre-loader | Guim Bernat Francesc; Doshi Kshitij |
11232056 | System and method for vector communication | Yu Wenqian; Liang Cunming; Yu Ping; Hao Shun; Zhang Helin |
11232058 | Enabling sync header suppression latency optimization in the presence of retimers for serial interconnect | Jen Michelle; Das Sharma Debendra; Tennant Bruce; Jayaprakash Bharadwaj Prahladachar |
11232060 | Method, apparatus and system for power supply policy exchange on a bus | Srivastava Amit Kumar; Foust Kenneth P. |
11232127 | Technologies for providing dynamic persistence of data in edge computing | Bernat Francesc Guim; Kumar Karthik; Prabhakaran Suraj; Sethuraman Ramanathan; Verrall Timothy; Smith Ned |
11232273 | Multiplication-free approximation for neural networks and sparse coding | Chinya Gautham; Ji Shihao; Paul Arnab |
11232316 | Iris or other body part identification on a computing device | Guo Donghai; Huang Shuya Kevin; Liu Jun |
11232531 | Method and apparatus for efficient loop processing in a graphics hardware front end | Nalluri Hema Chand; Vembu Balaji; Doyle Peter; Apodaca Michael |
11232533 | Memory prefetching in multiple GPU environment | Ray Joydeep; Anantaraman Aravindh; Andrei Valentin; Appu Abhishek R.; Galoppo von Borries Nicolas; George Varghese; Koker Altug; Ould-Ahmed-Vall Elmoustapha; Macpherson Mike; Maiyuran Subramaniam |
11232536 | Thread prefetch mechanism | Lake Adam T.; Lueh Guei-Yuan; Vembu Balaji; Ramadoss Murali; Surti Prasoonkumar; Appu Abhishek R.; Koker Altug; Maiyuran Subramaniam M.; Samson Eric C.; Cowperthwaite David J.; Wang Zhi; Tian Kun; Puffer David; Lewis Brian T. |
11232832 | Polarization gate stack SRAM | Morris Daniel H.; Avci Uygar E.; Young Ian A. |
11232948 | Layered substrate for microelectronic devices | Glass Glenn A.; Murthy Anand S. |
11232980 | Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication | Gstrein Florian; Hourani Rami; Bhimarasetti Gopinath; Blackwell James M. |
11233009 | Embedded multi-die interconnect bridge having a molded region with through-mold vias | Akkinepally Praneeth Kumar; Truong Frank; Gamba Jason M.; May Robert Alan |
11233015 | Warpage mitigation structures created on substrate using high throughput additive manufacturing | Eid Feras |
11233018 | Package on antenna package | Dalmia Sidharth; Yepes Ana M.; Talebbeydokhti Pouya; Baryakh Miroslav; Asaf Omer |
11233040 | Integration of high density cross-point memory and CMOS logic for high density low latency eNVM and eDRAM applications | Karpov Elijah V.; Majhi Prashant; Doyle Brian S.; Pillarisetty Ravi; Wang Yih |
11233053 | Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication | Radosavljevic Marko; Then Han Wui; Dasgupta Sansaptak |
11233090 | Double selector element for low voltage bipolar memory devices | Majhi Prashant; Pillarisetty Ravi; Karpov Elijah V.; Doyle Brian S.; Sharma Abhishek A. |
11233148 | Reducing band-to-band tunneling in semiconductor devices | Chu-Kung Benjamin; Kavalieros Jack T.; Sung Seung Hoon; Chouksey Siddharth; Kennel Harold W.; Basu Dipanjan; Agrawal Ashish; Glass Glenn A.; Ghani Tahir; Murthy Anand S. |
11233152 | Self-aligned gate endcap (SAGE) architectures with gate-all-around devices | Guha Biswajeet; Hsu William; Guler Leonard P.; Crum Dax M.; Ghani Tahir |
11233348 | Structural optimization of contact geometry for high performance connector | Moon Se-Jung; Kao Chien-Ping; Hernandez Sosa Gaudencio; Lee Beom-Taek |
11233536 | High-performance receiver architecture | Alam Mohammed; Chen Yiwen; Fernandez Ricardo; Parkes, Jr. John J.; Riches James; Schelmbauer Werner; Schwartz Daniel; Vicker Michael David; Yang Dong-Jun |
11233633 | Method and system of secured direct link set-up (DLS) for wireless networks | Walker Jesse; Ovadia Shlomo; Sharma Suman |
11233712 | Technologies for data center multi-zone cabling | Adiletta Matthew J.; Gorius Aaron; Wilde Myles; Crocker Michael T. |
11234129 | Invalid measurement indication in location measurement report | Jiang Feng; Li Qinghua; Segev Jonathan; Gurevitz Assaf; Alexander Danny; Chen Xiaogang |
11234174 | Zero latency BSS transition with on-channel tunneling (OCT) | Cariou Laurent; Cordeiro Carlos; Bravo Daniel F.; Reshef Ehud |
11234204 | Server selection for vehicle communications and applications | Ding Zongrui; Li Qian; Tong Xiaopeng; Ruan Leifeng |
11234219 | Discovery reference signal and control resource set multiplexing | Mondal Bishwarup; Rane Prerana; Kwak Yongjun; Chatterjee Debdeep |
11234254 | Network component, system and method | Hareuveni Ofer; Ross Rony; Bravo Daniel; Reshef Ehud; Cariou Laurent |
11234269 | Virtual carrier sensing with two network allocation vectors | Huang Po-Kai; Li Qinghua; Stacey Robert J. |
11234343 | Thermal management solutions for stacked integrated circuit devices using unidirectional heat transfer devices | Eid Feras; Elsherbini Adel; Swan Johanna |
11237195 | Frequency estimation | Zur Sarit; Kushnir Igal; Horovitz Gil; Banin Rotem; Bershansky Sergey |
11237572 | Collision avoidance system, depth imaging system, vehicle, map generator and methods thereof | Ryll Andre; Pohl Daniel; Achtelik Markus; Jaeger Bastian; Vervoorst Jan Willem |
11237610 | Handling loss of power for uninterruptible power supply efficiency | Kuo Chia-Hung S.; Lehwalder Philip; Gihon Arik |
11237614 | Multicore processor with a control register storing an indicator that two or more cores are to operate at independent performance states | Bhandaru Malini K.; Dehaemer Eric J.; Bobholz Scott P.; Makaram Raghunandan; Garg Vivek |
11237615 | Current control for a multicore processor | Gendler Alexander; Rotem Efraim; Rosenzweig Nir; Sistla Krishnakanth V.; Choubal Ashish V.; Varma Ankush |
11237620 | Hierarchical power management unit for low power and low duty cycle devices | Kurian Dileep J.; Deshmukh Pranjali S.; Kabisthalam Muthukumar Sriram; Gupta Ankit; Karnik Tanay; Arditti Ilitzky David; Bhandari Saurabh |
11237626 | Compensating for high head movement in head-mounted displays | Babu Ravindra A.; Ms Sashank; Musunuri Satyanantha R.; Pawar Sagar C.; Kaipa Kalyan K.; Balakrishnan Vijayakumar; Kp Sameer |
11237643 | Physical keyboards for multi-display computing devices | Kulkarni Shantanu D.; Ku Jeff; Okuley James |
11237721 | Techniques to display an input device on a mobile device | Teng Arther Sing Hook |
11237732 | Method and apparatus to improve write bandwidth of a block-based multi-level cell nonvolatile memory | Natarajan Shankar; Nagarajan Suresh; Zhang Yihua |
11237757 | Data storage for accelerating functions | Gutala Ravi; Dasu Aravind |
11237840 | All in one mobile computing device | Adiletta Matthew J.; Wilde Myles; Fallon Michael F.; Kumar Amit; Yang Chengda; Gorius Aaron; Wheeler William R. |
11237877 | Robot swarm propagation using virtual partitions | Anderson Glen J.; Honkote Vinayak; Ghosh Dibyendu |
11237898 | Automatic model-based computing environment performance monitoring | Estrada Giovani; Bayon-Molino Victor |
11237903 | Technologies for providing ECC pre-provisioning and handling for cross-point memory and compute operations | Sundaram Rajesh; Wu Wei; Chauhan Chetan; Srinivasan Srikanth; Tomishima Shigeki |
11237990 | Fixed ethernet frame descriptor | Slota Alexander; Coleman James; Khandelwal Rajkumar; Kumar Anil |
11237993 | Source synchronized signaling mechanism | Koker Altug; Ray Joydeep; Ranganathan Vasanth; Appu Abhishek R. |
11237998 | Interface bridge between integrated circuit die | Schulz Jeffrey Erik; Mendel David W.; Patil Dinesh D.; Wallichs Gary Brian; Duwel Keith; Jones Jakob Raymond |
11238013 | Scalable access to shared files in a distributed system | Oganezov Alexander; Olivier Jeffrey V.; Holguin Christopher |
11238067 | Systems, methods and apparatus to cluster and classify individuals in groups | Tullimalli Madhuri; Kaur Handeep; Lalwani Prashant |
11238155 | Microarchitectural mechanisms for the prevention of side-channel attacks | Chappell Robert S.; Stark, IV Jared W.; Nuzman Joseph; Robinson Stephen; Brandt Jason W. |
11238203 | Systems and methods for accessing storage-as-memory | Illikkal Rameshkumar; Sankaranarayanan Ananth; Zimmerman David; Marolia Pratik M.; Subhaschandra Suchit; Minturn Dave |
11238308 | Entropic clustering of objects | Munoz Jorge A. |
11238309 | Selecting keypoints in images using descriptor scores | Mandal Dipan Kumar; Kalsi Gurpreet; Omer Om J; Laddha Prashant; Subramoney Sreenivas |
11238338 | Real time context dependent deep learning | Faivishevsky Lev; Bar-On Tomer; Fais Yaniv; Subag Jacob; Dreyfuss Jeremie; Bleiweiss Amit; Schwartz Tomer; Yehezkel Rohekar Raanan Yonatan; Behar Michael; Armon Amital; Sarel Uzi |
11238557 | Workload-based maximum current | Kaburlasos Nikos; Samson Eric; Jasoliya Jaymin B. |
11238641 | Architecture for contextual memories in map representation for 3D reconstruction and navigation | Parra Vilchis Jose; De La Guardia Gonzalez Rafael; Aldana Lopez Rodrigo; Gomez Gutierrez David; Campos Macias Leobardo |
11239112 | Passivating silicide-based approaches for conductive via fabrication and structures resulting therefrom | Chandhok Manish; Naskar Sudipto; Schenker Richard E. |
11239126 | Rod-based substrate with ringed interconnect layers | Phun Florence Su Sin; Tan Wei Jern; Koh Boon Ping; Nik Zurin Nik Mohamed Azeim; Ng Kai Chong |
11239149 | Metal interconnect fuse memory arrays | Dorgan Vincent; Hicks Jeffrey; Bhattacharya Uddalak; Chen Zhanping; Hafez Walid |
11239155 | Conductive contact structures for electrostatic discharge protection in integrated circuits | Elsherbini Adel A.; Eid Feras; Swan Johanna M.; Aleksov Aleksandar; Strong Veronica Aleman |
11239156 | Planar slab vias for integrated circuit interconnects | Karpov Elijah; Chandhok Manish; Kabir Nafees |
11239186 | Die with embedded communication cavity | Raorane Digvijay; Nair Vijay K. |
11239199 | Package stacking using chip to wafer bonding | Seidemann Georg; Reingruber Klaus; Geissler Christian; Albers Sven; Wolter Andreas; Dittes Marc; Patten Richard |
11239232 | Isolation walls for vertically stacked transistor structures | Lilak Aaron; Morrow Patrick; Dewey Gilbert; Rachmady Willy; Mehandru Rishabh |
11239236 | Forksheet transistor architectures | Lilak Aaron D.; Mehandru Rishabh; Mannebach Ehren; Morrow Patrick; Rachmady Willy |
11239238 | Thin film transistor based memory cells on both sides of a layer of logic devices | Gomes Wilfred; Kobrinsky Mauro J.; Puls Conor P.; Fischer Kevin; Sell Bernhard; Sharma Abhishek A.; Ghani Tahir |
11239361 | Multilayer insulator stack for ferroelectric transistor and capacitor | Avci Uygar E.; Howard Joshua M.; Kim Seiyon; Young Ian A. |
11239794 | Coupled frequency doubler with frequency tracking loop | Shin Dongseok; Kim Hyung Seok; Fan Yongping |
11239843 | Width and frequency conversion with PHY layer devices in PCI-express | Das Sharma Debendra |
11239866 | Digital-to-analog conversion system | Gruber Daniel; Sanchez Ramon; Azadet Kameran; Clara Martin |
11239875 | Concurrent operation of intelligent transportation system (ITS) radios in a same frequency band with a common antenna | Faerber Michael; Roth Kilian; Saravanan Visvesh; Gomes Baltar Leonardo |
11239977 | Apparatus, system and method of acknowledging a multi user (MU) multiple-input-multiple-output (MIMO) (MU-MIMO) transmission | Chen Cheng; Cordeiro Carlos; Da Silva Claudio; Kedem Oren |
11239981 | Multiplexing of channel state information reference signals (CSI-RS) | Zhang Yushu; Davydov Alexei; Xiong Gang; Pawar Sameer; Wang Guotong |
11239994 | Techniques for key provisioning in a trusted execution environment | Bowman Mic; Miele Andrea |
11239997 | Techniques for cipher system conversion | Chhabra Siddhartha; Durham David M. |
11240020 | Techniques for securing and controlling access to data | Deleeuw William C.; Smith Ned M. |
11240039 | Message index aware multi-hash accelerator for post quantum cryptography secure hash-based signing and verification | Suresh Vikram; Mathew Sanu; Sastry Manoj; Ghosh Santosh; Kumar Raghavan; Misoczki Rafael |
11240072 | Adaptation of a transmit equalizer using management registers | Ran Adee Ofir |
11240155 | Technologies for network device load balancers for accelerated functions as a service | Bernat Francesc Guim; Kumar Karthik; Smith Ned M.; Kenguva Monica; Patel Rashmin |
11240472 | Methods and apparatus to perform remote monitoring | Brady John; Nolan Keith; Guibene Wael; Nolan Michael; Kelly Mark |
11240659 | Privacy protection mechanisms for connected vehicles | Liu Xiruo; Ahmed Shabbir; Graefe Ralf; Gutierrez Christopher; Juliato Marcio; Rosales Rafael; Sastry Manoj; Yang Liuyang |
11241934 | Techniques to optimize vehicular systems for occupant presence and condition | Credo Grace; Alcaidinho Joelle; Guibene Wael |
11243585 | Methods, systems and apparatus for dynamic temperature aware functional safety | Srivastava Amit Kumar; Rapeta Rao Jagannadha; Azam Asad |
11243617 | Multi-function stylus with sensor controller | Trethewey James; Case, Jr. Charlie; Martinez Jorge |
11243765 | Apparatus and method for scaling pre-scaled results of complex multiply-accumulate operations on packed real and imaginary data elements | Madduri Venkateswara; Ould-Ahmed-Vall Elmoustapha; Charney Mark; Valentine Robert; Corbal Jesus; Yang Binwei |
11243766 | Flexible instruction set disabling | Branco Rodrigo |
11243768 | Mechanism for saving and retrieving micro-architecture context | Rotem Efraim; Weissmann Eliezer; Ginzburg Boris; Naveh Alon; Shulman Nadav; Ronen Ronny |
11243769 | Shadow stack ISA extensions to support fast return and event delivery (FRED) architecture | Shanbhogue Vedvyas; Neiger Gilbert; Gupta Deepak K.; Anvin H. Peter |
11243775 | System, apparatus and method for program order queue (POQ) to manage data dependencies in processor having multiple instruction queues | Ayupov Andrey; Srinivasan Srikanth T.; Pearce Jonathan D.; Sheffield David B. |
11243814 | Diagnosing slow tasks in distributed computing | Shen Huanxing; Li Cong; Huang Tai |
11243817 | Technologies for data migration between edge accelerators hosted on different edge locations | Custodio Evan; Bernat Francesc Guim; Prabhakaran Suraj; Cooper Trevor; Smith Ned M.; Doshi Kshitij; Torre Petar |
11243836 | Supporting random access of compressed data | Gopal Vinodh; Guilford James; Cutter Daniel; Yap Kirk |
11243893 | Preventing unauthorized access to encrypted memory | Lutz Jonathan; Elbaz Reouven; Brandt Jason W.; Shafi Hisham; Anati Ittai; Shanbhogue Vedvyas |
11243977 | SGX based flow control for distributed ledgers | Bowman Mic; Miele Andrea |
11244068 | Privacy enforcement via localized personalization | Smith Ned M.; Heldt-Sheller Nathan; Willis Thomas G. |
11244191 | Region proposal for image regions that include objects of interest using feature maps from multiple layers of a convolutional neural network model | Yao Anbang; Kong Tao; Chen Yurong |
11244242 | Technologies for distributing gradient descent computation in a heterogeneous multi-access edge computing (MEC) networks | Prakash Saurav; Dhakal Sagar; Yona Yair; Himayat Nageen; Talwar Shilpa |
11244420 | Handling pipeline submissions across many compute units | Vembu Balaji; Koker Altug; Ray Joydeep |
11244440 | Ranking of objects with noisy measurements | Baidya Bikram; Gu Allan; Singh Vivek K.; Kagalwalla Abde Ali Hunaid |
11244471 | Methods and apparatus to avoid collisions in shared physical spaces using universal mapping of virtual environments | Chilcote-Bacco Derek |
11244479 | Controlling coarse pixel size from a stencil buffer | Vaidyanathan Karthik; Surti Prasoonkumar; Labbe Hugues; Kuwahara Atsuo; KP Sameer; Kennedy Jonathan; Ramadoss Murali; Apodaca Michael; Venkatesh Abhishek |
11244512 | Hybrid rendering for a wearable display attached to a tethered computer | Vembar Deepak Shashidhar; Diefenbaugh Paul; Somayazulu Vallabhajosyula S.; Kuwahara Atsuo; Whitney Kofi; Hicks Richmond |
11244654 | Display control apparatus and method for a display based on information indicating presence or engagement of the user of the display | Sinha Vishal; Diefenbaugh Paul; Huard Douglas |
11244890 | Ground via clustering for crosstalk mitigation | Qian Zhiguo; Aygun Kemal; Zhang Yu |
11244912 | Semiconductor package having a coaxial first layer interconnect | Vadlamani Sai; Aleksov Aleksandar; Jain Rahul; Lee Kyu Oh; Darmawikarta Kristof Kuwawi; May Robert Alan; Boyapati Sri Ranga Sai; Kamgaing Telesphor |
11244943 | Three-dimensional integrated circuits (3DICs) including bottom gate MOS transistors with monocrystalline channel material | Huang Cheng-Ying; Dewey Gilbert; Agrawal Ashish; Jun Kimin; Rachmady Willy; Geiger Zachary; Bomberger Cory; Keech Ryan; Ganguly Koustav; Murthy Anand; Kavalieros Jack |
11245038 | Vertical multi-gate thin film transistors | Wang Yih; Sharma Abhishek; Ma Sean; Lee Van H. |
11245053 | Micro-LED structures for full color displays and methods of manufacturing the same | Dasgupta Sansaptak; Ahmed Khaled; Pancholi Anup |
11245068 | Transition metal dichalcogenide based magnetoelectric memory device | Lin Chia-Ching; Manipatruni Sasikanth; Gosavi Tanay; Nikonov Dmitri; Buford Benjamin; Oguz Kaan; Plombon John J.; Young Ian A. |
11245403 | Method and a system for calibrating a phase nonlinearity of a digital-to-time converter | Sievert Sebastian; Degani Ofir; Ravi Ashoke |
11245538 | Methods and apparatus to aggregate telemetry data in an edge environment | Doshi Kshitij; Guim Bernat Francesc; Verrall Timothy; Smith Ned; Gadiyar Rajesh |
11245553 | Channel estimation fields for wireless networks | Lomayev Artyom; Maltsev Alexander; Gagiev Yaroslav P.; Kasher Assaf; Genossar Michael; Kravtsov Vladimir; Cordeiro Carlos |
11245557 | Method, software and device for generating channel estimates | Mursia Placido; Fechtel Stefan |
11245604 | Techniques to support multiple interconnect protocols for a common set of interconnect connectors | Wagh Mahesh; Myers Mark S.; Van Doren Stephen R.; Ziakas Dimitrios; Coury Bassam N. |
11246027 | At least one mechanism to permit, at least in part, allocation and/or configuration, at least in part, of at least one network-associated object | Magro William R.; Rimmer Todd M.; Woodruff Robert J.; Hefty Mark S.; Sur Sayantan |
11246094 | Mechanism for efficient data reporting in IIoT WSN | Agerstam Mats; Kesavan Vijay Sarathi; Hudson Douglas K; Tran Thuyen C; Sodani Shilpa A |
11246161 | 5G channel access procedure for multiple channel transmission within shared spectrum | Bhorkar Abhijeet; Kwon Hwan-Joon; Ibars Casas Christian |
11246217 | Electronics connectors having power-regulating passive components | Long Brian J. |
11246218 | Core layer with fully encapsulated co-axial magnetic material around PTH in IC package substrate | Zhang Chong; Wang Ying; Zhao Junnan; Xu Cheng; Deng Yikang |
11246530 | Respiratory biological sensing | Moyerman Stephanie; Hall James Brian; Cengija Karolina Karli; Rosen Michael R; Ortiz Melissa; Anwar Naghma; Gross Donald L |
11249113 | High density and fine pitch interconnect structures in an electric test apparatus | Tadayon Pooya; Bohr Mark; Walczyk Joe |
11249492 | Methods and apparatus to facilitate autonomous navigation of robotic devices | Zamora Esquivel Julio Cesar; Aldana Lopez Rodrigo; Campos Macias Leobardo; Cruz Vargas Jesus Adan; de la Guardia Gonzalez Rafael; Gomez Gutierrez David; Macias Garcia Edgar |
11249511 | High performance clock domain crossing FIFO | Thong Jason |
11249522 | Heat transfer apparatus for a computer environment | Kulkarni Devdatta P.; Hanna Timothy G. |
11249534 | Apparatus and methods for reduced computing device power consumption | Diefenbaugh Paul; Runyan Arthur; Smith Gary; Bui Kathy; Saini Ajay; Sinha Vishal; Li Yifan; Park Yong-Joon |
11249537 | USB type-C power delivery management | Nge Chee Lim; Gorbatov Eugene; Wang Zhongsheng; Hermerding, II James G.; Astekar Basavaraj B.; Cheng Jenn Chuan; Kuo Chia-Hung Sophia; Umapathy Ashwin; Kung Tin-Cheung; Li Yifan; Uan-Zo-Li Alexander B. |
11249683 | Simulated-annealing based memory allocations | Bai Yu; Chofleming Kermin |
11249726 | Integrated circuits with modular multiplication circuitry | Langhammer Martin; Pasca Bogdan |
11249748 | Firmware component with self-descriptive dependency information | Zimmer Vincent; Yao Jiewen |
11249754 | Apparatus and method for vector horizontal add of signed/unsigned words and doublewords | Madduri Venkateswara; Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Charney Mark |
11249755 | Vector instructions for selecting and extending an unsigned sum of products of words and doublewords for accumulation | Madduri Venkateswara R.; Murray Carl; Ould-Ahmed-Vall Elmoustapha; Charney Mark J.; Valentine Robert; Corbal Jesus |
11249761 | Systems and methods for performing matrix compress and decompress instructions | Baum Dan; Espig Michael; Guilford James; Feghali Wajdi K.; Sade Raanan; Hughes Christopher J.; Valentine Robert; Toll Bret; Ould-Ahmed-Vall Elmoustapha; Charney Mark J.; Gopal Vinodh; Zohar Ronen; Heinecke Alexander F. |
11249779 | Accelerator interconnect assignments for virtual environments | Palermo Stephen; Rogers Gerald; Chien Shih-Wei; Venkatesan Namakkal |
11249808 | Connecting accelerator resources using a switch | Rao Anil; Das Sharma Debendra |
11249816 | Pivot rack | Aoki Russell |
11249837 | Flit-based parallel-forward error correction and parity | Das Sharma Debendra |
11249909 | Systems and methods for adaptive multipath probability (AMP) prefetcher | Alam Hanna; Nuzman Joseph |
11249910 | Initialization and management of class of service attributes in runtime to optimize deep learning training in distributed environments | Anantaraman Aravindh; Sridharan Srinivas; Durg Ajaya; Haghighat Mohammad R.; Smorkalov Mikhail E.; Srinivasan Sudarshan |
11249932 | Device, apparatus and system to reduce current leakage during differential communications | Thanigasalam Haran; Peterson Steven |
11250154 | Instruction execution that broadcasts and masks data values at different levels of granularity | Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Corbal Jesus; Toll Bret L.; Charney Mark J. |
11250165 | Binding of cryptographic operations to context or speculative execution restrictions | LeMay Michael; Durham David M.; Brandt Jason W. |
11250167 | Secure external SoC debugging | Raja Gopal R Selvakumar; Azam Asad |
11250256 | Binary linear classification | Miller John David; Diggs James Asoka |
11250317 | Three-dimensional oscillator structure | Nikonov Dmitri E.; Karpov Elijah V.; Young Ian A. |
11250336 | Distributed and contextualized artificial intelligence inference service | Guim Bernat Francesc; Prabhakaran Suraj; Doshi Kshitij Arun; Chiang Da-Ming; Cahill Joe |
11250463 | Micro digital signage hardware integration | Birch Thomas |
11250539 | Low granularity coarse depth test efficiency enhancement | Ranganathan Vasanth; Mandal Saikat; Szerszen Karol A.; Sharma Saurabh; Chivukula Vamsee Vardhan; Appu Abhishek R.; Ray Joydeep; Surti Prasoonkumar; Koker Altug |
11250610 | Sub-graph in frequency domain and dynamic selection of convolution implementation on a GPU | Sarel Uzi; Cohen Ehud; Schwartz Tomer; Armon Amitai; Shadmiy Yahav; Ben-Ari Itamar; Bleiweiss Amit; Faivishevsky Lev; Bar-On Tomer; Fais Yaniv; Subag Jacob; Behar Michael; Jacob Guy; Leibovich Gal; Dreyfuss Jeremie |
11250616 | View-dependent carving of reconstructed data from segmentation masks | Kaplan Adam; Hovers Yuval; Beer Ilan; Raziel Ben |
11250627 | Tile sequencing mechanism | Maiyuran Subramaniam; Sharma Saurabh; Garcia Pabon Jorge F.; Kamath Miyar Raghavendra; Srivathsa Sudheendra; Decell Justin; Navale Aditya |
11250752 | Display circuits | Kwak Dong Yeung; Cancel Olmo Ramon C.; Nugraha Thomas A.; Li Jue |
11250899 | 1S-1T ferroelectric memory | Sharma Abhishek A.; Doyle Brian S.; Pillarisetty Ravi; Majhi Prashant; Karpov Elijah V. |
11250902 | Method and apparatus to reduce power consumption for refresh of memory devices on a memory module | Heymann Douglas; Chen Wei P.; Chittor Suresh; Vergis George |
11250981 | Vertical inductor for WLCSP | Wolter Andreas; Meyer Thorsten; Knoblinger Gerhard |
11251072 | Differential hardmasks for modulation of electrobucket sensitivity | Lin Kevin L.; Bristol Robert L.; Blackwell James M.; Hourani Rami; Krysak Marie |
11251076 | Conformal low temperature hermetic dielectric diffusion barriers | King Sean; Yoo Hui Jae; Kosaraju Sreenivas; Glassman Timothy |
11251103 | Segmented heatsink | Peterson Jerrod; Ruiz Carin Lundquist; Rallabandi Akhilesh P. |
11251111 | Leadframe in packages of integrated circuits | Kim Hyoung Il |
11251113 | Methods of embedding magnetic structures in substrates | Vadlamani Sai; Chatterjee Prithwish; May Robert A.; Jain Rahul S.; Link Lauren A.; Brown Andrew J.; Lee Kyu Oh; Li Sheng C. |
11251117 | Self aligned gratings for tight pitch interconnects and methods of fabrication | Chandhok Manish; Guler Leonard; Nyhus Paul; Bisht Gobind; Laib Jonathan; Shykind David; Singh Gurpreet; Han Eungnak; Sato Noriyuki; Wallace Charles; Aloysius Jinnie |
11251129 | Deposition of graphene on a dielectric surface for next generation interconnects | Chandrasekhar Nita; Chowdhury AKM Shaestagir |
11251150 | High density substrate routing in package | Teh Weng Hong; Chiu Chia-Pin |
11251156 | Fabrication and use of through silicon vias on double sided interconnect device | Mueller Brennen K.; Morrow Patrick; Jun Kimin; Fischer Paul B.; Pantuso Daniel |
11251158 | Monolithic chip stacking using a die with double-sided interconnect layers | Pancholi Anup; Jun Kimin |
11251171 | Removable interposer | Rifani Michael; Munoz Robert J.; Thomas Thomas P.; Matson John Mark; Kiziloglu Kursad |
11251186 | Compute near memory with backend memory | Sharma Abhishek; Sato Noriyuki; Atanasov Sarah; Sumbul Huseyin Ekin; Chen Gregory K.; Knag Phil; Krishnamurthy Ram; Yoo Hui Jae; Le Van H. |
11251201 | High voltage three-dimensional devices having dielectric liners | Hafez Walid M.; Yeh Jeng-Ya D.; Tsai Curtis; Park Joodong; Jan Chia-Hong; Bhimarasetti Gopinath |
11251227 | Fully self-aligned cross grid vertical memory array | Pillarisetty Ravi; Sharma Abhishek A.; Le Van H.; Dewey Gilbert; Kavalieros Jack T. |
11251228 | Optical receiver package with backside lens-integrated photodetector die | Xie Boping; Liu Ansheng; Dosunmu Olufemi Isiade; Krichevsky Alexander; Magruder Kelly Christopher; Frish Harel |
11251281 | Contact resistance reduction employing germanium overlayer pre-contact metalization | Glass Glenn A.; Murthy Anand S.; Ghani Tahir |
11251302 | Epitaxial oxide plug for strained transistors | Jambunathan Karthik; Guha Biswajeet; Bowonder Anupama; Murthy Anand S.; Ghani Tahir |
11251365 | High blocking temperature spin orbit torque electrode | Gosavi Tanay; Manipatruni Sasikanth; Oguz Kaan; Young Ian; O'Brien Kevin; Allen Gary; Sato Noriyuki |
11251512 | Pluggable MM-wave module for rack scale architecture (RSA) servers and high performance computers (HPCS) | Dogiamis Georgios; Oster Sasha; Kamgaing Telesphor |
11251576 | Circuit card with coherent interconnect | Blevins Dirk; Young Gene F.; Puligundla Sudeep; Langley Todd; Bross Kevin; Chitlur Nagabhushan |
11251826 | Cable modem, apparatus, device and method for a cable modem, apparatus, device and method for a cable communication system | Goichberg Nathan |
11251837 | Null data packet feedback report for wireless communications | Cariou Laurent; Bravo Daniel |
11251876 | Optical analog matrix multiplier for optical neural networks | Lin Wenhua; Khosrowshahi Amir; Wierzynski Casimir |
11251901 | Feedback parameters required by link adaptation | Alpert Yaron; Li Qinghua; Ghosh Chittabrata; Jiang Feng |
11251913 | Methods and apparatuses for controlling a bandwidth used for processing a baseband transmit signal, receiver for a wireless communication system, and method for a receiver | Kreienkamp Rainer Dirk; Kaehlert Stefan; Li Puma Giuseppe; Hammes Markus; Clevorn Thorsten; Ellenbeck Jan; Scholand Tobias; Malkowski Matthias; Vishwanathan Narayan; Gupta Chandra; Schlamann Markus; Lopez Soto Romeo; Zhang Lijun |
11252021 | Telemetry adaptation | Estrada Giovani; Bayon-Molino Victor; McGrath Michael J.; Butler Joseph |
11252198 | Policy-based secure containers for multiple enterprise applications | Viswanathan Tarun; Kahana Uri; Ross Alan; Birk Eran |
11252208 | Network proxy for energy efficient video streaming on mobile devices | Petria Silviu; Milescu George; Davidoaia Bogdan |
11252370 | Synergistic temporal anti-aliasing and coarse pixel shading technology | Schluessler Travis T.; Ray Joydeep; Feit John H.; Kaburlasos Nikos; Kwiatkowski Jacek; Vaidyanathan Karthik; Surti Prasoonkumar; Apodaca Michael; Ramadoss Murali; Venkatesh Abhishek |
11252552 | Apparatus, system and method of communicating in a neighbor awareness networking (NAN) group | Huang Po-Kai; Oren Elad; Das Dibakar; Qi Emily H.; Park Minyoung |
11252567 | Methods and apparatus for detecting attacks in V2X networks | Yang Liuyang Lily; Choudhury Debabani; Sharma Sridhar; Sivanesan Kathiravetpillai; Gottschlich Justin; Zhang Zheng; Yona Yair; Liu Xiruo; Ambrosin Moreno; Chen Kuilin Clark |
11252691 | Apparatus, system and method of location mapping | John Sudhanshu; Shen Gang |
11252786 | IoT networking extension with bi-directional packet relay | Nolan Keith; Kelly Mark; Sheridan Charlie |
11254563 | Mold material architecture for package device structures | Karhade Omkar G.; Deshpande Nitin A. |
11255693 | Technologies for intelligent traffic optimization with high-definition maps | Hazrati Mehrnaz Khodam; Alvarez Ignacio; Gonzalez Domingo; Lopez-Araiza Christopher |
11256104 | Intelligent vehicle point of focus communication | Tanriover Cagri; Beckwith Richard; Arslan Esme Asli; Sherry John |
11256287 | Apparatus and method to maintain stable clocking | August Nathaniel; Elgousi Muhammed; Gordon Benjamin; Chen Tsai-Yuan |
11256318 | Techniques for memory access in a reduced power state | Bhattacharyya Binata; Diefenbaugh Paul S. |
11256489 | Nested loops reversal enhancements | Doshi Gautam; Krishnaiyer Rakesh; Malladi Rama Kishan |
11256504 | Apparatus and method for complex by complex conjugate multiplication | Madduri Venkateswara; Ould-Ahmed-Vall Elmoustapha; Corbal Jesus; Charney Mark; Valentine Robert; Yang Binwei |
11256506 | Instruction and logic for tracking fetch performance bottlenecks | Yasin Ahmad |
11256599 | Technology for dynamically tuning processor features | Chauhan Adarsh; Gaur Jayesh; Sala Franck; Rappoport Lihu; Sperber Zeev; Yoaz Adi; Subramoney Sreenivas |
11256626 | Apparatus, method, and system for enhanced data prefetching based on non-uniform memory access (NUMA) characteristics | Heirman Wim; Hur Ibrahim; Echeruo Ugonna; Eyerman Stijn; Du Bois Kristof |
11256639 | Method, apparatus, system to enhance the device policy manager (DPM) to manage an expended USB-C ecosystem | Regupathy Rajaram; Ismail Abdul R. |
11256657 | System, apparatus and method for adaptive interconnect routing | Singh Tejpal; Hilewitz Yedidya; Varma Ankush; Liu Yen-Cheng; Sistla Krishnakanth V.; Chamberlain Jeffrey |
11256807 | Mitigation of return-oriented programming exploits | Sun Ke; Branco Rodrigo; Hu Kekai |
11256836 | Toggle rate reduction in high level programming implementations | Czajkowski Tomasz |
11256949 | Guided sparse feature matching via coarsely defined dense matches | Nestares Oscar; Pourian Niloufar |
11256978 | Hyperbolic functions for machine learning acceleration | Pasca Bogdan; Langhammer Martin |
11256979 | Common factor mass multiplication circuitry | Hah Thiam Khean; Ebeling Carl; Nalluri Vamsi |
11256998 | Pattern recognition and prediction using a knowledge engine | Mendels Omri; Kodner Boris; Benou Ariel; Vainas Oded; Samoucha Avi; Zvi Tali |
11257180 | Thread serialization, distributed parallel programming, and runtime extensions of parallel computing platform | Schluessler Travis T.; Appu Abhishek R.; Shah Ankur N.; Ray Joydeep; Koker Altug; Kwiatkowski Jacek; Wald Ingo; Amstutz Jefferson; Guenther Johannes; Liktor Gabor; Ould-Ahmed-Vall Elmoustapha |
11257182 | GPU mixed primitive topology type processing | Gierach John; Venkatesh Abhishek; Schluessler Travis; Burke Devan; Bar-On Tomer; Apodaca Michael |
11257235 | Efficient sub-pixel disparity estimation for all sub-aperture images from densely sampled light field cameras | Wu Yi; Yao Shaojun; Jiang Yong |
11257274 | Order independent asynchronous compute and streaming for graphics | Burke Devan; Lake Adam T.; Boles Jeffery S.; Feit John H.; Vaidyanathan Karthik; Appu Abhishek R.; Ray Joydeep; Maiyuran Subramaniam; Koker Altug; Vembu Balaji; Ramadoss Murali; Surti Prasoonkumar; Hoekstra Eric J.; Liktor Gabor; Kennedy Jonathan; Grajewski Slawomir; Ould-Ahmed-Vall Elmoustapha |
11257282 | Methods and apparatus to detect collision of a virtual camera with objects in three-dimensional volumetric model | Tong Xiaofeng; Li Qiang; Li Wenlong; Fang Yikai; Shkedi Ofer |
11257291 | Artificial imagination engine using 5D information descriptors | Zamora Esquivel Julio; Camacho Perez Jose Rodrigo; Cordourier Maruri Hector; Lopez Meyer Paulo; Cruz Vargas Jesus; Carranza Marcos; Guzman Mateo; Oliver Dario; Martinez-Spessot Cesar; Turek Javier; Felip Leon Javier |
11257372 | Reverse-facing anti-collision system | Lahav Jonathan; Zion Gal |
11257483 | On-device neural network adaptation with binary mask learning for language understanding systems | Czarnowski Krzysztof; Georges Munir |
11257490 | Device identification through dialog | Firby Robert James; Gonzalez Marti Jesus; De Amores Carredano Jose Gabriel; Van Den Berg Martin Henk; Manchon Portillo Maria Pilar; Perez Guillermo; Holmes Steven Thomas |
11257526 | Sector-aligned memory accessible to programmable logic fabric of programmable logic device | Weber Scott J.; Atsatt Sean R.; Gutala Ravi Prakash; Dasu Aravind Raghavendra; Tan Jun Pin |
11257560 | Test architecture for die to die interconnect for three dimensional integrated circuits | Chakravarty Sreejit; Su Fei; Gupta Puneet; Lim Wei Ming; Tan Terrence Huat Hin; Sanghani Amit; Sinha Anubhav; Badana Sudheer V; Kandula Rakesh; B. S. Adithya |
11257562 | Built-in-self-test circuits and methods using pipeline registers | Tan Tze Sin |
11257613 | Spin orbit torque (SOT) memory devices with enhanced tunnel magnetoresistance ratio and their methods of fabrication | Oguz Kaan; Gosavi Tanay; Manipatruni Sasikanth; Kuo Charles; Doczy Mark; O'Brien Kevin |
11257688 | Embedded semiconductive chips in reconstituted wafers, and systems containing same | Sankman Robert L.; Guzek John S. |
11257738 | Vertically stacked transistor devices with isolation wall structures containing an electrical conductor | Lilak Aaron D.; Phan Anh; Morrow Patrick; Bojarski Stephanie A. |
11257743 | Guard ring design enabling in-line testing of silicon bridges for semiconductor packages | Sarkar Arnab; Sharan Sujit; Kim Dae-Woo |
11257745 | Electroless metal-defined thin pad first level interconnects for lithographically defined vias | Aleksov Aleksandar; Strong Veronica; Darmawikarta Kristof; Sarkar Arnab |
11257748 | Semiconductor package having polymeric interlayer disposed between conductive elements and dielectric layer | Manepalli Rahul N.; Nad Suddhasattwa |
11257778 | Semi-conductor package structure | Wang Xinhua |
11257804 | Distributed semiconductor die and package architecture | Gomes Wilfred; Bohr Mark T.; Kumar Rajesh; Sankman Robert L.; Mahajan Ravindranath V.; Mc Cullough Wesley D. |
11257820 | High-voltage switch with integrated well region | Smith Michael A. |
11257822 | Three-dimensional nanoribbon-based dynamic random-access memory | Gomes Wilfred; Phoa Kinyip; Kobrinsky Mauro J.; Ghani Tahir; Avci Uygar E.; Kumar Rajesh |
11257904 | Source-channel junction for III-V metal-oxide-semiconductor field effect transistors (MOSFETs) | Huang Cheng-Ying; Ghani Tahir; Kavalieros Jack; Murthy Anand; Kennel Harold; Dewey Gilbert; Metz Matthew; Rachmady Willy; Ma Sean; Minutillo Nicholas |
11257929 | Stacked transistors | Morrow Patrick; Mehandru Rishabh; Lilak Aaron D. |
11257956 | Thin film transistor with selectively doped oxide thin film | Sharma Abhishek A.; Dewey Gilbert; Le Van; Kavalieros Jack; Ghani Tahir |
11258408 | Power envelope tracker and adjustable strength DC-DC converter | Henzler Stephan; Wang Wenjian |
11258431 | Method and apparatus for implementing a super sample rate oversampling channelizer | Cheung Colman; Nash Gregory |
11258450 | Techniques for addressing phase noise and phase lock loop performance | Karandikar Niranjan; Ballantyne Wayne; Chance Gregory; Hughes Simon; Schwartz Daniel; Tanzi Nebil |
11258451 | Apparatus and method for generating an oscillation signal, mobile communication systems and mobile device | Buckel Tobias; Preyler Peter; Mayer Thomas |
11258459 | Methods and apparatus to parallelize data decompression | Gopal Vinodh; Guilford James D.; Satpathy Sudhir K.; Mathew Sanu K. |
11258503 | Communication control method and system | Cohn Daniel; Shahar Itzik; Markovits Ofer; Mor Ran |
11258539 | Technologies for performing encoding of data symbols for column read operations | Wu Wei; Dongaonkar Sourabh; Khan Jawad |
11258605 | Out-of-band remote authentication | Bailey Abdul M.; Smith Ned M.; Gupta Atul |
11258631 | Streaming on diverse transports | Kambhatla Srikanth; Ansari Nausheen |
11258640 | Feedback control systems for wireless devices | Cova Armando; Hepp Christoph; Kraut Gunther; Langer Andreas |
11258658 | Technologies for monitoring networked computing devices using deadman triggers | Boom Douglas; Visotski Vilkas; Konkol Nicholas; Anantharam Arjun |
11258704 | Technologies for managing network traffic through heterogeneous networks | Nolan Keith; Kelly Mark; McGrath Michael; King Heather; Sheridan Charlie |
11258813 | Systems and methods to fingerprint and classify application behaviors using telemetry | Gottschlich Justin; Mathur Rachit; Zhang Zheng |
11258861 | Secure reporting of platform state information to a remote server | Dewan Prashant; Chhabra Siddhartha; Sengupta Uttam K.; Herbert Howard C. |
11258873 | Multi-access edge computing (MEC) architecture and mobility framework | Mueck Markus Dominik; Sabella Dario; Filippou Miltiadis; Faerber Michael |
11258921 | Define a priority of memory traffic based on image sensor metadata | Holland Wesley J. |
11259158 | Methods and devices for determining a signal is from a vehicle | Hareuveni Ofer; Ross Rony |
11259318 | Systems and methods for concurrent operation of devices over different network types | Huang Po-Kai; Qi Emily H.; Oren Elad |
11259342 | Enabling support for reliable data service and PS data off | Gupta Vivek G. |
11259399 | Socket with thermal conductor | Yan Hongfei; Li Yuan-Liang; Liu Leo; Guo Chunlei |
11262384 | Fine pitch probe card methods and systems | Tadayon Pooya; Shia David |
11262654 | Chain scission resist compositions for EUV lithography applications | Doyle Lauren; Krysak Marie; Theofanis Patrick; Blackwell James; Han Eungnak |
11262802 | Methods, systems and apparatus to manage a spatially dynamic display | Browning David W. |
11262826 | Multiple power input charging | Hand Teal; Uan-Zo-li Alexander B.; Keates Andy |
11262913 | Technologies for efficient stochastic associative search operations with error-correcting code | Khan Jawad B.; Coulson Richard |
11262954 | Data processing near data storage | Shah Nilesh N.; Chauhan Chetan; Tomishima Shigeki; Hassan Nahid; Ling Andrew Chaang |
11263008 | Systems, methods, and apparatuses for tile broadcast | Valentine Robert; Sperber Zeev; Charney Mark J.; Toll Bret L.; Corbal Jesus; Heinecke Alexander; Ziv Barukh; Baum Dan; Ould-Ahmed-Vall Elmoustapha; Shwartsman Stanislav |
11263009 | Systems and methods for performing 16-bit floating-point vector dot product instructions | Heinecke Alexander F.; Valentine Robert; Charney Mark J.; Sade Raanan; Adelman Menachem; Sperber Zeev; Gradstein Amit; Rubanovich Simon |
11263141 | Sector cache for compression | Appu Abhishek R.; Koker Altug; Ray Joydeep; Puffer David; Surti Prasoonkumar; Striramassarma Lakshminarayanan; Ranganathan Vasanth; Veernapu Kiran C.; Vembu Balaji; K Pattabhiraman |
11263143 | Coherent accelerator fabric controller | Gupta Ritu; Anantaraman Aravindh V.; Van Doren Stephen R.; Jagannathan Ashok |
11263152 | Replacement policies for a hybrid hierarchical cache | Appu Abhishek R.; Ray Joydeep; Valerio James A.; Koker Altug; Surti Prasoonkumar; Vembu Balaji; Fu Wenyin; Borole Bhushan M.; Sinha Kamal |
11263162 | System decoder for training accelerators | Bernat Francesc Guim; Chiang Da-Ming; Doshi Kshitij A.; Prabhakaran Suraj; Schmisseur Mark A. |
11263165 | Apparatuses for periodic universal serial bus (USB) transaction scheduling at fractional bus intervals | Vadivelu Karthi R.; Ismail Abdul R.; Ansari Nausheen |
11263291 | Systems and methods for combining low-mantissa units to achieve and exceed FP64 emulation of matrix multiplication | Henry Gregory; Heinecke Alexander |
11263352 | Security plugin for a system-on-a-chip platform | Sastry Manoj R.; Narendra Trivedi Alpa; Long Men |
11263489 | Techniques for dense video descriptions | Chen Yurong; Li Jianguo; Su Zhou; Shen Zhiqiang |
11263490 | Methods and systems for budgeted and simplified training of deep neural networks | Guo Yiwen; Hou Yuqing; Yao Anbang; Cai Dongqi; Xu Lin; Hu Ping; Wang Shandong; Cheng Wenhua; Chen Yurong; Wang Libin |
11263526 | Gradient-based training engine for quaternion-based machine-learning systems | Martinez-Canales Monica Lucia; Singh Sudhir K.; Sharma Vinod; Bhandaru Malini Krishnan |
11263720 | Frequent data value compression for graphics processing units | Sharma Saurabh; Venkatesh Abhishek; Schluessler Travis T.; Surti Prasoonkumar; Koker Altug; Anantaraman Aravindh V.; K. Pattabhiraman P.; Appu Abhishek R.; Ray Joydeep; Sinha Kamal; Ranganathan Vasanth; Borole Bhushan M.; Fu Wenyin; Hoekstra Eric J.; Hurd Linda L. |
11263725 | Apparatus and method for non-uniform frame buffer rasterization | Akenine-Moller Tomas G.; Toth Robert M.; Johnsson Bjorn; Hasselgren Jon N. |
11263799 | Cluster of scalar engines to accelerate intersection in leaf node | Surti Prasoonkumar; Benthin Carsten; Vaidyanathan Karthik; Laws Philip; Janus Scott; Woop Sven |
11263800 | Apparatus and method for quantized convergent direction-based ray sorting | Szerszen Karol; Surti Prasoonkumar; Liktor Gabor; Vaidyanathan Karthik; Woop Sven |
11263991 | Delivery of display symbols to a display source | Ansari Nausheen |
11264094 | Memory cell including multi-level sensing | Querbach Bruce; Connor Christopher |
11264160 | Extended package air core inductor | Goh Eng Huat; Sir Jiun Hann; Lim Min Suet; Kuan Chin Lee; Yap Siew Fong |
11264239 | Polarization defined zero misalignment vias for semiconductor packaging | Tanaka Hiroki; Aleksov Aleksandar; Boyapati Sri Ranga Sai; May Robert A.; Darmawikarta Kristof |
11264307 | Dual-damascene zero-misalignment-via process for semiconductor packaging | Aleksov Aleksandar; Tanaka Hiroki; May Robert A.; Darmawikarta Kristof; Liu Changhua; Tan Chung Kwang; Pietambaram Srinivas; Boyapati Sri Ranga Sai |
11264315 | Electronic package with passive component between substrates | Goh Eng Huat; Lim Min Suet; Sir Jiun Hann; Teoh Hoay Tien; Huang Jimmy Huat Since |
11264317 | Antifuse memory arrays with antifuse elements at the back-end-of-line (BEOL) | Dorgan Vincent; Hicks Jeffrey; Reshotko Miriam; Sharma Abhishek; Tsameret Ilan |
11264325 | Interconnects having a portion without a liner material and related structures, devices, and methods | Chandhok Manish; Schenker Richard; Tronic Tristan |
11264329 | Semiconductor device having metal interconnects with different thicknesses | Phoa Kinyip; Lin Jui-Yen; Nidhi Nidhi; Jan Chia-Hong |
11264338 | Integrated circuit package with through void guard trace | Prabhakumar Ananth; Srinivasan Krishna; Sarkar Arnab |
11264346 | Sacrificial dielectric for lithographic via formation to enable via scaling in high density interconnect packaging | Darmawikarta Kristof; Boyapati Sri Ranga Sai; Tanaka Hiroki; May Robert A. |
11264373 | Die backend diodes for electrostatic discharge (ESD) protection | Aleksov Aleksandar; Elsherbini Adel A.; Eid Feras; Strong Veronica Aleman; Swan Johanna M. |
11264405 | Semiconductor diodes employing back-side semiconductor or metal | Morrow Patrick; Mehandru Rishabh; Jack Nathan D. |
11264428 | Self-aligned embedded phase change memory cell having a fin shaped bottom electrode | Kuo Charles C. |
11264449 | Capacitor architectures in semiconductor devices | Naskar Sudipto; Chandhok Manish; Sharma Abhishek A.; Caudillo Roman; Clendenning Scott B.; Lin Cheyun |
11264453 | Methods of doping fin structures of non-planar transistor devices | Weber Cory E.; Lilak Aaron D.; Liao Szuya S.; Budrevich Aaron A. |
11264476 | Magnetic contacts for spin qubits | Manipatruni Sasikanth; Pillarisetty Ravi; Nikonov Dmitri E.; Young Ian A.; Clarke James S. |
11264493 | Wrap-around source/drain method of making contacts for backside metals | Morrow Patrick; Jun Kimin; Son Il-Seok; Nelson Donald W. |
11264500 | Device isolation | Mehandru Rishabh; Cea Stephen M.; Ghani Tahir |
11264501 | Device, method and system for promoting channel stress in a NMOS transistor | Mehandru Rishabh; Murthy Anand; Jambunathan Karthik; Bomberger Cory |
11264512 | Thin film transistors having U-shaped features | Dewey Gilbert; Lilak Aaron; Le Van H.; Sharma Abhishek A.; Ghani Tahir; Rachmady Willy; Mehandru Rishabh; Haratipour Nazila; Kavalieros Jack T.; Chu-Kung Benjamin; Sung Seung Hoon; Shivaraman Shriram |
11264517 | CMOS varactor with increased tuning range | El-Tanani Mohammed; Packan Paul; Wiedemer Jami; Mezhiba Andrey; Fan Yonping |
11264558 | Nano-rod spin orbit coupling based magnetic random access memory with shape induced perpendicular magnetic anisotropy | Manipatruni Sasikanth; Oguz Kaan; Lin Chia-Ching; Wiegand Christopher; Gosavi Tanay; Young Ian |
11264567 | Memory device with increased electrode resistance to reduce transient selection current | Venkatesan Srivatsan; Mantegazza Davide; Gorman John; Elango Iniyan Soundappa; Fugazza Davide; Redaelli Andrea; Pellizzer Fabio |
11264687 | Microelectronic assemblies comprising a package substrate portion integrated with a substrate integrated waveguide filter | Dogiamis Georgios; Elsherbini Adel A. |
11264997 | Frequency synthesis with reference signal generated by opportunistic phase locked loop | Horovitz Gil; Malevsky Sharon; Shumaker Evgeny; Kushnir Igal |
11265016 | Decoding apparatus, device, method and computer program | Palangappa Poovaiah |
11265096 | High accuracy time stamping for multi-lane ports | Bordogna Mark; Satyanarayana Janardhan; Landau Yoni; Suvvari Diwakar |
11265112 | Enhanced polling procedures | Nilsson Magnus; Potorski Marcin; Cesares Cano Jose |
11265172 | Methods and apparatus for offloading signature revocation checking on acceleration circuitry | Smith Ned; Poornachandran Rajesh; Nadathur Sundar; Bailey Abdul M. |
11265235 | Technologies for capturing processing resource metrics as a function of time | Kondapalli Raghu; Bachmutsky Alexander; Bernat Francesc Guim; Smith Ned M.; Cooper Trevor |
11265402 | Distributed dynamic architecture for error correction | Wouhaybi Rita H.; Chavez Robert; Yarvis Mark; Vicente John; Smith Kirk |
11265724 | Method and arrangement for allocating radio resources | Manithara Vamanan Sudeep; Pinheiro Ana Lucia |
11265794 | Message splitting for multi-RAT transmission | Kedalagudde Meghashree Dattatri; Pinheiro Ana Lucia A.; Cavalcanti Dave; Karella Ranganadh |
11266043 | Liquid coolant based thermal energy management for containers receiving pluggable circuit modules | Chen Annie; Rodriguez David; Faneuf Barrett M.; Cevallos Juan G. |
11267462 | Automotive analytics technology to provide synergistic collision safety | Vyas Harshal B.; Bartfai-Walcott Katalin K. |
11267475 | Road surface friction based predictive driving for computer assisted or autonomous driving vehicles | Nishi Yoshifumi; Pidwerbecki David; Browning David; MacDonald Mark Angus |
11268983 | Chevron interconnect for very fine pitch probing | Tadayon Pooya |
11269395 | Technologies for providing adaptive power management in an accelerator sled | Bernat Francesc Guim; Balle Susanne M.; Sen Sujoy; Custodio Evan; Dormitzer Paul H. |
11269396 | Per-core operating voltage and/or operating frequency determination based on effective core utilization | Ananthakrishnan Avinash; Gunther Stephen; El-Sayed Amr Muhammad Lotfy; Parnami Akshay |
11269409 | Apparatus and method for foveated rendering, bin comparison and TBIMR memory-backed storage for virtual reality implementations | Wald Ingo; Insko Brent E.; Surti Prasoonkumar; Lake Adam T.; Doyle Peter L.; Pohl Daniel |
11269601 | Internet-based machine programming | Cremeans Brian; Carranza Marcos Emanuel; Surya Krishna; Agerstam Mats; Gottschlich Justin |
11269608 | Internet-of-things device blank | Hussein Atif; Ward Trina; Robb Patricia |
11269622 | Methods, systems, articles of manufacture, and apparatus for a context and complexity-aware recommendation system for improved software development efficiency | Alam Mohammad Mejbah Ul; Gonzalez Aguirre David I.; Zhou Shengtian; Gottschlich Justin; Chen Li |
11269630 | Interleaved pipeline of floating-point adders | Rubanovich Simon; Gradstein Amit; Sperber Zeev |
11269639 | Methods and apparatus for intentional programming for heterogeneous systems | Herr Adam; Gerstmann Derek; Gottschlich Justin; Bourges-Sevenier Mikael; Sharma Sridhar |
11269643 | Data operations and finite state machine for machine learning via bypass of computational tasks based on frequently-used data values | Ma Liwei; Satish Nadathur Rajagopalan; Bottleson Jeremy; Akhbari Farshad; Nurvitadhi Eriko; Appu Abhishek R.; Koker Altug; Sinha Kamal; Ray Joydeep; Vembu Balaji; Ranganathan Vasanth; Jahagirdar Sanjeev |
11269782 | Address space identifier management in complex input/output virtualization environments | Tian Kun; Zheng Xiao; Raj Ashok; Kumar Sanjay; Sankaran Rajesh |
11269786 | Memory data protection based on authenticated encryption | Bolotov Anatoli; Grinchuk Mikhail; Durham David M.; Fleming Patrick |
11269793 | High performance interconnect | Safranek Robert J.; Blankenship Robert G.; Iyer Venkatraman; Willey Jeff; Beers Robert; Jue Darren S.; Kumar Arvind A.; Das Sharma Debendra; Swanson Jeffrey C.; Fahim Bahaa; Geetha Vedaraman; Spink Aaron T.; Spagna Fulvio; Shah Rahul R.; Iyer Sitaraman V.; Nale William Harry; Das Abhishek; Johnson Simon P.; Dhillon Yuvraj S.; Liu Yen-Cheng; Ramanujan Raj K.; Maddox Robert A.; Hum Herbert H.; Gupta Ashish |
11269801 | System decoder for training accelerators | Guim Bernat Francesc; Chiang Da-Ming; Doshi Kshitij A.; Prabhakaran Suraj; Schmisseur Mark A. |
11269805 | Signal pathways in multi-tile processors | Butera William J.; Steely, Jr. Simon C.; Dischler Richard J. |
11270154 | Multi-camera device | Love Russell S.; Winer Peter W.; Granger James; Pham Gerald A.; Wong Ka-Kei; Nasery Varun; Manchanda Kabeer R.; Chi Yu-Tseh; Mehdizadeh Ali |
11270201 | Communication optimizations for distributed machine learning | Sridharan Srinivas; Vaidyanathan Karthikeyan; Das Dipankar; Sakthivel Chandrasekaran; Smorkalov Mikhail E. |
11270217 | Systems and methods implementing an intelligent machine learning tuning system providing multiple tuned hyperparameter solutions | Tee Kevin; McCourt Michael; Hayes Patrick; Clark Scott |
11270405 | Compute optimization mechanism | Appu Abhishek R.; Koker Altug; Hurd Linda L.; Kim Dukhwan; Macpherson Mike B.; Weast John C.; Chen Feng; Akhbari Farshad; Srinivasa Narayan; Satish Nadathur Rajagopalan; Ray Joydeep; Tang Ping T.; Strickland Michael S.; Chen Xiaoming; Yao Anbang; Shpeisman Tatiana |
11270406 | Compute cluster preemption within a general-purpose graphics processing unit | Ramadoss Murali; Vembu Balaji; Samson Eric C.; Tian Kun; Cowperthwaite David J.; Koker Altug; Wang Zhi; Ray Joydeep; Maiyuran Subramaniam M.; Appu Abhishek R. |
11270664 | Usage mode based display power reduction in foldable electronic devices | Jiang Jun; Rosenzweig Michael |
11270778 | Method and system for reducing program disturb degradation in flash memory | Zhao Han; Kalavade Pranav; Parat Krishna K. |
11270887 | Passivation layer for germanium substrate | Romero Patricio E.; Clendenning Scott B.; Gstrein Florian; Tan Cen |
11270941 | Bare-die smart bridge connected with copper pillars for system-in-package apparatus | Seidemann Georg; Wagner Thomas; Wolter Andreas; Waidhas Bernd |
11270942 | Pitch translation architecture for semiconductor package including embedded interconnect bridge | Collins Andrew; Penmecha Bharat P.; Swaminathan Rajasekaran; Viswanath Ram |
11270943 | Copper interconnect cladding | Griggio Flavio; Yashar Philip; Mule Anthony V.; Trichy Gopinath; Malyavanatham Gokul |
11270947 | Composite interposer structure and method of providing same | Elsherbini Adel; Liff Shawna; Swan Johanna; Pasdast Gerald |
11270959 | Enabling magnetic films in inductors integrated into semiconductor packages | Darmawikarta Kirstof; Pietambaram Srinivas; Chatterjee Prithwish; Boyapati Sri Ranga Sai; Jen Wei Lun |
11270995 | Isolation in integrated circuit devices | Park Sang-Won; Hanken Dennis G.; Bhowmick Sishir; Pipes Leonard C. |
11270998 | Embedded memory in three-dimensional integrated circuit | Wang Yih |
11271010 | Multi version library cell handling and integrated circuit structures fabricated therefrom | Kumar Ranjith; Shi Quan; Bohr Mark T.; Yeoh Andrew W.; Chakravarty Sourav; Chappell Barbara A.; Webb M. Clair |
11271042 | Via resistance reduction | Conti Anna Maria; Casellato Cristina; Redaelli Andrea |
11271335 | All-inclusive CPU carrier that enable automation and tool free operation at low-cost while improving thermal performance | Haswarey Mustafa H.; Chang Ming-Chen; Bandaru Divya Swamy |
11271475 | On-package high-bandwidth resonant switched capacitor voltage regulator | Jain Rinkle; Douglas Jonathan; Rajeurs Shivadarshan |
11271477 | Apparatus and method for regulating a supply voltage | Gordon Eshel; Kushnir Igal; Ben-Bassat Assaf; Zur Sarit |
11271578 | Time-interleaved analog-to-digital converter system | Molina Albert; Azadet Kameran; Camponeschi Matteo; Ceballos Jose Luis; Lindholm Christian |
11271627 | Implicit channel tracking for simultaneous multiuser transmission | Mansour Avi; Avital Shimon Ziv; Alpert Yaron |
11271676 | Mobile communication system using subcoding techniques | Mueck Markus Dominik; Drewes Christian; Sagi Mark |
11271775 | Technologies for hairpinning network traffic | Skidmore Donald; Hay Joshua; Jain Anjali Singhai; Sarangam Parthasarathy |
11271856 | Concept for segmenting an application buffer into data packets | Louzoun Eliel; Deval Manasi; Doyle Stephen; Elati Noam; Fleming Patrick; Bowers Gregory |
11271910 | Techniques for shared private data objects in a trusted execution environment | Bowman Mic; Miele Andrea; Held James P.; Rajan Anand |
11271965 | Security system for electronic equipment | Cho Kyong-Tak; Zhao Li; Sastry Manoj R. |
11271994 | Technologies for providing selective offload of execution to the edge | Bernat Francesc Guim; Smith Ned; Willhalm Thomas; Kumar Karthik; Verrall Timothy |
11272016 | Accessing service of Internet of Things | Wang Edward; Chow Richard |
11272267 | Out-of-band platform tuning and configuration | Herdrich Andrew J.; Connor Patrick L.; Kumar Dinesh; Min Alexander W.; Dahle Daniel J.; Sood Kapil; Shaw Jeffrey B.; Verplanke Edwin; Dubal Scott P.; Hearn James Robert |
11272318 | Proximity measurement system | Marian Tal; Oren Michal |
11272456 | Wake up receiver transmit waveform | Kristem Vinod; Azizi Shahrnaz; Kenney Thomas J.; Huang Po-Kai |
11272492 | TDD configuration and use of special subframes for feNB-IoTs | Ye Qiaoyang; Chatterjee Debdeep |
11272516 | Methods and apparatus to mitigate coexistence interference in a wireless network | Elad Yuval; Bravo Daniel F.; Solodkin Shimon |
11272619 | Apparatus with embedded fine line space in a cavity, and a method for forming the same | Darmawikarta Kristof; May Robert A.; Deng Yikang; Park Ji Yong; Moussallem Maroun D.; Alur Amruthavalli P.; Boyapati Sri Ranga Sai; May Lilia |
11272632 | Techniques for use of a multipurpose latch | Martinez Araiza Jorge U.; Gwin Paul J.; Grooms John K. |
11273843 | User gesture directed object detection and recognition in a vehicle | Anderson Glen |
11275245 | Light emitting display | Ahmed Khaled; Khakifirooz Ali |
11275583 | Apparatus and method of improved insert instructions | Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Corbal Jesus; Toll Bret L.; Charney Mark J.; Sperber Zeev; Gradstein Amit |
11275588 | Context save with variable save state size | Valentine Robert; Charney Mark J.; Rappoport Rinat; Sanjeepan Vivekananthan |
11275603 | Technologies for memory replay prevention using compressive encryption | Durham David M.; Chhabra Siddhartha; Kounavis Michael E. |
11275637 | Aggregated page fault signaling and handling | Ginzburg Boris; Ronen Ronny; Osadchiy Ilya |
11275663 | Fast dynamic capacitance, frequency, and/or voltage throttling apparatus and method | Gendler Alexander; Angel Nimrod; Ambardekar Ameya; Wijeratne Sapumal; Vij Vikas; Schiff Tod; Uan-Zo-Li Alexander |
11275709 | Systems and methods for multi-architecture computing | Tamir Eliezer; Friedman Ben-Zion |
11275846 | Method and system of computer graphics processing system validation for processing of encrypted image content | Muppalla Ashwin; Wang Changliang; Lee Penne |
11275847 | Technologies for user-mode persistence of certificates and keys in resource-constrained devices | McMillan Alexander R.; Bitner Deloy P. |
11275998 | Circuitry for low-precision deep learning | Langhammer Martin; Srinivasan Sudarshan; Baeckler Gregg William; Moss Duncan; Avancha Sasikanth; Das Dipankar |
11276365 | Wireless communication system and display integration | Binboga Evrim; Paranjape Vivek; Sinha Vishal Ravindra; Parikh Kunjal S. |
11276373 | Display interface partitioning | Kwa Seh W. |
11276408 | Passive enrollment method for speaker identification systems | Pearce David |
11276453 | Periodic calibrations during memory device self refresh | Cox Christopher E.; Nale Bill |
11276462 | Techniques for a multi-step current profile for a phase change memory | Rao Hemant P.; Umapathy Shylesh; Rangan Sanjay |
11276465 | Device, system and method to float a decoder for deselected address lines in a three-dimensional crosspoint memory architecture | Srinivasan Balaji; Taub Mase J.; Kau DerChang |
11276581 | Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias | Lin Kevin; Bristol Robert Lindsey; Myers Alan M. |
11276618 | Bi-layer prepreg for reduced dielectric thickness | Rosch Jonathan; Brown Andrew J. |
11276625 | Methods of forming flexure based cooling solutions for package structures | Kumar Siddarth; Sahasrabudhe Shubhada H.; Sane Sandeep B.; Tandon Shalabh |
11276630 | Planar integrated circuit package interconnects | Sankman Robert L.; Ganesan Sanka |
11276634 | High density package substrate formed with dielectric bi-layer | Pietambaram Srinivas V.; Manepalli Rahul N.; Unruh David; Truong Frank; Lee Kyu Oh; Zhao Junnan; Chavali Sri Chaitra Jyotsna |
11276635 | Horizontal pitch translation using embedded bridge dies | Sharan Sujit; Aygun Kemal; Qian Zhiguo; Mekonnen Yidnekachew; Zhang Zhichao; Xie Jianyong |
11276644 | Integrated circuits and methods for forming thin film crystal layers | Naylor Carl; Agrawal Ashish; Lin Kevin; Sharma Abhishek; Kobrinsky Mauro; Jezewski Christopher; Alaan Urusa |
11276667 | Heat removal between top and bottom die interface | Chew Yen Hsiang |
11276691 | Gate-all-around integrated circuit structures having self-aligned source or drain undercut for varied widths | Guha Biswajeet; Kang Jun Sung; Beattie Bruce; Cea Stephen M.; Ghani Tahir |
11276694 | Transistor structure with indium phosphide channel | Rachmady Willy; Metz Matthew; Dewey Gilbert; Minutillo Nicholas; Huang Cheng-Ying; Kavalieros Jack; Murthy Anand; Ghani Tahir |
11276697 | Floating body metal-oxide-semiconductor field-effect-transistors (MOSFET) as antifuse elements | Chao Yu-Lin; Kulkarni Sarvesh H. |
11276730 | Spin orbit torque memory devices and methods of fabrication | O'Brien Kevin; Wiegand Christopher; Rahman Tofizur; Sato Noriyuki; Allen Gary; Pellegren James; Smith Angeline; Gosavi Tanay; Manipatruni Sasikanth; Oguz Kaan; Buford Benjamin; Young Ian |
11276755 | Field effect transistors with gate electrode self-aligned to semiconductor fin | Ma Sean T.; Metz Matthew V.; Rachmady Willy; Dewey Gilbert; Mohapatra Chandra S.; Kavalieros Jack T.; Murthy Anand S.; Ghani Tahir |
11276756 | Quantum dot devices with single electron transistor detectors | George Hubert C.; Pillarisetty Ravi; Thomas Nicole K.; Roberts Jeanette M.; Clarke James S. |
11276760 | Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same | Bhimarasetti Gopinath; Hafez Walid M.; Park Joodong; Han Weimin; Cotner Raymond E.; Jan Chia-Hong |
11276780 | Transistor contact area enhancement | Mehandru Rishabh; Ghani Tahir; Cea Stephen |
11276853 | Particle-based silicon electrodes for energy storage devices | Gardner Donald S.; Holzwarth Charles W.; Moon Bum Ki; Liu Yang; Pande Priyanka; Murali Shanthi; Cirigliano Nicolas; Chen Zhaohui |
11276911 | High-density low-loss cable and connector assembly | Li Jingbo; Xiao Kai; Heck Howard; Wang Kai |
11276915 | Antennas integrated into a printed circuit board | Camacho Perez Jose Rodrigo; Erentok Aycan; Hwang Huan-Sheng; Beaucourt Paul; Liu Thomas H.; Girvin Brian |
11276918 | Wireless antenna array system architecture and methods to achieve 3D beam coverage | Choudhury Debabani; Roberts Richard D.; Karacaoglu Ulun |
11277143 | Reference sampling Type-I fractional-N phase locked loop | Kundu Somnath; Agrawal Abhishek; Carlton Brent |
11277146 | Analog-to-digital converter | Lindholm Christian; Shin Hundo; Clara Martin |
11277160 | Technologies for dynamic wireless noise mitigation using artificial intelligence | Azizi Shahrnaz; Kristem Vinod; Gao Jie; Alban Eduardo; Liu Kae-an; Narayan Janardhan Koratikere; Lin Xintian; Karacaoglu Ulun; Kuwahara Atsuo; Cox Timothy F.; Somayazulu Vallabhajosyula Srinivasa; Hyde Maruti Gupta |
11277308 | Technologies for autonegotiating 10G and 1G serial communications over copper cable | Lusted Kent C.; Ran Adee O. |
11277346 | Method and apparatus for offloading packet classification processing of an access point in a residential gateway | Kfir Ziv; Hermesh Barak |
11277350 | Communication of a large message using multiple network interface controllers | Murty Ravi; Underwood Keith D.; Ganapathi Ravindra Babu; Friedley Andrew; Ravi Vignesh Trichy |
11277406 | MTS-based mutual-authenticated remote attestation | Liu Xiruo; Misoczki Rafael; Ghosh Santosh; Sastry Manoj |
11277739 | Enhanced physical layer security | Min Alexander; Kenney Thomas J. |
11277822 | Location estimation using multi-user multiple input multiple output in a wireless local area network | Venkatesan Ganesh; Ghosh Chittabrata |
11277883 | Scheduling enhancements and hybrid automatic repeat request (HARQ) timing procedure for new radio (NR) unlicensed | Talarico Salvatore; Jeon Jeongho; Xiong Gang; Kwon Hwan-Joon |
11277903 | Pattern-edged metal-plane resonance-suppression | Hall Stephen Harvey; Yong Khang Choong; Ho Ying Ern; Lim Yun Rou; Song Wil Choon |
11277929 | Personal computing device covers having stands | Ku Jeff; Paavola Juha; Carbone Mark; Kulkarni Shantanu; Makinen Mikko; Fricke Gustavo |
11278211 | Apparatus and method for tracking and cancelling DC offset to acquire small AC signal using dual feedback loops | Finlinson Craig P. |
11279349 | Safety module, automated driving system, and methods thereof | Gassmann Bernd; Buerkle Cornelius; Oboril Fabian |
11280886 | LiDAR scan reduction | Lawrence Sean J.; S Arvind |
11281195 | Integrated circuits with in-field diagnostic and repair capabilities | Daxer Kenneth T.; Steinke Gregory; Wright Adam J.; Kantipudi Kalyana Ravindra |
11281270 | Supply margining Method and apparatus | Lam Son; Koertzen Henry W.; Dibene, II Joseph T.; Patzer Steven D. |
11281277 | Power management for partial cache line information storage between memories | Chen Yingwen; Xu Tao |
11281281 | Controlling a processing performance level depending on energy expenditure | Devaraju Jayanth M.; De Vivek; Vangal Sriram |
11281383 | Side-channel attack resistant fuse programming | Lu Ting; Atsatt Sean R.; Draper Andrew Martyn; Innis Eric Michael |
11281463 | Conversion of unorm integer values to floating-point values in low power | Pletcher Benjamin; Kumar Rahul |
11281481 | Using a plurality of conversion tables to implement an instruction set agnostic runtime architecture | Abdallah Mohammad |
11281482 | I/O emulation with abortion in virtualized environments including transfer of portions of non-real time I/O emulation to work threads prior to switching contexts | Jiang Yunhong; Peng Chao; Dong Yao Zu |
11281496 | Thread group scheduling for graphics processing | Ashbaugh Ben; Pearce Jonathan; Ramadoss Murali; Vemulapalli Vikranth; Sadler William B.; Kim Sungye; Petre Marian Alin |
11281499 | Microservice provision and management | Bartfai-Walcott Katalin; Irelan Peggy J.; Moustafa Hassnaa |
11281500 | Apparatus and method for cloud-based graphics validation | Xu Jiajun; Tian Kun; Lv Zhiyuan; Wang Xiaowei |
11281504 | Disposition of a workload based on a thermal response of a device | Gwin Paul J. |
11281562 | Method and system for cache agent trace and capture | Fahim Bahaa; Choudhary Swadesh |
11281579 | Cryptographic separation of MMIO on device | Kida Luis S.; Lal Reshma; Desai Soham Jayesh |
11281595 | Integration of disparate system architectures using configurable isolated memory regions and trust domain conversion bridge | Katragada Aditya; Munguia Peter; Lahti Gregg |
11281597 | High bandwidth universal serial bus (USB) camera | Chen Huimin; Vadivelu Karthi R.; Ismail Abdul R.; Gutierrez Raul |
11281616 | Programmable data bus inversion and configurable implementation | Dadual Melin; Kozhikkottu Vivek Joy; Ramasubramanian Shankar Ganesh |
11281648 | Technologies for flexible tree-based lookups for network devices | Haber Nir; Aloni Lior; Sorin Eli |
11281764 | Safe battery authentication | Pawar Sagar C.; Kumar Panner; Kotary Karunakara; Pir Ovais F. |
11281830 | Method and apparatus for performing profile guided optimization for first in first out sizing | Bridgers Kenneth Vincent |
11281837 | Router-based transaction routing for toggle reduction | Nalluri Hema Chand; Vembu Balaji; Tripathy Santosh; Koker Altug; K Pattabhiraman |
11281892 | Technologies for efficient identity recognition based on skin features | Chattopadhyay Rita; Alam Tina |
11281961 | Radio frequency interconnections for oscillatory neural networks | Nikonov Dmitri; Manipatruni Sasikanth; Young Ian |
11281963 | Programmable neuron core with on-chip learning and stochastic time step control | Kumar Raghavan; Chen Gregory K.; Sumbul Huseyin Ekin; Knag Phil |
11281965 | Reconfigurable processing unit | Lupon Marc; Herrero Abellanas Enric; Falcon Ayose; Latorre Fernando; Lopez Pedro; Pratas Frederico |
11282057 | Methods and arrangements for a personal point of sale device | Adrangi Farid; Bakshi Sanjay; Bodas Amit S. |
11282161 | Apparatus and method for managing data bias in a graphics processing architecture | Ray Joydeep; Appu Abhishek R.; Koker Altug; Vembu Balaji |
11282169 | Method and apparatus for processing and distributing live virtual reality content | Cochran Wayne; Yeung Fai; Mathur Durga Raj; Goncalves De Lima Gilson; Shon Patrick Youngung; Harrison John A.; Kim Ok Joon; Gill Harleen; Siehl Kyle; Jayaram Uma; Jayaram Sankar; Sharma Archie; Clingir Gockcen; Baran Stanley; Varerkar Mayuresh; Das Barnan; Biswal Narayan; Shah Nilesh; Kale Ritesh; Weinstein Greg |
11282176 | Image refocusing | Chen Shifeng; Jiang Yong; Bi Danyu |
11282189 | Unsupervised clustering to identify anomalies | Swanson John A.; Toh Kenny K.; Sastry Kumara; Chang Lillian; Swaroop Manuj; Singh Vivek K. |
11282232 | Camera calibration using depth data | Eldar Avigdor |
11282550 | Techniques to calibrate an impedance level | Pilolli Luigi; Maccarrone Agatino Massimo; Chen Jiawei; Tang Qiang |
11282561 | Refresh command control for host assist of row hammer mitigation | Nale Bill; Cox Christopher E. |
11282633 | Device with out-plane inductors | Hua Fay; Dalmia Sidharth; Zhang Zhichao |
11282717 | Micro-electronic package with substrate protrusion to facilitate dispense of underfill between a narrow die-to-die gap | Lin Ziyin; Mehta Vipul; Cetegen Edvin; Wei Yuying; Gujjula Sushrutha; Ananthakrishnan Nisha; Zhong Shan |
11282780 | Integrated bridge for die-to-die interconnects | Cheah Bok Eng; Ong Jenny Shio Yin; Lim Seok Ling; Ooi Kooi Chi; Kong Jackson Chung Peng |
11282800 | Substrate integrated inductors using high throughput additive deposition of hybrid magnetic materials | Braunisch Henning; Eid Feras; Dogiamis Georgios C. |
11282812 | Thermal management solutions for stacked integrated circuit devices using jumping drops vapor chambers | Elsherbini Adel; Eid Feras; Swan Johanna |
11282861 | Dynamic logic built with stacked transistors sharing a common gate | Nelson Donald W.; Mehandru Rishabh |
11282930 | Contact architecture for capacitance reduction and satisfactory contact resistance | Mehandru Rishabh; Patel Pratik A.; Troeger Thomas T.; Liao Szuya S. |
11282963 | Low temperature thin film transistors and micro lightemitting diode displays having low temperature thin film transistors | Ahmed Khaled |
11283016 | Chalcogenide-based memory architecture | Allegra Mario |
11283173 | Thin chassis near field communication (NFC) antenna integration | Yang Songnan; Hsu Hao-Han; Karacaoglu Ulun; Konanur Anand; Hong Yee Wei Eric |
11283427 | Hybrid filters and packages therefor | Kamgaing Telesphor; Eid Feras; Dogiamis Georgios C.; Nair Vijay K.; Swan Johanna M. |
11283444 | Techniques for multiple signal fan-out | Yu Chuanzhao; Leuschner Stephan; Newman David |
11283456 | Apparatuses for generating an oscillation signal | Degani Ofir; Kushnir Igal; Banin Elan; Banin Rotem |
11283466 | PCI express enhancements | Wu Zuoguo; Das Sharma Debendra; Mazumder Md. Mohiuddin; Bastola Subas; Xiao Kai |
11283508 | Millimeter wave beam tracking and beam sweeping | Elliott Brent; Grewell Benjamin; Harel Tom; Nam Junyoung; Nikopour Hosein; Orhan Oner; Sudhakaran Susruth; Talwar Shilpa; Wang Ping; Xian Liang; Zhang Xiaodi |
11283602 | Provisioning authentication keys in computer processor | Brickell Ernie F.; El Bansarkhani Rachid |
11283635 | Dynamic sharing in secure memory environments using edge service sidecars | Smith Ned M.; Doshi Kshitij Arun; Guim Bernat Francesc; Vij Mona |
11283665 | Method and circuits for determining signal propagation time mismatches in a modulator | Gossmann Timo; Friedrich Dirk |
11283700 | Technologies for jitter-adaptive low-latency, low power data streaming between device components | Yasman Eugene; Gerber Nir; Mohan Sumit; Giacalone Jean-Pierre |
11283723 | Technologies for managing single-producer and single consumer rings | Hu Jiayu; Liang Cunming; Wang Ren; Tsai Jr-Shian; Wu Jingjing; Chen Zhaoyan |
11283734 | Minimizing on-die memory in pull mode switches | Naouri Ygdal |
11283873 | IoT sensor fusion | Corrion Bradley William; Sheller Micah J.; Sedayao Jeffrey |
11284074 | Cross-channel look ahead rate control for multi-channel video encoding | Aristarkhov Vasily; Tanner Jason |
11284118 | Surface normal vector processing mechanism | Boyce Jill; Janus Scott; Kaufman Itay; Sharma Archie; Baran Stanley; Apodaca Michael; Surti Prasoonkumar; Potluri Srikanth; Das Barnan; Labbe Hugues; Oh Jong Dae; Cilingir Gokcen; Bortman Maria; Ashkenazi Tzach; Distler Jonathan; Divekar Atul; Varerkar Mayuresh M.; Biswal Narayan; Shah Nilesh V.; Kuwahara Atsuo; Xiao Kai; Tanner Jason; Tripp Jeffrey |
11284259 | Dynamic access policy provisioning in a device fog | Smith Ned M.; Heldt-Sheller Nathan |
11284403 | Assignment of secondary mmwave channels | Kedem Oren; Lomayev Artyom; Cordeiro Carlos |
11284518 | Semiconductor package with co-axial ball-grid-array | Kong Jackson Chung Peng; Cheah Bok Eng; Ong Jenny Shio Yin; Lim Seok Ling |
11287467 | Apparatus and method for early lifetime failure detection system | Sutaria Ketul B.; Gill Balkaran |
11287849 | Separtable wearable device | Weiner Joyce Cumming |
11287871 | Operating point management in multi-core architectures | Rotem Efraim; Lamdan Oren; Naveh Alon |
11288010 | Efficiently storing computer processor data structures in computer memory | Chen Zhi Yong; Qin Zhiqiang; Wang Xueyan; Yuan Fang |
11288040 | Floating-point dot-product hardware with wide multiply-adder tree for machine learning accelerators | Kaul Himanshu; Anders Mark |
11288068 | Systems, methods, and apparatus for matrix move | Valentine Robert; Sperber Zeev; Charney Mark J.; Toll Bret L.; Corbal Jesus; Baum Dan; Heinecke Alexander; Ould-Ahmed-Vall Elmoustapha |
11288069 | Systems, methods, and apparatuses for tile store | Valentine Robert; Adelman Menachem; Ould-Ahmed-Vall Elmoustapha; Toll Bret L.; Girkar Milind B.; Sperber Zeev; Charney Mark J.; Rappoport Rinat; Corbal Jesus; Shwartsman Stanislav; Yanover Igor; Heinecke Alexander F.; Ziv Barukh; Baum Dan; Gebil Yuri |
11288124 | Methods and apparatus for in-field mitigation of firmware failures | Dardis Sean; Kotary Karunakara; Kubacki Michael; Sinha Ankit |
11288154 | Adjustable retimer buffer | Sharma Debendra Das; Froelich Daniel S. |
11288191 | Range based flushing mechanism | Nalluri Hema Chand; Navale Aditya; Koker Altug; Fliflet Brandon; Boles Jeffery S.; Valerio James; Ranganathan Vasanth; Kundu Anirban; K Pattabhiraman |
11288206 | Supporting memory paging in virtualized systems using trust domains | Khosravi Hormuzd M.; Patel Baiju; Sahita Ravi; Huntley Barry |
11288213 | Memory protection with hidden inline metadata | Durham David M.; Gabor Ron |
11288586 | Independent double-gate quantum dot qubits | Pillarisetty Ravi; George Hubert C.; Roberts Jeanette M.; Thomas Nicole K.; Clarke James S. |
11288740 | Securing distributed electronic wallet shares | Nolan Michael; Carboni Davide; Smith Ned M. |
11289006 | Systems and methods of reducing display power consumption with minimal effect on image quality | Qiu Junhai; Joshi Ajit; Jiang Jun; Abdelhak Sherine; Belagal Math Shravan Kumar; Mahendran Nandini |
11289078 | Voice controlled camera with AI scene detection for precise focusing | Pohl Daniel |
11289263 | Electronic substrates having embedded magnetic material using photo-imagable dielectric layers | Vadlamani Sai; Chatterjee Prithwish; Link Lauren A.; Brown Andrew J. |
11289414 | Systems, methods, and apparatuses for implementing a pad on solder mask (POSM) semiconductor substrate package | Goh Eng Huat; Sir Jiun Hann; Lim Min Suet |
11289421 | Methods and structures for improved electrical contact between bonded integrated circuit interfaces | Vreeland Richard; Carver Colin; Brezinski William; Christenson Michael; Kabir Nafees |
11289427 | Multi-faceted integrated-circuit dice and packages | Cheah Bok Eng; Kong Jackson Chung Peng; Ong Jenny Shio Yin; Lim Seok Ling |
11289431 | Electrostatic discharge protection in integrated circuits using materials with optically controlled electrical conductivity | Eid Feras; Strong Veronica Aleman; Aleksov Aleksandar; Elsherbini Adel A.; Swan Johanna M. |
11289483 | Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse | Bambery Rohan K.; Hafez Walid M.; Wu Mong-Kai |
11289490 | Vertical 1T-1C DRAM array | Pillarisetty Ravi; Le Van H.; Dewey Gilbert; Sharma Abhishek A. |
11289509 | Double-gated ferroelectric field-effect transistor | Sharma Abhishek A.; Doyle Brian S.; Pillarisetty Ravi; Majhi Prashant; Karpov Elijah V. |
11290059 | Crystal oscillator interconnect architecture with noise immunity | Yong Khang Choong; Chong Raymond; Parthasarathy Ramaswamy; Hall Stephen; Kuan Chin Lee |
11290065 | Switched capacitor radio frequency digital power amplifier and radio frequency digital-to-analog converter | Azam Ali; Ravi Ashoke; Khamaisi Bassam; Degani Ofir |
11290211 | Apparatus, system and method of communicating a transmission according to a space-time encoding scheme | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11290289 | Supply voltage and temperature insensitive physically unclonable function circuit | Shen Kuan-Yueh; Parker Rachael |
11290324 | Blockchains for securing IoT devices | Smith Ned M.; Nolan Keith; Kelly Mark; Nolan Michael; Brady John; Macieira Thiago; Zhang Zheng; Anderson Glen J.; Muttik Igor |
11290392 | Technologies for pooling accelerator over fabric | Sen Sujoy; Kumar Mohan J.; Faw Donald L.; Balle Susanne M.; Ranganathan Narayan |
11290553 | User-stress based notification system | Ein-Gil Boaz; Mendels Omri; Rapoport Alex |
11290923 | Handover-related technology, apparatuses, and methods | Akdeniz Mustafa; Cavalcanti Dave A.; Clevorn Thorsten; Elliott Brent; Foerster Jeffrey R.; Galeev Mikhail T.; Grewell Benjamin; Himayat Nageen; Iskander Shadi; Mukherjee Udayan; Skinner Harry G.; Sudhakaran Susruth; Yiu Candy; Hiremath Chetan; Chandwani Neelam; Martinez Jesus |
11290956 | Group identification indication signaling | Huang Po-Kai; Bravo Daniel; Ginsburg Noam; Stacey Robert |
11291030 | Sidelink control information for vehicle-to-vehicle communications | Khoryaev Alexey; Roth Kilian; Gomes Baltar Leonardo; Sosnin Sergey |
11291115 | Server microprocessor carrier with guiding alignment anti-tilt and automatic thermal interface material separation features for use in land grid array sockets | Ferguson Shelby A.; Sahu Bijoyraj; Aoki Russell; Boyd Thomas; Buddrius Eric W.; Ceurter Kevin; Haswarey Mustafa; Laido Rolf; Neumann Daniel; Taylor Rachel; Valpiani Anthony |
11291122 | Apparatus with a substrate provided with plasma treatment | Grujicic Darko; Shanmugam Rengarajan; Gaan Sandeep; Bayraktaroglu Adrian; Dittler Roy; Liu Ke; Nad Suddhasattwa; Wall Marcel A.; Manepalli Rahul N.; Tanikella Ravindra V. |
11291133 | Selective ground flood around reduced land pad on package base layer to enable high speed land grid array (LGA) socket | Zhang Zhichao; Murtagian Gregorio R.; Liu Kuang C; Aygun Kemal |
11292133 | Methods and apparatus to train interdependent autonomous machines | Wouhaybi Rita H.; Qawami Shekoufeh; Moustafa Hassnaa; Sreerama Chaitanya; Dabby Nadine L. |
11294127 | Free air intrasystem interconnect | Sundaram Arvind |
11294447 | Dynamic battery power management based on battery internal impedance | Matsumura Naoki; Fritz Brian C.; Keates Andy; Uan-Zo-Li Alexander B. |
11294486 | Methods and apparatus to facilitate user interactions with foldable displays | Jiang Jun; Beauregard Russell; Kamppari-Miller Saara; Paranjape Vivek |
11294576 | Object transformation in a solid state drive | Khan Jawad B.; Green Kelvin D.; Jagatha Vasanthi |
11294626 | Floating-point dynamic range expansion | Pasca Bogdan Mihai; Langhammer Martin |
11294670 | Method and apparatus for performing reduction operations on a plurality of associated data element values | Hughes Christopher J.; Pearce Jonathan D.; Lueh Guei-Yuan; Ould-Ahmed-Vall ElMoustapha; Parra Jorge E.; Surti Prasoonkumar; Vinod Krishna N.; Zohar Ronen |
11294671 | Systems and methods for performing duplicate detection instructions on 2D data | Hughes Christopher J.; Espig Michael; Baum Dan; Valentine Robert; Toll Bret; Ould-Ahmed-Vall Elmoustapha |
11294679 | Apparatus and method for multiplication and accumulation of complex values | Ould-Ahmed-Vall Elmoustapha; Madduri Venkateswara R.; Valentine Robert |
11294680 | Determining branch targets for guest branch instructions executed in native address space | Abdallah Mohammad A. |
11294749 | Techniques to collect crash data for a computing system | Krithivas Ramamurthy; Enamandram Anand K.; Nallusamy Eswaramoorthi; Wunderlich Russell J.; Sistla Krishnakanth V. |
11294809 | Apparatuses and methods for a processor architecture | Brandt Jason W.; Chappell Robert S.; Corbal Jesus; Grochowski Edward T.; Gunther Stephen H.; Guy Buford M.; Huff Thomas R.; Hughes Christopher J.; Ould-Ahmed-Vall Elmoustapha; Singhal Ronak; Sotoudeh Seyed Yahya; Toll Bret L.; Rappoport Lihu; Papworth David; Allen James D. |
11294846 | System, apparatus and method for secure communication on a bus | Srivastava Amit Kumar; Foust Kenneth P. |
11294850 | System, apparatus and method for increasing bandwidth of edge-located agents of an integrated circuit | Ganesh Brinda; Liu Yen-Cheng; Choudhary Swadesh; Singh Tejpal; Prabhakaran Pradeep; Agarwal Monam |
11294852 | Multiple dies hardware processors and methods | Nassif Nevine; Liu Yen-Cheng; Sistla Krishnakanth V.; Pasdast Gerald; Eachempati Siva Soumya; Singh Tejpal; Varma Ankush; Kumashikar Mahesh K.; Nimmagadda Srikanth; Molnar Carleton L.; Geetha Vedaraman; Chamberlain Jeffrey D.; Halleck William R.; Chrysos George Z.; Ayers John R.; Subbareddy Dheeraj R. |
11294985 | Efficient analog in-memory matrix multiplication processor | Mathuriya Amrita; Manipatruni Sasikanth; Nikonov Dmitri; Young Ian; Krishnamurthy Ram |
11295235 | Filtering training data for models in a data center | Guim Bernat Francesc; Schmisseur Mark A.; Kumar Karthik; Willhalm Thomas |
11295408 | Method and apparatus for compression of graphics processing commands | Wang Weihan; He Jie |
11295409 | Apparatus and method for compressing leaf nodes of a bounding volume hierarchy (BVH) | Benthin Carsten; Woop Sven; Wald Ingo |
11295423 | Unsupervised training of neural network for high dynamic range image compression | Bugdary Shlomo; Zatzarinni Rony |
11295463 | Methods, systems, articles of manufacture, and apparatus to enhance image depth confidence maps | Zatzarinni Rony; Klein Moran |
11295473 | Continuous local 3D reconstruction refinement in video | Tauber Elad |
11295480 | Interleaved multisample render targets for lossless compression | Surti Prasoonkumar; Appu Abhishek R. |
11295481 | Fabric-based compression/decompression for internal data transfer | Koker Altug; Ranganathan Vasanth; Ray Joydeep; Appu Abhishek R. |
11295502 | Augmented facial animation | Fang Yikai; Du Yangzhou; Li Qiang Eric; Tong Xiaofeng; Li Wenlong; Park Minje; Ju Myung-Ho; Yi Jihyeon Kate; Kim Tae-Hoon Pete |
11295623 | Community drone monitoring and information exchange | Wouhaybi Rita H.; Li Hong; Kohlenberg Tobias; Tatourian Igor |
11295707 | Stretchable display with fixed pixel density | Choong Chwee Lin |
11295884 | Perpendicular STTM multi-layer insert free layer | Oguz Kaan; O'Brien Kevin P.; Doyle Brian S.; Kuo Charles C.; Doczy Mark L. |
11295992 | Tunnel polarization junction III-N transistors | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak |
11295998 | Stiffener and package substrate for a semiconductor package | Christianson Stephen; Hall Stephen; Davies-Venn Emile; Han Dong-Ho; Aygun Kemal; Ganguly Konika; Liao Jun; Zamani M. Reza; Mason Cory; Kamisetty Kirankumar |
11296009 | Method and apparatus for detaching a microprocessor from a heat sink | Laido Rolf; Bandaru Divya Swamy; Boyd Thomas; Contreras Perez Jorge; Ferguson Shelby A.; Haswarey Mustafa |
11296031 | Dielectric-filled trench isolation of vias | Aygun Kemal; Qian Zhiguo; Xie Jianyong |
11296040 | Electrostatic discharge protection in integrated circuits | Elsherbini Adel A.; Eid Feras; Swan Johanna M.; Aleksov Aleksandar; Strong Veronica Aleman |
11296050 | Chip with magnetic interconnect alignment | Swaminathan Rajasekaran |
11296052 | TSV-less die stacking using plated pillars/through mold interconnect | Meyers Preston T.; Falcon Javier A.; Liff Shawna M.; Saucedo Joe R.; Elsherbini Adel A.; Lopez Albert S.; Swan Johanna M. |
11296079 | PMOS and NMOS contacts in common trench | Glass Glenn A.; Murthy Anand S. |
11296087 | Thin film transistors with spacer controlled gate length | Sharma Abhishek A.; Le Van H.; Dewey Gilbert; Shivaraman Shriram; Wang Yih; Ghani Tahir; Kavalieros Jack T. |
11296186 | Package-integrated vertical capacitors and methods of assembling same | Marin Brandon C; Akkinepally Praneeth; Bryks Whitney; Seneviratne Dilan; Truong Frank |
11296197 | Power gate with metal on both sides | Nelson Donald W. |
11296203 | Switching device having gate stack with low oxide growth | Rachmady Willy; Huang Cheng-Ying; Dewey Gilbert |
11296229 | Vertical thin film transistors having self-aligned contacts | Sharma Abhishek A.; Wang Yih; Le Van H.; Kavalieros Jack T.; Ghani Tahir; Haratipour Nazila; Chu-Kung Benjamin; Sung Seung Hoon; Dewey Gilbert; Shivaraman Shriram; Metz Matthew V. |
11296483 | Methods and apparatus to control the optical frequency of a laser | Rakuljic George |
11296681 | High performance fast Mux-D scan flip-flop | Agarwal Amit; Hsu Steven; Realov Simeon; Kumashikar Mahesh; Krishnamurthy Ram |
11296705 | Stacked programmable integrated circuitry with smart memory | Atsatt Sean |
11296706 | Embedded network on chip accessible to programmable logic fabric of programmable logic device in multi-dimensional die systems | Atsatt Sean R.; Weber Scott J.; Gutala Ravi Prakash; Dasu Aravind Raghavendra |
11296772 | Methods and devices for transmit beamsweeping with payload data | Yu Zhibin; Gunzelmann Bertram; Deparis Francois; Xu Qing |
11296774 | Sequence based antenna pairing | Schaub Jens; Claussen Cornelius; Raedel Julian |
11296807 | Techniques to operate a time division multiplexing(TDM) media access control (MAC) | Webb Matthew James; Biederman Daniel Christian |
11296830 | Enhanced hybrid automatic repeat request for wireless communications | Cariou Laurent; Chen Xiaogang; Huang Po-Kai; Jiang Feng; Kenney Thomas J.; Li Qinghua; Stacey Robert |
11296902 | Adaptive deployment of applications | Yarvis Mark D.; Berck Aaron R.; Garg Sharad K.; Rathbone Casey T.; Shirtz Andrew G.; Thomas Ron Kuruvilla; Zhang Xubo |
11296921 | Out-of-band management of FPGA bitstreams | Nachimuthu Murugasamy K.; Kumar Mohan J |
11296935 | Service provision to IoT devices | Nolan Keith; Kelly Mark; Nolan Michael; Carboni Davide; Ni Scanaill Cliodhna; Ryan Eugene; Davies Richard; Brady John |
11296937 | Decentralized data storage and processing for IoT devices | Nolan Keith; Kelly Mark; Nolan Michael; Carboni Davide; Brady John; Cahill Niall; Smith Ned M.; Burns Gregory |
11296956 | Oversubscribable resource allocation | Zhang Fan; Wiles Roger Keith; Zeng Xin; Liang Cunming; Venkatesan Namakkal N. |
11296993 | Information centric network approximate computation caching | Alam S M Iftekharul; Ramirez Loaiza Maria; Karpenko Stepan; Arrobo Vidal Gabriel; Jha Satish Chandra; Zhang Yi; Smith Ned M.; Ding Zongrui; Chen Kuilin Clark; Sivanesan Kathiravetpillai |
11296994 | Ordered sets for high-speed interconnects | Das Sharma Debendra |
11297163 | Scenario profile based partitioning and management of application code | Yan Shoumeng; Lin Xiao Dong; Dong Yao Zu; Zhou Zhen; Yang Bin |
11300885 | EUV phase-shift SRAF masks by means of embedded phase shift layers | Bristol Robert; Zhang Guojing; Tronic Tristan; Magana John; Choi Chang Ju; Sundaramurthy Arvind; Schenker Richard |
11301011 | Method and apparatus for configurable thermal management | Shah Ketan R.; Rahal-Arabi Tawfik M.; DiStefano Eric; Hermerding, II James G. |
11301020 | Data center power management | MacNamara Christopher; Browne John J.; Bowhill William J.; Nolan Christopher; Marjanovic Nemanja; Sexton Rory; Agnew Padraic; Hanily Colin |
11301025 | Reducing power consumption when transferring frames from graphics processors to display panels | Shankar Uma; Chauhan Madhav Singh; Bhattacharjee Susanta; Manna Animesh; Kulkarni Vandita; Kumar Mahesh |
11301140 | Configuring parameters of non-volatile memory target subsystems for workload request quality of service | Cayton Phil C.; Angadi Rajalaxmi; Minturn David B. |
11301149 | Efficient metadata storage for a non-volatile storage device | Harris James; Walker Benjamin; Zawadzki Tomasz |
11301167 | Technologies for providing multiple tier memory media management | Khan Jawad B.; Tomishima Shigeki; Srinivasan Srikanth; Chauhan Chetan; Sundaram Rajesh |
11301213 | Reduced latency multiplier circuitry for very large numbers | Langhammer Martin; Pasca Bogdan |
11301257 | Computing performance and power management with firmware performance data structure | Rothman Michael; Gough Robert; Doran Mark |
11301275 | Cross-function virtualization of a telecom core network | Rajan Ashok Sunder; Uhlig Richard A.; Yavatkar Rajendra S.; Tai Tsung-Yuan C.; Maciocco Christian; Jackson Jeffrey R.; Dahle Daniel J. |
11301298 | Apparatus and method for dynamic control of microprocessor configuration | Varma Ankush; Gupta Nikhil; Srinivasan Vasudevan; Sistla Krishnakanth; Palit Nilanjan; Karhu Abhinav; Gorbatov Eugene; Weissmann Eliezer |
11301309 | Apparatuses, methods, and systems for processor non-write-back capabilities | Shafi Hisham; Shanbhogue Vedvyas; Neiger Gilbert; Coleman James A. |
11301322 | Bypassing error correction code (ECC) processing based on software hint | Koker Altug; Appu Abhishek R.; Veernapu Kiran C.; Ray Joydeep |
11301325 | Memory in integrity performance enhancement systems and methods | Chhabra Siddhartha; Perez Ronald; Chen Hsing-Min; Peddireddy Manjula |
11301344 | Aggregate GHASH-based message authentication code (MAC) over multiple cachelines with incremental updates | Durham David M.; Grewal Karanvir S.; Deutsch Sergej; Kounavis Michael E. |
11301384 | Partial write management in a multi-tiled compute engine | Ray Joydeep; Valerio James; Ashbaugh Ben; Striramassarma Lakshminarayanan |
11301396 | Technologies for accelerated data access and physical data security for edge devices | Bernat Francesc Guim; Smith Ned M. |
11301406 | Method, apparatus and system for role transfer functionality for a bus master | Srivastava Amit Kumar; Quiet Duane G.; Foust Kenneth P. |
11301407 | Technologies for accelerator fabric protocol multipathing | Sen Sujoy; Ranganathan Narayan |
11301411 | Data structures for refined link training | Harriman David J. |
11301412 | Scaling interface architecture between memory and programmable logic | Teh Chee Hak |
11301415 | Interface discovery between partitions of a programmable logic device | Custodio Evan |
11301580 | Instruction execution that broadcasts and masks data values at different levels of granularity | Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Corbal Jesus; Toll Bret L.; Charney Mark J. |
11301581 | Instruction execution that broadcasts and masks data values at different levels of granularity | Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Corbal Jesus; Toll Bret L.; Charney Mark J. |
11301611 | Deterministic clustering and packing method for random logic on programmable integrated circuits | Baeckler Gregg William; Langhammer Martin |
11301686 | Visual anomaly detection without reference in graphics computing environments | Muppalla Ashwin; Save Sanket; Sudireddy Subash; Armon Amitai; Faivishevsky Lev; Fania Moty; Hollander Tahi |
11301781 | Systems and methods implementing an intelligent optimization platform | Hayes Patrick; McCourt Michael; Johnson Alexandra; Ke George; Clark Scott |
11301897 | Secure visual transactions for mobile devices | Yang Shao-Wen; Smith Ned M.; Sanjay Addicam V.; Quaranta, Jr. James; Ruggiero Joshua; Avalos Jose A. |
11301952 | Full screen processing in multi-application environments | Zhao Tao; Weast John C.; Wang Brett P. |
11301959 | Spherical rotation for encoding wide view video | Boyce Jill M. |
11301982 | Image morphing to meet desired constraints in geometric patterns | Baidya Bikram; Erten Hale; Gu Allan; Swanson John A.; Singh Vivek K.; Kagalwalla Abde Ali Hunaid; Yang-Flint Mengfei |
11302035 | Processing images using hybrid infinite impulse response (TTR) and finite impulse response (FIR) convolution block | Asama Masayoshi; Isikdogan Furkan; Rao Sushma; Kalderon Avi; Wu Chyuan-Tyng; Nayak Bhavin; Peralta Moreira Joao; Kounitsky Pavel; Berlin Ben; Michael Gilad |
11302066 | Anti-aliasing adaptive shader with pixel tile coverage raster rule system, apparatus and method | Surti Prasoonkumar; Vaidyanathan Karthik; Ramadoss Murali; Apodaca Michael; Venkatesh Abhishek; Ray Joydeep; Appu Abhishek R. |
11302405 | System approach to reduce stable threshold voltage (Vt) read disturb degradation | Natarajan Sriram; Natarajan Shankar; Zhang Yihua; Shah Hinesh K.; Shenoy Rohit S.; Athreya Arun Sitaram |
11302413 | Field recovery of graphics on-die memory | Koker Altug; Schluessler Travis T.; Shah Ankur N.; Appu Abhishek R.; Ray Joydeep; Kennedy Jonathan |
11302599 | Heat dissipation device having a thermally conductive structure and a thermal isolation structure in the thermally conductive structure | Eid Feras; Elsherbini Adel; Swan Johanna |
11302618 | Microelectronic assemblies having substrate-integrated perovskite layers | Eid Feras; Liff Shawna M.; Sounart Thomas; Swan Johanna M. |
11302643 | Microelectronic component having molded regions with through-mold vias | Ganesan Sanka; Viswanath Ram; Brun Xavier Francois; Ibrahim Tarek A.; Gamba Jason M.; Dubey Manish; May Robert Alan |
11302671 | Power enhanced stacked chip scale package solution with integrated die attach film | Xu Zhijun; Liu Bin; She Yong; Ding Zhicheng |
11302790 | Fin shaping using templates and integrated circuit structures resulting therefrom | Guler Leonard P.; Guha Biswajeet; Armstrong Mark; Hsu William; Ghani Tahir; Sivakumar Swaminathan |
11302808 | III-V transistors with resistive gate contacts | Radosavljevic Marko; Dasgupta Sansaptak; Then Han Wui |
11303426 | Phase locked loop switching in a communication system | Amel Roy; Segev Eran; Gross Shahar |
11303429 | Combined SHA2 and SHA3 based XMSS hardware accelerator | Ghosh Santosh; Suresh Vikram; Mathew Sanu; Sastry Manoj; Reinders Andrew H.; Kumar Raghavan; Misoczki Rafael |
11303438 | Instructions and logic to provide SIMD SM4 cryptographic block cipher functionality | Gulley Sean M.; Wolrich Gilbert M.; Gopal Vinodh; Yap Kirk S.; Feghali Wajdi K. |
11303638 | Atomic update of access control list rules | Valiquette Real; Geoffrion Carl |
11303850 | Communication using interactive avatars | Tong Xiaofeng; Li Wenlong; Du Yangzhou; Hu Wei; Zhang Yimin |
11303923 | Affine motion compensation for current picture referencing | Boyce Jill; Deng Zhipin; Xu Lidong |
11304037 | V2X communications using multiple radio access technologies (multi-RAT) | Fechtel Stefan; Roth Kilian Peter Anton; Gunzelmann Bertram; Mueck Markus Dominik; Karls Ingolf; Yu Zhibin; Clevorn Thorsten; Himayat Nageen; Cavalcanti Dave A.; Pinheiro Ana Lucia; Sadeghi Bahareh; Moustafa Hassnaa; Juliato Marcio Rogerio; Misoczki Rafael; Qi Emily H.; Foerster Jeffrey R.; Kitchin Duncan; Chatterjee Debdeep; Fwu Jong-Kae; Aldana Carlos; Talwar Shilpa; Skinner Harry G.; Choudhury Debabani |
11304057 | Authorized access to vehicle data | Scholl Kay-Ulrich; Cesari Fabio |
11304144 | Techniques of paging occasion burst handling | Yu Zhibin; Gunzelmann Bertram; Rao Jianqiang; Huang Rui |
11304299 | Board to board interconnect | Wong Chee Ling; Song Wil Choon; Yong Khang Choong; Goh Eng Huat; Bin Abdullah Mohd Muhaiyiddin; Chuah Tin Poay |
11304329 | Movable inlet for a fan | Singh Bijendra; Kurma Raju Prakash; Alva Samarth |
11305173 | System for sensor-based objective determination | Crawford Richard Paul; Poisner David I.; Krimon Yuri I. |
11307414 | Near-eye display system | Park Hongbae Sam; Hoskinson Reynald Antoine; Abdollahi Hamid; Stoeber Boris |
11307628 | Multi-level CPU high current protection | Rotem Efraim; Rosenzweig Nir; Rajwan Doron; Naveh Alon; Weissmann Eliezer |
11307638 | Securely providing multiple wake-up time options for PCI Express | Li Ang; Tan Kuan Hau; Ooi Eng Hun |
11307720 | Touchscreen with biosensor | Lawrence Sean Jude William |
11307787 | Technologies for providing manifest-based asset representation | Nachimuthu Murugasamy K.; Kumar Mohan J.; Munoz Alberto J. |
11307854 | Memory write log storage processors, methods, systems, and instructions | Doshi Kshitij; Dementiev Roman; Sukhomlinov Vadim |
11307868 | Techniques for switching between operating systems | Siddiqi Faraz A.; Cooper Barnes |
11307873 | Apparatus, methods, and systems for unstructured data flow in a configurable spatial accelerator with predicate propagation and merging | Halpern Pablo; Fleming Kermin E.; Sukha James |
11307925 | Systems and methods for isolating an accelerated function unit and/or an accelerated function context | Nadathur Sundar; Marolia Pratik M.; Mitchel Henry M.; Grecco Joseph J.; Kakaiya Utkarsh Y.; Munday David A. |
11307928 | Multichip package link error detection | Iyer Venkatraman; Blankenship Robert G.; Wagh Mahesh; Wu Zuoguo |
11307977 | Technologies for direct matrix read and write operations | Khan Jawad B.; Coulson Richard |
11307985 | Method and apparatus to use dram as a cache for slow byte-addressible memory for efficient cloud applications | Dong Yao Zu; Tian Kun; Wu Fengguang; Liu Jingqi |
11307996 | Hardware unit for reverse translation in a processor | Jayakumar Sarathy; Raj Ashok; Chen Wei P.; Yigzaw Theodros; Holm John |
11308005 | Cache coherent, high-throughput input/output controller | Shekhar Mrigank |
11308006 | Memory rank design for a memory channel that is optimized for graph applications | Oh Byoungchan; Polagani Sai Dheeraj; Fryman Joshua B. |
11308018 | Virtualized link states of multiple protocol layer package interconnects | Hor Joon Teik; Song Ting Lok; Wagh Mahesh; Lim Su Wei |
11308214 | Binary translation for hardened software security | Anati Ittai; Gambarin Stanley |
11308225 | Management of keys for use in cryptographic computing | Kounavis Michael E.; Ghosh Santosh; Deutsch Sergej; Durham David M. |
11308248 | Apparatus and method for quantum computing performance simulation | Matsuura Anne; Johri Sonika; Hogaboam Justin |
11308363 | Device and method for training an object detection model | Jarquin Arroyo Julio; Scholl Kay-Ulrich |
11308574 | Compute optimizations for low precision machine learning operations | Ould-Ahmed-Vall Elmoustapha; Baghsorkhi Sara S.; Yao Anbang; Nealis Kevin; Chen Xiaoming; Koker Altug; Appu Abhishek R.; Weast John C.; Macpherson Mike B.; Kim Dukhwan; Hurd Linda L.; Ashbaugh Ben J.; Lakshmanan Barath; Ma Liwei; Ray Joydeep; Tang Ping T.; Strickland Michael S. |
11308581 | Spherical rotation for encoding wide view video | Boyce Jill M. |
11308675 | 3D facial capture and modification using image and temporal tracking neural networks | Wang Shandong; Lu Ming; Yao Anbang; Chen Yurong |
11308680 | Apparatus and method for processing telemetry data in a virtualized graphics processor | Shah Ankur; Callaway Matthew; Garg Vivek; Nalawadi Rajeev K; Varga James |
11308791 | Methods, systems and apparatus to use audio return path for functional safety validation | Chellappan Satheesh; Potluri Srikanth |
11308918 | Synchronization between one or more display panels and a display engine | Huard Douglas Robert; Diefenbaugh Paul S.; Sinha Vishal R. |
11308978 | Systems and methods for energy efficient and low power distributed automatic speech recognition on wearable devices | Ravindran Binuraj K.; Tharappel Francis M.; Datta Prabhakar R.; Bocklet Tobias; Muchlinski Maciej; Dorau Tomasz; Bauer Josef G.; Shah Saurin; Stemmer Georg |
11309192 | Integrated circuit package supports | Darmawikarta Kristof Kuwawi; May Robert; Boyapati Sri Ranga Sai; Pietambaram Srinivas V.; Tan Chung Kwang Christopher; Aleksov Aleksandar |
11309239 | Electromigration resistant and profile consistent contact arrays | Pietambaram Srinivas; Han Jung Kyu; Lehaf Ali; Cho Steve; Heaton Thomas; Tanaka Hiroki; Darmawikarta Kristof; May Robert Alan; Boyapati Sri Ranga Sai |
11309400 | Stacked thin film transistors with nanowires | Sung Seung Hoon; Sharma Abhishek A.; Le Van H.; Dewey Gilbert; Kavalieros Jack T.; Ghani Tahir |
11309619 | Waveguide coupling systems and methods | Oster Sasha; Dogiamis Georgios; Kamgaing Telesphor; Elsherbini Adel; Liff Shawna; Aleksov Aleksandar; Swan Johanna |
11309900 | Monitor circuitry for power management and transistor aging tracking | Shen Kuan-Yueh; Kurd Nasser A.; Fallin John |
11310082 | Devices and methods for estimating noise covariance | Mohamed Shokr Hossam; Xu Guang; Balraj Rajarajan |
11310113 | Methods, systems and apparatus to improve cluster efficiency | Dorado Rene O.; Shahbazi Abolfazl |
11310298 | Technologies for providing hints usable to adjust properties of digital media | Veeramani Karthik; Singhi Ashish; Chowdhury Rajneesh; Rogers Brian E. |
11310643 | Subject matching for distributed access control scenarios | Smith Ned M.; Heldt-Sheller Nathan |
11310671 | Resource unit notching for incumbent protection in the 6GHZ band for next-generation Wi-Fi | Cariou Laurent; Chen Xiaogang; Jiang Feng; Li Qinghua |
11310907 | Microelectronic package with substrate-integrated components | Dogiamis Georgios; Aleksov Aleksandar; Eid Feras; Kamgaing Telesphor; Swan Johanna M. |
11313998 | Display cover for digital writing and optical performance | Kulkarni Shantanu D.; Ghosh Prosenjit; Rosenzweig Michael Daniel; Larsen Denica N. |
11314245 | Aerial display morphing | Gurdan Tobias; Gurdan Daniel |
11314254 | Methods and apparatus for dynamically routing robots based on exploratory on-board mapping | Macias Leobardo Campos; Lopez Rodrigo Aldana; Gonzalez Rafael de la Guardia; Gutierrez David Gomez; Vilchis Jose Parra |
11314258 | Safety system for a vehicle | Gomez Gutierrez David; Krishnan Ranganath; Felip Leon Javier; Ahuja Nilesh; Ndiour Ibrahima |
11314299 | System, apparatus and method for dynamic power state scaling of a voltage regulator for a processor | Leung Patrick Kam-Shing; Wadaa Ashraf H.; Love Trevor S.; Bibikar Vasudev |
11314310 | Co-existence of full frame and partial frame idle image updates | Kwa Seh; Ansari Nausheen; Kp Sameer |
11314515 | Instructions and logic for vector multiply add with zero skipping | Pal Supratim; Avancha Sasikanth; Bhati Ishwar; Chen Wei-Yu; Das Dipankar; Garg Ashutosh; Gurram Chandra S.; Gu Junjie; Lueh Guei-Yuan; Maiyuran Subramaniam; Parra Jorge E.; Srinivasan Sudarshan; George Varghese |
11314589 | Read retry to selectively disable on-die ECC | Bains Kuljit S.; Agarwal Rajat; Lee Jongwon |
11314647 | Methods and systems for managing synonyms in virtually indexed physically tagged caches | Avudaiyappan Karthikeyan |
11314654 | Cache optimization for graphics systems | Koker Altug; Vembu Balaji; Ray Joydeep; Appu Abhishek R. |
11314668 | Method, apparatus and system for device transparent grouping of devices on a bus | Foust Kenneth P.; Srivastava Amit Kumar; Vergis George |
11314861 | Per thread side channel attack protection | Sun Ke; Hu Kekai; de Medeiros Kawakami Henrique; Branco Rodrigo |
11315007 | Neural network scheduling mechanism | Ma Liwei; Satish Nadathur Rajagopalan; Bottleson Jeremy; Akhbari Farshad; Nurvitadhi Eriko; Sakthivel Chandrasekaran; Lakshmanan Barath; Jin Jingyi; Gottschlich Justin E.; Strikland Michael |
11315012 | Neural network training using generated random unit vector | Anderson Timothy Isaac; Martinez-Canales Monica Lucia; Sharma Vinod |
11315045 | Entropy-based weighting in random forest models | Tsou Yu-Lin; Yang Shao-Wen; Chu Hong-Min |
11315213 | Unified architecture for BVH construction based on hardware pre-sorting and a parallel, reconfigurable clustering array | Doyle Michael; Schluessler Travis; Liktor Gabor; Kuwahara Atsuo; Amstutz Jefferson |
11315304 | Apparatus and method for a hierarchical beam tracer | Janus Scott; Surti Prasoonkumar; Vaidyanathan Karthik; Supikov Alexey; Liktor Gabor; Benthin Carsten; Laws Philip; Doyle Michael |
11315311 | Fragment compression for coarse pixel shading | Surti Prasoonkumar; Appu Abhishek R.; Dasgupta Subhajit; Mysore Srivallaba; Norris Michael J.; Ranganathan Vasanth; Ray Joydeep |
11315321 | View dependent 3D reconstruction mechanism | Lucas Blake C.; Boyce Jill |
11315644 | String current reduction during multistrobe sensing to reduce read disturb | Kalavade Pranav; Shenoy Rohit S.; Karbasian Golnaz |
11315798 | Two-stage bake photoresist with releasable quencher | Bristol Robert L.; Krysak Marie; Blackwell James M.; Gstrein Florian; Frasure Kent N. |
11315843 | Embedded component and methods of making the same | Xu Yi Elyn; Khalaf Bilal; Carr Dennis Sean |
11315934 | Static random-access memory (SRAM) bit cell with channel depopulation | Zheng Peng; Mishra Varun; Ghani Tahir |
11316027 | Relaxor ferroelectric capacitors and methods of fabrication | Chang Sou-Chi; Lin Chia-Ching; Haratipour Nazila; Gosavi Tanay; Tung I-Cheng; Sung Seung Hoon; Young Ian; Kavalieros Jack; Avci Uygar; Penumatcha Ashish Verma |
11316497 | Multi-filter die | Dogiamis Georgios; Aleksov Aleksandar; Eid Feras; Kamgaing Telesphor; Swan Johanna M. |
11316598 | Method and apparatus for low power synchronization of bluetooth systems | Zhang Yuwei; Desai Prasanna |
11316661 | Encryption interface | Kishinevsky Eugene M.; Savagaonkar Uday R.; Narendra Trivedi Alpa T.; Chhabra Siddhartha; Patel Baiju V.; Long Men; Yap Kirk S.; Durham David M. |
11316724 | Extreme high throughput future proof preamble design | Park Minyoung; Chen Xiaogang; Cariou Laurent; Huang Po-Kai; Kenney Thomas J.; Li Qinghua; Stacey Robert J.; Jiang Feng |
11316726 | Calibration for mismatch in receiver circuitry with multiple samplers | Patil Dinesh; Ren Jihong; Navid Reza |
11316932 | Device management services based on restful messaging | Smith Ned M. |
11316946 | Processing and caching in an information-centric network | Schoenberg Sebastian; Brown Andrew Stephen; Srikanteswara Srikathyayani; McCarthy Jessica C.; Schooler Eve M.; Maciocco Christian; Moustafa Hassnaa; Himayat Nageen; Vannithamby Rath; Zage David John |
11317052 | Techniques for video analytics of captured video content | Chew Yen Hsiang; Kam Boon Hee Thomas; Cheng Esther Chee Hsiang; Ho Ivan Yu Kit |
11317098 | System and method for rendered scene change detection with remotely hosted graphics applications | Madajczak Tomasz; Motowidlo Jaroslaw; Varga James |
11317542 | Technologies for improving processor thermal design power | Monson Blaine; Kumar Pankaj; Miller Steven |
11317832 | Sensor data management for multiple smart devices | Jepson Daniel; Jernigan Charles Carter; Khosravy Nicholas Moe; Wei John; Yeung Fai |
11319334 | Site-selective metal plating onto a package dielectric | Subramani Chandramouleeswaran |
11320734 | Ligand-capped main group nanoparticles as high absorption extreme ultraviolet lithography resists | Krysak Marie; Blackwell James M.; Bristol Robert L.; Gstrein Florian |
11320810 | Multimodal sensing in autonomous driving vehicles with self-healing capabilities | Arditti Ilitzky David; Alvarez Ignacio J.; Zamora Esquivel Julio C.; Lopez Meyer Paulo |
11320837 | Federated automated interoperation between premises and autonomous resources | Sukhomlinov Vadim; Doshi Kshitij Arun; Bartfai-Walcott Katalin K. |
11320877 | Integrated circuit thermal throttling with workload adapted thermal sensor maximum temperature | Limaye Ameya |
11320883 | Multi-die stacks with power management | Baskaran Rajashree; Gupta Hyde Maruti; Lim Min Suet; Le Van; Saadeldeen Hebatallah |
11320884 | Limiting computing rack input power due to power supply unit failure | Liang Xiaoguo; Gong Haifeng; Shu Wenhui; Song Chuan; Zhou Xiang |
11320886 | Dual path sequential element to reduce toggles in data path | Maiyuran Subramaniam; Jahagirdar Sanjeev S.; Veernapu Kiran C.; Asperheim Eric J.; Koker Altug; Vembu Balaji; Ray Joydeep; Appu Abhishek R. |
11320888 | All-digital closed loop voltage generator | Augustine Charles; Khellah Muhammad; Raman Arvind; Choubal Ashish; Subramanian Karthik; Afzal Abdullah; Merchant Feroze |
11320912 | Techniques for gesture-based initiation of inter-device wireless connections | Raffa Giuseppe; Sharma Sangita |
11320913 | Techniques for gesture-based initiation of inter-device wireless connections | Raffa Giuseppe; Sharma Sangita |
11321086 | Instructions for fused multiply-add operations with variable precision input operands | Das Dipankar; Mellempudi Naveen K.; Dutta Mrinmay; Kumar Arun; Mudigere Dheevatsa; Kundu Abhisek |
11321089 | Instruction set architecture based and automatic load tracking for opportunistic re-steer of data-dependent flaky branches | Gupta Saurabh; Soundararajan Niranjan; Natarajan Ragavendra; Subramoney Sreenivas |
11321136 | Techniques for collective operations in distributed systems | Langer Akhil |
11321144 | Method and apparatus for efficiently managing offload work between processing units | Ould-Ahmed-Vall ElMoustapha |
11321262 | Interconnected systems fence mechanism | Nalluri Hema Chand; Shah Ankur; Ray Joydeep; Navale Aditya; Koker Altug; Ramadoss Murali; Cooray Niranjan L.; Boles Jeffery S.; Anantaraman Aravindh; Puffer David; Valerio James; Ranganathan Vasanth |
11321263 | High bandwidth core to network-on-chip interface | Kaul Himanshu; Anders Mark A.; Chen Gregory K. |
11321264 | Flattening portal bridge | Harriman David J.; Rozic Reuven; Dan Maxim; Sethi Prashant; Gough Robert E.; Rabindranath Shanthanand Kutuva |
11321459 | Method, system and apparatus for error correction coding embedded in physically unclonable function arrays | Shen Kuan-Yueh; Johnston David; Parker Rachael J.; Dacuna Santos Javier |
11321469 | Microprocessor pipeline circuitry to support cryptographic computing | Kounavis Michael E.; Ghosh Santosh; Deutsch Sergej; LeMay Michael; Durham David M.; Shwartsman Stanislav |
11321799 | Compiler assisted register file write reduction | Gurram Chandra S.; Chen Gang Y.; Maiyuran Subramaniam; Pal Supratim; Garg Ashutosh; Parra Jorge E.; Starkey Darin M.; Lueh Guei-Yuan; Chen Wei-Yu |
11321805 | Dynamic precision management for integer deep learning primitives | Mellempudi Naveen; Mudigere Dheevatsa; Das Dipankar; Sridharan Srinivas |
11321902 | Apparatus and method for optimized ray tracing | Akenine-Moller Tomas G.; Wald Ingo |
11321910 | Apparatus and method for reduced precision bounding volume hierarchy construction | Doyle Michael; Vaidyanathan Karthik |
11322099 | Glare and occluded view compensation for automotive and other applications | Runyan Arthur J.; Hicks Richmond; Ansari Nausheen; Biswal Narayan; Peng Ya-Ti; Appu Abhishek R.; Kao Wen-Fu; Lee Sang-Hee; Ray Joydeep; Wang Changliang; Avadhanam Satyanarayana; Janus Scott; Smith Gary; Shah Nilesh V.; Rowe Keith W.; Johnston Robert J. |
11322290 | Techniques for an inductor at a first level interface | Xu Cheng; Deng Yikang; Lee Kyu Oh; Park Ji Yong; Pietambaram Srinivas; Wang Ying; Zhang Chong; Zhang Rui; Zhao Junnan |
11322434 | Top-to-bottom interconnects with molded lead-frame module for integrated-circuit packages | Sir Jiun Hann; Khoo Poh Boon; Goh Eng Huat |
11322444 | Lithographic cavity formation to enable EMIB bump pitch scaling | Darmawikarta Kristof; Tanaka Hiroki; May Robert; Paital Sameer; Nie Bai; Jones Jesse; Tan Chung Kwang Christopher |
11322445 | EMIB copper layer for signal and power routing | Mekonnen Yidnekachew S.; Kim Dae-Woo; Aygun Kemal; Sharan Sujit |
11322455 | Robust mold integrated substrate | Yao Jimin; Yazzie Kyle; Liff Shawna M. |
11322456 | Die back side structures for warpage control | Eid Feras; Guthikonda Venkata Suresh R.; Devasenathipathy Shankar; Jha Chandra M.; Chang Je-Young; Yazzie Kyle; Raghavan Prasanna; Malatkar Pramod |
11322457 | Control of warpage using ABF GC cavity for embedded die package | Raorane Digvijay A.; Chin Ian En Yoon; Sobieski Daniel N. |
11322469 | Dual solder methodologies for ultrahigh density first level interconnections | Subramani Chandramouleeswaran |
11322504 | Ferroelectric-capacitor integration using novel multi-metal-level interconnect with replaced dielectric for ultra-dense embedded SRAM in state-of-the-art CMOS technology | Avci Uygar; Morris Daniel; Kim Seiyon; Wang Yih; Brain Ruth; Young Ian |
11322508 | Flash memory components and methods | Parat Krishna; Fastow Richard |
11322546 | Current delivery and spike mitigation in a memory cell array | Ahmed Shafqat; Pangal Kiran |
11322591 | Quantum dot devices | Singh Kanwaljit; Clarke James S.; Veldhorst Menno; Vandersypen Lieven Mark Koenraad |
11322601 | Gate cut and fin trim isolation for advanced integrated circuit structure fabrication | Ghani Tahir; Ho Byron; Hattendorf Michael L.; Auth Christopher P. |
11322620 | Metal-assisted single crystal transistors | Le Van H.; Agrawal Ashish; Sung Seung Hoon; Sharma Abhishek A.; Pillarisetty Ravi |
11322849 | Slot antennas for electronic user devices and related methods | Thakur Jayprakash; Kurma Raju Prakash; Fricke Gustavo |
11323026 | Hybrid digital linear and switched capacitor voltage regulator | Oshita Takao; Paillet Fabrice; Jain Rinkle; Rizk Jad; Bronstein Danny; Arnaot Ahmad |
11323092 | Film bulk acoustic resonator (FBAR) devices for high frequency RF filters | Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko |
11323162 | Data detection in MIMO systems with demodulation and tracking reference signals | Gudovskiy Denis; Rajagopalan Karthik; Ghaffar Rizwan; Li Chuxiang |
11323189 | Proximate communication with a target device | Prakash Gyan; Gurumoorthy Nagasubramanian |
11323268 | Digital signature verification engine for reconfigurable circuit devices | Ghosh Santosh; Sastry Manoj; Iyer Prakash; Lu Ting |
11323604 | Retractable image capture devices and methods to protect such retractable image capture devices | Brand Jason M.; Magi Aleksander |
11323700 | Encoding video using two-stage intra search | Holland James M.; Raghukrishnan Srinivasan Embar; Lei Zhijun; Ryzhov Dmitry E.; Xu Lidong; Yedidi Satya N. |
11323957 | Apparatus, system, and method of channel switching | Ginsburg Noam; Shalev Oz |
11324002 | Enhanced tone mapping for trigger-based null data packet feedback | Chen Xiaogang; Vituri Shlomi; Gurevitz Assaf; Li Qinghua; Jiang Feng |
11324139 | Wickless capillary driven constrained vapor bubble heat pipes | Basu Sumita; Kulkarni Shantanu D.; Ghosh Prosenjit; Kouliachev Konstantin I. |
11327050 | Mechanical failure monitoring, detection, and classification in electronic assemblies | Yazzie Kyle; Neerukatti Rajesh Kumar; Yagnamurthy Naga Sivakumar; McCoy David C.; Malatkar Pramod; Prieto Frank P. |
11327259 | Integrated circuit package with electro-optical interconnect circuitry | Li Peng; Martinez Joel; Long Jon |
11327523 | Method and apparatus to utilize a digital-time-conversion (DTC) based clocking in computing systems | Fayneh Eyal; Nassar Elias; Falkov Inbar; Krithivasan Ramkumar; Vuppaladadium Vijay K.; Corvacho Hernandez Miguel A.; Nassar Samer; Talker Yair |
11327547 | Extending processor performance | G Naveen; Kumar Bharath |
11327577 | Multi-function stylus with sensor controller | Trethewey James; Case, Jr. Charlie; Martinez Jorge |
11327735 | Attestation manifest derivation and distribution using software update image | Smith Ned M.; Doshi Kshitij Arun; Browne John J.; Zimmer Vincent J.; Guim Bernat Francesc; Sood Kapil |
11327754 | Method and apparatus for approximation using polynomials | Parra Jorge; Baum Dan; Chappell Robert S.; Espig Michael; George Varghese; Heinecke Alexander; Hughes Christopher; Maiyuran Subramaniam; Surti Prasoonkumar; Zohar Ronen; Ould-Ahmed-Vall Elmoustapha |
11327755 | Fine grained control flow enforcement to mitigate malicious call/jump oriented programming | Hu Kekai; Sun Ke; Branco Rodrigo |
11327789 | Merged input/output operations from a plurality of virtual machines | Cao Gang; Xu Weihua Rosen; Zhou Danny Yigang |
11327804 | Systems, methods and apparatus for dynamic distribution in autonomous driving | Moustafa Hassnaa; Tan Soo Jin; Parker Valerie |
11327861 | Cross-talk generation in a multi-lane link during lane testing | Das Sharma Debendra; Froelich Daniel S. |
11327881 | Technologies for column-based data layouts for clustered data systems | Chauhan Chetan; Dongaonkar Sourabh; Sundaram Rajesh; Khan Jawad; Guliani Sandeep; Sengupta Dipanjan; Tepper Mariano |
11327894 | Method and system for performing data movement operations with read snapshot and in place write update | Vasudevan Anil; Krishnan Venkata; Herdrich Andrew J.; Wang Ren; Blankenship Robert G.; Geetha Vedaraman; Shah Shrikant M.; Millier Marshall A.; Sade Raanan; Pham Binh Q.; Serres Olivier; Miao Chyi-Chang; Wilkerson Christopher B. |
11327918 | CPU hot-swapping | Chen Zhi Yong; Jayakumar Sarathy; Zeng Yi; Mao Wenjuan; Agrawal Anil |
11327920 | Recalibration of PHY circuitry for the PCI express (pipe) interface based on using a message bus interface | Jen Michelle C.; Gao Minxi; Das Sharma Debendra; Spagna Fulvio; Tennant Bruce A.; Dolev Geldbard Noam |
11328037 | Memory-size- and bandwidth-efficient method for feeding systolic array matrix multipliers | Yinger Jack Z.; Ling Andrew; Czajkowski Tomasz; Capalija Davor; Nurvitadhi Eriko; Marr Deborah |
11328111 | Broadcast remote sealing for scalable trusted execution environment provisioning | Schulz Steffen; Trivedi Alpa; Koeberl Patrick |
11328496 | Scalable real-time face beautification of video images | Chen Ke; Deng Zhipin; Cai Xiaoxia; Wang Chen; Peng Ya-Ti; Chiu Yi-Jen; Xu Lidong |
11328937 | Low cost package warpage solution | Karhade Omkar G.; Deshpande Nitin A.; Mallik Debendra; Ziadeh Bassam M.; Tomita Yoshihiro |
11328951 | Transistor cells including a deep via lined wit h a dielectric material | Morrow Patrick; Kobrinsky Mauro J.; Mehandru Rishabh |
11328968 | Stacked die cavity package | Modi Mitul; Sankman Robert L.; Mallik Debendra; Mahajan Ravindranath V.; Alur Amruthavalli P.; Deng Yikang; Li Eric J. |
11328978 | Package with a highly conductive layer deposited on die using throughput additive deposition prior to TIM1 dispense | Eid Feras; Swan Johanna M.; Chan Arguedas Sergio; Beatty John J. |
11328979 | Substrate integrated posts and heat spreader customization for enhanced package thermomechanics | Eid Feras; Padmanabhan Ramalekshmi Thanu Dinesh; Chan Arguedas Sergio; Swan Johanna M.; Beatty John J. |
11328986 | Capacitor-wirebond pad structures for integrated circuit packages | Aleksov Aleksandar; Eid Feras; Dogiamis Georgios; Kamgaing Telesphor; Swan Johanna M. |
11328988 | Top gate recessed channel CMOS thin film transistor in the back end of line and methods of fabrication | Dewey Gilbert; Keech Ryan; Bomberger Cory; Huang Cheng-Ying; Agrawal Ashish; Rachmady Willy; Murthy Anand |
11328992 | Integrated circuit components with dummy structures | Lin Kevin L.; McKubre Nicholas James Harold; Vreeland Richard Farrington; Dasgupta Sansaptak |
11328993 | Cobalt based interconnects and methods of fabrication thereof | Jezewski Christopher J.; Indukuri Tejaswi K.; Chebiam Ramanan V.; Carver Colin T. |
11328996 | Zero-misalignment two-via structures using photoimageable dielectric film buildup film, and transparent substrate with electroless plating | Strong Veronica; Aleksov Aleksandar; Rawlings Brandon |
11329027 | Microelectronic packages having a die stack and a device within the footprint of the die stack | Khalaf Bilal |
11329047 | Thin-film transistor embedded dynamic random-access memory with shallow bitline | Wang Yih; Sharma Abhishek A.; Ghani Tahir; Gardiner Allen B.; Lajoie Travis W.; Wang Pei-hua; Ku Chieh-jen; Sell Bernhard; Alzate-Vinasco Juan G.; Lin Blake C. |
11329132 | Transistor with polarization layer superlattice for target threshold voltage tuning | Radosavljevic Marko; Then Han Wui; Dasgupta Sansaptak; Fischer Paul; Hafez Walid |
11329138 | Self-aligned gate endcap (SAGE) architecture having endcap plugs | Subramanian Sairam; Kenyon Christopher; Govindaraju Sridhar; Jan Chia-Hong; Liu Mark; Liao Szuya S.; Hafez Walid M. |
11329162 | Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures | Kobrinsky Mauro J.; Bojarski Stephanie; McDonnell Myra; Ghani Tahir |
11329358 | Low loss and low cross talk transmission lines having l-shaped cross sections | Elsherbini Adel A.; Manusharow Mathew; Bharath Krishna; Zhang Zhichao; Mekonnen Yidnekachew S.; Aleksov Aleksandar; Braunisch Henning; Eid Feras; Soto Javier |
11329359 | Dielectric waveguide including a dielectric material with cavities therein surrounded by a conductive coating forming a wall for the cavities | Dogiamis Georgios; Elsherbini Adel A.; Kamgaing Telesphor; Braunisch Henning; Swan Johanna M. |
11329650 | Process and temperature immunity in circuit design | Parkes, Jr. John J.; Hoque Anamul |
11329662 | Differential source follower with current steering devices | Schifmann Yitzhak Elhanan; Krupnik Yoel; Cohen Ariel |
11329706 | Communication scanning method and system | Ballantyne Wayne; Chance Gregory; Geren Bruce; Markovich Dror; Pawliuk Peter; Tanzi Nebil |
11329833 | Programmable device key provisioning | Lu Ting; Pelt Robert Landon; Kenny James Ryan |
11329898 | Techniques to control quality of service for end-to-end paths in a compute environment | Guim Bernat Francesc; Doshi Kshitij A.; Rivas Barragan Daniel; Schmisseur Mark A.; Larsen Steen |
11329925 | Technologies for low-latency network packet transmission | Slota Alexander B.; Coleman James A.; Khandelwal Rajkumar; Kumar Anil |
11330086 | Apparatus, system and method of negotiating a range measurement protocol | Venkatesan Ganesh; Ghosh Chittabrata; Segev Jonathan |
11330087 | Distributed software-defined industrial systems | Wouhaybi Rita H.; Vicente John; Smith Kirk; Chavez Robert; Yarvis Mark; Brown Steven M.; Ouillette Jeremy; Kronschnabel Roderick E.; Schneider Matthew J.; Lucero Chris D.; Hatalkar Atul N.; Garg Sharad; Rathbone Casey; Berck Aaron R.; Zhang Xubo; Thomas Ron Kuruvilla; Shetty Mandeep; Negi Ansuya |
11330625 | Multi-band bandwidth query report (MB-BQR) signaling in extremely high throughput (EHT) systems | Min Alexander W.; Das Dibakar; Park Minyoung; Ghosh Chittabrata; Cordeiro Carlos; Chen Cheng; Cariou Laurent; Akhmetov Dmitry |
11330979 | Focus adjustment method and apparatus | Huang I-Chun |
11334071 | Towing methods and apparatuses for computer assisted or autonomous driving | Garcia Jason |
11334086 | Autonomous robots and methods of operating the same | Wang Bin; Li Jianguo; Yan Shoumeng |
11334263 | Configuration or data caching for programmable logic device | Weber Scott J.; Greenhill David; Atsatt Sean R.; Gutala Ravi Prakash; Dasu Aravind Raghavendra; Tan Jun Pin |
11334318 | Prefix network-directed addition | Langhammer Martin; Pasca Bogdan Mihai; Gribok Sergey Vladimirovich |
11334319 | Apparatus and method for multiplication and accumulation of complex values | Madduri Venkateswara Rao; Ould-Ahmed-Vall Elmoustapha; Valentine Robert |
11334356 | Apparatuses, methods, and systems for a user defined formatting instruction to configure multicast Benes network circuitry | Chen Jian-Guo; Dougherty David T.; Pinault Steven; Venkataraghavan Parakalan; Williams Joseph; Yu Meng-Lin; Azadet Kamran |
11334382 | Technologies for batching requests in an edge infrastructure | Bernat Francesc Guim; Doshi Kshitij; Prabhakaran Suraj; Smith Ned M. |
11334399 | Methods and apparatus to manage power of deep learning accelerator systems | Heilper Anat; Kaider Oren |
11334511 | System, apparatus and method for peer-to-peer communication on a multi-drop interconnect | Bhaskar Rajesh; Carrieri Enrico; Foust Kenneth; Jurski Janusz; Loewen Myron; Schnoor Matthew A.; Srivastava Amit Kumar; Vergis George |
11334647 | Apparatuses, methods, and systems for enhanced matrix multiplier architecture | Dasgupta Aurobindo; Vora Sujal |
11334696 | Systems and methods for dynamic voltage and frequency scaling in programmable logic devices | Atsatt Sean R. |
11334796 | Optimized compute hardware for machine learning operations | Das Dipankar; Gramunt Roger; Smelyanskiy Mikhail; Corbal Jesus; Mudigere Dheevatsa; Mellempudi Naveen K.; Heinecke Alexander F. |
11334962 | Compute optimization mechanism for deep neural networks | Surti Prasoonkumar; Srinivasa Narayan; Chen Feng; Ray Joydeep; Ashbaugh Ben J.; Galoppo Von Borries Nicolas C.; Nurvitadhi Eriko; Vembu Balaji; Lin Tsung-Han; Sinha Kamal; Barik Rajkishore; Baghsorkhi Sara S.; Gottschlich Justin E.; Koker Altug; Satish Nadathur Rajagopalan; Akhbari Farshad; Kim Dukhwan; Fu Wenyin; Schluessler Travis T.; Mastronarde Josh B.; Hurd Linda L.; Feit John H.; Boles Jeffery S.; Lake Adam T.; Vaidyanathan Karthik; Burke Devan; Maiyuran Subramaniam; Appu Abhishek R. |
11334975 | Pose synthesis in unseen human poses | Nina Paravecino Fanny; Hall James; Brugarolas Brufau Rita |
11335035 | Context-aware compression with quantization of hierarchical transform matrices | Brownlee Carson; Benthin Carsten; Barczak Joshua; Xiao Kai; Apodaca Michael; Surti Prasoonkumar; Raoux Thomas |
11335079 | Method and system of reflection suppression for image processing | Pogorelik Oleg |
11335106 | Methods and apparatus to convert images for computer-vision systems | Kim Tae-Hoon; Park Minje |
11335291 | Display controller with multiple common voltages corresponding to multiple refresh rates | Kwak Dongyeung; Cancel Olmo Ramon C.; Li Jue; Nugraha Thomas A.; Lang John |
11335395 | Applying chip select for memory device identification and power management control | Cox Christopher E.; Bains Kuljit S.; Mozak Christopher P.; McCall James A.; Vasanth Akshith; Nale Bill |
11335428 | Methods, systems and apparatus for in-field testing for generic diagnostic components | Azam Asad; Raja Gopal R Selvakumar; Chakravarty Sreejit; Chen Kaitlyn |
11335598 | Grating replication using helmets and topographically-selective deposition | Lin Kevin; Naskar Sudipto; Chandhok Manish; Reshotko Miriam; Hourani Rami |
11335600 | Integration method for finfet with tightly controlled multiple fin heights | Kim Seiyon; Kavalieros Jack T.; Murthy Anand S.; Glass Glenn A.; Jambunathan Karthik |
11335601 | Non-planar I/O and logic semiconductor devices having different workfunction on common substrate | Olac-Vaw Roman W.; Hafez Walid M.; Jan Chia-Hong; Liu Pei-Chi |
11335616 | Substrate integrated inductor with composite magnetic resin layer | Sankarasubramanian Malavarayan; Min Yongki; Dani Ashay A.; Radhakrishnan Kaladhar |
11335618 | Thermals for packages with inductors | Lambert William J. |
11335620 | Package inductor having thermal solution structures | Hill Michael J.; Augustine Anne; Do Huong; Lambert William |
11335632 | Magnetic inductor structures for package devices | Chatterjee Prithwish; Zhao Junnan; Vadlamani Sai; Wang Ying; Jain Rahul; Brown Andrew J.; Link Lauren A.; Xu Cheng; Li Sheng C. |
11335639 | Method of forming stacked trench contacts and structures formed thereby | Sell Bernhard; Golonzka Oleg |
11335640 | Microelectronic structures having notched microelectronic substrates | Meyers John |
11335641 | Microelectronic assemblies | Aleksov Aleksandar; Swan Johanna M. |
11335642 | Microelectronic assemblies | Liff Shawna M.; Elsherbini Adel A.; Swan Johanna M. |
11335651 | Microelectronic devices designed with compound semiconductor devices and integrated on an inter die fabric | Kamgaing Telesphor; Dogiamis Georgios C.; Nair Vijay K.; Falcon Javier A.; Liff Shawna M.; Tomita Yoshihiro |
11335663 | Microelectronic assemblies | Liff Shawna M.; Elsherbini Adel A.; Swan Johanna M.; Chandrasekhar Arun |
11335665 | Microelectronic assemblies | Liff Shawna M.; Elsherbini Adel A.; Swan Johanna M. |
11335686 | Transistors with back-side contacts to create three dimensional memory and logic | Gomes Wilfred; Kobrinsky Mauro J.; Sharma Abhishek A.; Ghani Tahir; Ingerly Doug; Kumar Rajesh |
11335705 | Thin film tunnel field effect transistors having relatively increased width | Majhi Prashant; Doyle Brian S.; Pillarisetty Ravi; Sharma Abhishek A.; Karpov Elijah V. |
11335777 | Integrated circuit components with substrate cavities | Lin Kevin L.; Fischer Paul B.; Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko; Ban Ibrahim |
11335778 | Quantum dot devices with overlapping gates | Thomas Nicole K.; Pillarisetty Ravi; Singh Kanwaljit; George Hubert C.; Michalak David J.; Lampert Lester; Yoscovits Zachary R.; Caudillo Roman; Roberts Jeanette M.; Clarke James S. |
11335789 | Channel structures for thin-film transistors | Sharma Abhishek; Weber Cory; Le Van H.; Ma Sean |
11335793 | Vertical tunneling field-effect transistors | Huang Cheng-Ying; Kavalieros Jack; Young Ian; Metz Matthew; Rachmady Willy; Avci Uygar; Agrawal Ashish; Chu-Kung Benjamin |
11335796 | Source to channel junction for III-V metal-oxide-semiconductor field effect transistors (MOSFETs) | Huang Cheng-Ying; Rachmady Willy; Metz Matthew V.; Dewey Gilbert; Ma Sean T.; Kavalieros Jack T. |
11335800 | Work function based approaches to transistor threshold voltage tuning | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak; Fischer Paul; Hafez Walid |
11335801 | Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication | Radosavljevic Marko; Then Han Wui; Dasgupta Sansaptak |
11335807 | Isolation schemes for gate-all-around transistor devices | Mehandru Rishabh; Cea Stephen M.; Guha Biswajeet; Ghani Tahir; Hsu William |
11336015 | Antenna boards and communication devices | Thai Trang; Dalmia Sidharth |
11336043 | Peripheral component coupler method and apparatus | Huang Yi |
11336270 | Fuse-less self-start controller | Desai Nachiket; Kim Suhwan; Krishnamurthy Harish; Schaef Christopher |
11336286 | Scalable micro bumps indexing and redundancy scheme for homogeneous configurable integrated circuit dies | Tang Lai Guan; Teh Hup Chin; Jong Kiun Kiet |
11336319 | Radiation exposure control for beamforming technologies | Badic Biljana; Glik Michael; Gunzelmann Bertram; Harel Tom; Hwang Yeong-Sun; Janssen Andre; Kosloff Jonathan; Mitelberg Sebastian; Mueck Markus Dominik; Raaf Bernhard; Rao Jianqiang; Tishbi Nir; Yu Zhibin |
11336547 | Technologies for dynamically managing resources in disaggregated accelerators | Guim Bernat Francesc; Balle Susanne M.; Khanna Rahul; Sen Sujoy; Kumar Karthik |
11336559 | Fast-lane routing for multi-chip packages | Elsherbini Adel A.; Singh Tejpal; Liff Shawna M.; Pasdast Gerald S.; Swan Johanna M. |
11336625 | Technologies for accelerated QUIC packet processing with hardware offloads | Deval Manasi; Bowers Gregory |
11336626 | Encryption of management frames for securing long training field sequence generation and scheme for transmitting sounding signal indications | Li Qinghua; Segev Jonathan; Abramovsky Benny; Alexander Danny; Chen Xiaogang; Ghosh Chittabrata; Jiang Feng; Ouzieli Ido; Stacey Robert |
11336654 | Cloud-to-device mediator service from services definition | Smith Ned M. |
11336756 | Technologies for programming flexible accelerated network pipeline using eBPF | Waskiewicz, Jr. Peter P.; Jain Anjali Singhai; Parikh Neerav; Sarangam Parthasarathy |
11337068 | Multi-link device and method performed therein | Huang Po-Kai; Ouzieli Ido; Alexander Danny; Cariou Laurent |
11337070 | User-authorized onboarding using a public authorization service | Heldt-Sheller Nathan; Smith Ned M. |
11337162 | Power control for communication under very low power mode | Cariou Laurent; Kenney Thomas; Reshef Ehud; Yaghoobi Hassan |
11337203 | Transmission configuration indication (TCI) state switching for 5G NR | Raghavan Manasa; Cui Jie; Tang Yang; Li Qiming; Yu Zhibin |
11337219 | Apparatus, system and method of encoding a wireless transmission | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11337249 | Transmit opportunity continuation timeout for directional multi-gigabit networks | Trainin Solomon; Cordeiro Carlos; Cariou Laurent; Yang Ou; Kasher Assaf |
11340258 | Probe pins with etched tips for electrical die test | Stanford Joseph D.; Craig David; Albertson Todd P.; Mamodia Mohit; Xu Dingying |
11340287 | Capacitor with visual indicator | Parthasarathy Ramaswamy; Rao Vikas; Pai Praveen |
11340681 | Negotiating a transmit wake time | Wertheimer Aviad; Hays Robert |
11340683 | Power management circuitry for controlling a power state transition based on a predetermined time limit | Lake Christopher; Shankar Vaibhav; Kodali Prashant |
11340687 | System, apparatus and method for responsive autonomous hardware performance state control of a processor | Abu Salah Hisham; Rotem Efraim; Weissmann Eliezer; Aizik Yoni; Lederman Daniel D. |
11340832 | Concept for controlling a memory performance in a computer system | Guim Bernat Francesc |
11340874 | Methods and apparatus to recommend instruction adaptations to improve compute performance | Metsch Thijs; Alam Mohammad Mejbah Ul; Gottschlich Justin |
11340960 | Apparatuses, methods, and systems for hardware-assisted lockstep of processor cores | Santoni Umberto; Abraham Philip |
11340978 | Methods, systems and apparatus for functional safety implementation | Tan Tat Kin; Abdul Rashid Kamarul Zaman |
11341212 | Apparatus and method for protecting content in virtualized and graphics environments | Ray Joydeep; Appu Abhishek R.; K Pattabhiraman; Vembu Balaji; Koker Altug |
11341248 | Method and apparatus to prevent unauthorized operation of an integrated circuit in a computer system | Gong Haifeng; Srinivasan Vasudevan; Hasbun Marin Antonio J. |
11341295 | Methods, systems, and devices for efficient computation of simulation runs | Grau Oliver |
11341368 | Methods and systems for advanced and augmented training of deep neural networks using synthetic data and innovative generative networks | Yao Anbang; Wang Shandong; Cheng Wenhua; Cai Dongqi; Wang Libin; Xu Lin; Hu Ping; Guo Yiwen; Yang Liu; Hou Yuqing; Su Zhou; Chen Yurong |
11341600 | Graphics engine partitioning mechanism | Appu Abhishek R.; Vembu Balaji; Koker Altug; White Bryan R.; Cowperthwaite David J.; Ray Joydeep; Ramadoss Murali |
11341709 | Apparatus and method using triangle pairs and shared transformation circuitry to improve ray tracing performance | Woop Sven; Surti Prasoonkumar; Vaidyanathan Karthik; Benthin Carsten; Barczak Joshua; Mandal Saikat |
11341736 | Methods and apparatus to match images using semantic features | Zhang Yimin; Ren Haibing; Hu Wei; Guo Ping |
11341937 | Method and system to convey battery degradation | Foster Caroline; Iriawan Denny; Das Barnan |
11342227 | Stacked transistor structures with asymmetrical terminal interconnects | Lilak Aaron; Mannebach Ehren; Kabir Nafees; Morrow Patrick; Dewey Gilbert; Rachmady Willy; Phan Anh |
11342232 | Fabrication of Schottky barrier diode using lateral epitaxial overgrowth | Dasgupta Sansaptak; Radosavljevic Marko; Then Han Wui; Fischer Paul; Hafez Walid |
11342238 | Rotatable architecture for multi-chip package (MCP) | Hossain MD Altaf; Nalamalpu Ankireddy; Subbareddy Dheeraj |
11342243 | Thermal management solutions for embedded integrated circuit devices | Eid Feras; Elsherbini Adel; Swan Johanna |
11342289 | Vertical power plane module for semiconductor packages | Ong Jenny Shio Yin; Cheah Bok Eng; Kong Jackson Chung Peng; Lim Seok Ling; Ooi Kooi Chi |
11342305 | Microelectronic assemblies with communication networks | Elsherbini Adel A.; Elshazly Amr; Chandrasekhar Arun; Lift Shawna M.; Swan Johanna M. |
11342320 | Microelectronic assemblies | Elsherbini Adel A.; Liff Shawna M.; Swan Johanna M.; Chandrasekhar Arun |
11342327 | Stacked transistor layout | Pillarisetty Ravi; Rachmady Willy; Sharma Abhishek A.; Dewey Gilbert; Kavalieros Jack T. |
11342409 | Isolation regions in integrated circuit structures | Bouche Guillaume; Ma Sean T.; Wei Andy Chih-Hung |
11342411 | Cavity spacer for nanowire transistors | Hsu William; Guha Biswajeet; Guler Leonard; Chakrabarty Souvik; Kang Jun Sung; Beattie Bruce; Ghani Tahir |
11342432 | Gate-all-around integrated circuit structures having insulator fin on insulator substrate | Lilak Aaron D.; Mehandru Rishabh; Weber Cory; Rachmady Willy; Mishra Varun |
11342445 | Differentiated voltage threshold metal gate structures for advanced integrated circuit structure fabrication | Leib Jeffrey S.; Hu Jenny; Dasgupta Anindya; Hattendorf Michael L.; Auth Christopher P. |
11342457 | Strained thin film transistors | Majhi Prashant; Rachmady Willy; Doyle Brian S.; Sharma Abhishek A.; Karpov Elijah V.; Pillarisetty Ravi; Kavalieros Jack T. |
11342499 | RRAM devices with reduced forming voltage | Glassman Timothy E.; Seghete Dragos; Strutt Nathan; Asuri Namrata S.; Golonzka Oleg |
11342720 | Snap button fastener providing electrical connection | Barth Hans-Joachim; Elshof Bastiaan; Proschwitz Jan |
11342775 | Voltage minimum active protection circuit and method of operating same | Schiff Tod; Hand Teal; Uan-Zo-Li Alexander |
11342852 | Apparatus, system, and method for reducing voltage overshoot in voltage regulators | Shekhar Sameer; Jain Amit K.; Waizman Alexander; Zelikson Michael; Kuan Chin Lee |
11342918 | Network-on-chip (NOC) with flexible data width | Raghava Sharath; Nalamalpu Ankireddy; Subbareddy Dheeraj; Gupta Harsha; Ball James; Prasad Kavitha; Atsatt Sean R. |
11343035 | Coding over multiple resource units (RU) in extremely high throughput (EHT) systems | Chen Xiaogang; Li Qinghua; Jiang Feng; Avital Ziv; Gurevitz Assaf; Stacey Robert J.; Kojokaro Chen; Kenney Thomas J.; Reshef Ehud; Bravo Daniel F.; Cariou Laurent |
11343090 | Device ID for memory protection | Chhabra Siddhartha; Dewan Prashant |
11343147 | Methods, systems, articles of manufacture and apparatus to coordinate a node level adaptation | McGrath Michael; Nolan Keith; King Heather |
11343177 | Technologies for quality of service based throttling in fabric architectures | Guim Bernat Francesc; Kumar Karthik; Willhalm Thomas; Ramanujan Raj; Slechta Brian |
11343187 | Quantitative exact match distance in network flows | Fischetti Antonio |
11343321 | Efficient provisioning of devices | Brickell Ernie F.; Walker Jesse R. |
11343412 | User detection and user attention detection using multi-zone depth sensing | Sreepathihalli Divyashree-Shivakumar; Rosenzweig Michael Daniel; Sengupta Uttam K.; Soe Soethiha; Krishnaswamy Prasanna |
11343696 | Apparatus, system and method of ranging measurement with secure long training field (LTF) | Segev Jonathan; Abramovsky Benny; Li Qinghua; Ghosh Chittabrata; Jiang Feng |
11343906 | Stacked scalable voltage regulator module for platform area miniaturization | Wong Tai Loong; Tan Fern Nee; Chuah Tin Poay; Lim Min Suet; Tan Siang Yeong |
11344211 | HMM-based adaptive spectrogram track method | Li Tingqian; Meng Zhaohui; Ren Jinkui |
11344213 | Noise resilient, low-power heart rate sensing techniques | Baxi Amit Sudhir; Mageshkumar Vincent S.; Negi Indira |
11345030 | Methods and apparatus for complex assembly via autonomous robots using reinforcement learning action primitives | Natarajan Venkataraman; Acharya Gagan; M. Ramya; Baxi Amit Sudhir; K. G. Arjun; Vincent Shagaya Mageshkumar |
11345342 | Potential collision warning system based on road user intent prediction | Gutierrez David Gomez; Felip Leon Javier; Doshi Kshitij A.; Campos Macias Leobardo E.; Ahuja Nilesh Amar; Tickoo Omesh |
11346818 | Method, device and system for non-destructive detection of defects in a semiconductor die | Pacheco Mario; Touzanov Odissei; Woolsey Jacob; Goyal Deepak |
11347225 | Mechanism for conflict resolution and avoidance of collisions for highly automated and autonomous vehicles | Tatourian Igor |
11347319 | Gesture-controlled virtual reality systems and methods of controlling the same | Goel Manan; Shah Saurin; Krishnamurthy Lakshman; Xing Steven; Pinner Matthew; Doucette Kevin James |
11347477 | Compute in/near memory (CIM) circuit architecture for unified matrix-matrix and matrix-vector computations | Sumbul Huseyin Ekin; Chen Gregory K.; Knag Phil; Kumar Raghavan; Krishnamurthy Ram |
11347502 | Apparatus and method of improved insert instructions | Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Corbal Jesus; Toll Bret L.; Charney Mark J.; Sperber Zeev; Gradstein Amit |
11347530 | Method, apparatus and system for transparent unification of virtual machines | Robinson Scott H.; Tewari Vijay; Knauerhase Robin C. |
11347551 | Methods, systems, articles of manufacture and apparatus to manage memory allocation | Walter Zigi; Heilper Anat |
11347580 | Method of encoding data | Chen Huimin; Martwick Andrew; Heck Howard; Dunstan Robert; Bell Dennis; Ismail Abdul |
11347643 | Control logic and methods to map host-managed device memory to a system address space | Natu Mahesh S.; Sanjeepan Vivekananthan |
11347662 | Method, apparatus, system for early page granular hints from a PCIe device | Agarwal Ishwar; Vakharwala Rupin H.; Sankaran Rajesh M.; Van Doren Stephen R. |
11347673 | Method, apparatus, system for thunderbolt-based display topology for dual graphics systems | Berchanskiy Dmitriy; Gopalakrishnan Venkataramani; Meza Arellano Jose A.; Akiyama James E.; Southern Kevin |
11347680 | Processors, methods, systems, and instructions to atomically store to memory data wider than a natively supported data width | Shanbhogue Vedvyas; Robinson Stephen J.; Bryant Christopher D.; Brandt Jason W. |
11347693 | Management of collaborative teams | Mo Stanley; Staudinger Robert; Wouhaybi Rita H; Mian Mubashir A; Kohlenberg Tobias |
11347785 | System and method for automatically managing media content | Klein, Jr. Eric N.; Hug Joshua D. |
11347828 | Methods, apparatus, articles of manufacture to perform accelerated matrix multiplication | George Biji; Omer Om Ji; Mandal Dipan Kumar; Brick Cormac; Hacking Lance; Subramoney Sreenivas; Kuttanna Belliappa |
11347839 | Techniques for control flow protection | Basak Abhishek; Sahita Ravi L.; Shanbhogue Vedvyas |
11347870 | Technologies for securely providing remote accelerators hosted on the edge to client compute devices | Smith Ned M.; Ganesh Brinda; Bernat Francesc Guim; Walsh Eoin; Custodio Evan |
11347875 | Cryptographic separation of memory on device with use in DMA protection | Kida Luis S.; Lal Reshma |
11347994 | Weight prefetch for in-memory neural network execution | Mathuriya Amrita; Manipatruni Sasikanth; Lee Victor; Sumbul Huseyin; Chen Gregory; Kumar Raghavan; Knag Phil; Krishnamurthy Ram; Young Ian; Sharma Abhishek |
11348198 | Compute optimization mechanism for deep neural networks | Surti Prasoonkumar; Srinivasa Narayan; Chen Feng; Ray Joydeep; Ashbaugh Ben J.; Galoppo Von Borries Nicolas C.; Nurvitadhi Eriko; Vembu Balaji; Lin Tsung-Han; Sinha Kamal; Barik Rajkishore; Baghsorkhi Sara S.; Gottschlich Justin E.; Koker Altug; Satish Nadathur Rajagopalan; Akhbari Farshad; Kim Dukhwan; Fu Wenyin; Schluessler Travis T.; Mastronarde Josh B.; Hurd Linda L.; Feit John H.; Boles Jeffery S.; Lake Adam T.; Vaidyanathan Karthik; Burke Devan; Maiyuran Subramaniam; Appu Abhishek R. |
11348511 | Enabling a chipset that supports a single display to support dual display | Hanchate Mallari C.; S.T. Ganesh R.; Kumar Bharath; Kp Sameer |
11348538 | Power saving display having improved image quality | Qiu Junhai; Mahendran Nandini; Joshi Ajit; Math Shravan Kumar Belagal; Abdelhak Sherine |
11348651 | Hot carrier injection fuse memory | Kulkarni Sarvesh; Dorgan Vincent; Meric Inanc; Vangara Venkata Krishna Rao; Bhattacharya Uddalak; Hicks Jeffrey |
11348718 | Substrate embedded magnetic core inductors and method of making | Pietambaram Srinivas; Darmawikarta Kristof; Duan Gang; Li Yonggang; Paital Sameer |
11348865 | Electronic device including a substrate having interconnects | Akkinepally Praneeth; Kong Jieying; Truong Frank |
11348882 | Package spark gap structure | Aleksov Aleksandar; Eid Feras; Swan Johanna M.; Elsherbini Adel A.; Strong Veronica Aleman |
11348895 | Microelectronic assemblies | Liff Shawna M.; Elsherbini Adel A.; Swan Johanna M.; Chandrasekhar Arun |
11348897 | Microelectronic assemblies | Elsherbini Adel A.; Braunisch Henning; Aleksov Aleksandar; Liff Shawna M.; Swan Johanna M.; Morrow Patrick; Jun Kimin; Mueller Brennen; Fischer Paul B. |
11348909 | Multi-die packages with efficient memory storage | Gupta Hyde Maruti; Himayat Nageen; Hurd Linda; Lim Min Suet; Le Van; Jeganmohan Gayathri; Chandran Ankitha |
11348911 | Multi-chip packaging | Sankman Robert L.; Agraharam Sairam; Ou Shengquan; De Bonis Thomas J; Spencer Todd; Sun Yang; Wang Guotao |
11348912 | Microelectronic assemblies | Elsherbini Adel A.; Liff Shawna M.; Swan Johanna M.; Chandrasekhar Arun |
11348916 | Leave-behind protective layer having secondary purpose | Lilak Aaron D.; Phan Anh; Mannebach Ehren; Huang Cheng-Ying; Bojarski Stephanie A.; Dewey Gilbert; Acton Orb; Rachmady Willy |
11348919 | Gate-all-around integrated circuit structures having depopulated channel structures using selective bottom-up approach | Thomas Nicole; Mannebach Ehren; Huang Cheng-Ying; Radosavljevic Marko |
11348970 | Spin orbit torque (SOT) memory device with self-aligned contacts and their methods of fabrication | O'Brien Kevin; Buford Benjamin; Oguz Kaan; Sato Noriyuki; Kuo Charles; Doczy Mark |
11348973 | Threshold switching selector based memory | Sharma Abhishek A.; Le Van H.; Dewey Gilbert; Rios Rafael; Kavalieros Jack T.; Shivaraman Shriram |
11349068 | Memory cells | Zheng Pengyuan; Russell Stephen W.; Economy David R. |
11349102 | Electronic device having an organic light emitting display | Vishakantaiah Praveen; Zhuang Zhiming J.; Wong Hong W. |
11349523 | Spread-spectrum modulated clock signal | Goh Chee Kiang; Traeber Mario |
11349587 | Generating a timestamp | Gulstone Nigel Antoine; Mendel David Wolk; Mallela Sita Rama Chandrasekhar; Kane Rajiv Dattatraya |
11349734 | Robotically serviceable computing rack and sleds | Adiletta Matthew J.; Gorius Aaron; Wilde Myles; Crocker Michael T. |
11349753 | Converged routing for distributed computing systems | Schooler Eve M.; Hyde Maruti Gupta; Moustafa Hassnaa |
11349817 | Session management framework for secure communications between host devices and trusted devices | Pappachan Pradeep M.; Lal Reshma |
11349858 | Malware detection system attack prevention | Chen Li |
11350299 | Received signal strength indicator thresholds for transitions | Canpolat Necati; Cordeiro Carlos; Cariou Laurent |
11350348 | Method for the transfer of radio capability information | Zaus Robert; Roessel Sabine; Raaf Bernhard; Ellenbeck Jan; Choi Hyung-Nam |
11351419 | Smart gym | Loh Sean Yit; Tan Yoke Ming; Lim Michelle Ching Yee; Wong Woon Soon; Yew Wei Th'ng; Lee Cheah Cheat |
11351987 | Proactive vehicle safety system | Cormack Christopher J.; Jackson Jeffrey R.; Mo Stanley T. |
11352133 | Systems, cableless drone swarm systems, method and apparatus | Jassowski Michael; Thirunahari Ashwin; Nanduri Anil; Tripard Baptiste |
11353692 | Combined biometrics capture system with ambient free infrared | Ollila Mikko |
11353868 | Barriers and synchronization for machine learning at autonomous machines | Appu Abhishek R.; Koker Altug; Ray Joydeep; Vembu Balaji; Weast John C.; Macpherson Mike B.; Kim Dukhwan; Hurd Linda L.; Jahagirdar Sanjeev; Ranganathan Vasanth |
11353882 | Back reflection circulator in silicon photonic chip methods and apparatus | Doylend Jonathan K. |
11353900 | Integrated cross-domain power transfer voltage regulators | Choi Beomseok; Kulasekaran Siddharth; Bharath Krishna |
11353914 | Workload based adaptive voltage and frequency control apparatus and method | Toosizadeh Navid; Sinha Kamal; Koker Altug |
11354053 | Technologies for lifecycle management with remote firmware | Nachimuthu Murugasamy K.; Kumar Mohan J. |
11354124 | Apparatus and method of improved insert instructions | Ould-Ahmed-Vall Elmoustapha; Valentine Robert; Corbal Jesus; Toll Bret L.; Charney Mark J.; Sperber Zeev; Gradstein Amit |
11354127 | Method of managing multi-tier memory displacement using software controlled thresholds | Sane Harshad S.; Mohan Anup; Doshi Kshitij A.; Schmisseur Mark A. |
11354128 | Optimized mode transitions through predicting target state | Brandt Jason W.; Shanbhogue Vedvyas; Subramaniam Kameswar |
11354135 | Pre-memory initialization multithread parallel computing platform | Qin Zhiqiang; Xu Tao; Huang Qing |
11354171 | De-centralized load-balancing at processors | Surti Prasoonkumar; Cowperthwaite David; Appu Abhishek R.; Ray Joydeep; Ranganathan Vasanth; Koker Altug; Vembu Balaji |
11354213 | Utilization metrics for processing engines | Abu Salah Hisham; Brown Arthur Leonard; Fenger Russell J.; Kirubakaran Deepak Samuel; Mallick Asit K.; Pan Jun; Pandruvada Srinivas; Rotem Efraim; Van De Ven Arjan; Weissmann Eliezer; Wysocki Rafal J. |
11354240 | Selective execution of cache line flush operations | Sukhomlinov Vadim; Doshi Kshitij |
11354264 | Bimodal PHY for low latency in high speed interconnects | Iyer Venkatraman; Halleck William R.; Shah Rahul R.; Lee Eric |
11354406 | Physics-based approach for attack detection and localization in closed-loop controls for autonomous vehicles | Juliato Marcio; Ahmed Shabbir; Sastry Manoj; Yang Liuyang L.; Lesi Vuk; Zhao Li |
11354407 | Techniques for library behavior verification | Ben-Shalom Omer; Yitzhaki Hila; Wolf Yoni; Shilo Dror; Benedek Gyora M.; Caltum Ezra |
11354415 | Warm boot attack mitigations for non-volatile memory modules | Trikalinou Anna; Lake Daniel S.; Datta Sham M.; Altman Asher M.; Grooms John K. |
11354423 | Cryptographic isolation of memory compartments in a computing environment | Kounavis Michael E.; Ghosh Santosh; Deutsch Sergej; LeMay Michael; Durham David M. |
11354429 | Device and methods for management and access of distributed data sources | Dave Manish; Hassan Vishwa; Gowda Bhaskar D.; Shekhar Mrigank |
11354482 | Enabling stateless accelerator designs shared across mutually-distrustful tenants | Trivedi Alpa; Rozas Carlos |
11354542 | On-the-fly deep learning in machine learning at autonomous machines | Yehezkel Rohekar Raanan Yonatan |
11354564 | Tuning of loop orders in blocked dense basic linear algebra subroutines | Heinecke Alexander; Georganas Evangelos; Gottschlich Justin |
11354568 | In-memory spiking neural networks for memory array architectures | Akin Berkin; Pugsley Seth H. |
11354768 | Intelligent graphics dispatching mechanism | Vembu Balaji; Ramadoss Murali; Lueh Guei-Yuan; Maiyuran Subramaniam M.; Appu Abhishek R.; Ray Joydeep; Koker Altug; Valerio James A.; Hoekstra Eric J.; Hunter, Jr. Arthur D. |
11354769 | Page faulting and selective preemption | Koker Altug; Wald Ingo; Puffer David; Maiyuran Subramaniam M.; Surti Prasoonkumar; Vembu Balaji; Lueh Guei-Yuan; Ramadoss Murali; Appu Abhishek R.; Ray Joydeep |
11354770 | Apparatus and method for dynamic provisioning, quality of service, and prioritization in a graphics processor | Appu Abhishek R.; Ray Joydeep; Koker Altug; Vembu Balaji; K Pattabhiraman; Callaway Matthew B. |
11354807 | Apparatus and method for conservative morphological antialiasing with multisampling | Strugar Filip |
11354848 | Motion biased foveated renderer | Surti Prasoonkumar; Vaidyanathan Karthik; Kuwahara Atsuo; Labbe Hugues; Kp Sameer; Kennedy Jonathan; Ray Joydeep; Schluessler Travis T.; Feit John H.; Kaburlasos Nikos; Kwiatkowski Jacek; Bar-On Tomer; Benthin Carsten; Lake Adam T.; Ranganathan Vasanth; Appu Abhishek R. |
11354903 | Bidirectional pairing architecture for object detection in video | Hao Yan; Zhu Zhi Yong; Li Lu; Chen Ciyong; Yu Kun |
11355199 | Method and apparatus to mitigate hot electron read disturbs in 3D NAND devices | Cao Wei; Fastow Richard M.; Yu Xuehong; Sun Xin; Kim Hyungseok; Ramanan Narayanan; Joshi Amol R.; Parat Krishna |
11355427 | Device, method and system for providing recessed interconnect structures of a substrate | Loo Howe Yin; Sharan Sujit; Chuah Tin Poay; Prabhakumar Ananth |
11355438 | Hybrid fan-out architecture with EMIB and glass core for heterogeneous die integration applications | Pietambaram Srinivas; Manepalli Rahul; Duan Gang |
11355458 | Interconnect core | Kong Jackson Chung Peng; Cheah Bok Eng; Ooi Ping Ping; Ooi Kooi Chi |
11355504 | Anti-ferroelectric capacitor memory cell | Morris Daniel H.; Avci Uygar E.; Young Ian A. |
11355505 | Vertical backend transistor with ferroelectric material | Morris Daniel H.; Avci Uygar E.; Young Ian A. |
11355608 | Self-aligned gate endcap (SAGE) architectures with gate-all-around devices having epitaxial source or drain structures | Guler Leonard P.; Guha Biswajeet; Ghani Tahir; Sivakumar Swaminathan |
11355621 | Non-planar semiconductor device including a replacement channel structure | Dewey Gilbert; Rachmady Willy; Ma Sean; Minutillo Nicholas; Ghani Tahir; Metz Matthew V.; Huang Cheng-Ying; Murthy Anand S. |
11355623 | Wafer-scale integration of dopant atoms for donor- or acceptor-based spin qubits | Lampert Lester; Clarke James S.; Roberts Jeanette M.; Pillarisetty Ravi; Michalak David J.; Singh Kanwaljit; Caudillo Roman; George Hubert C.; Yoscovits Zachary R.; Thomas Nicole K. |
11355652 | Group III-nitride polarization junction diodes | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak |
11355849 | Antenna package using ball attach array to connect antenna and base substrates | Yao Jimin; Liff Shawna M.; Lambert William J.; Zhang Zhichao; Sankman Robert L.; Chavali Sri Chaitra J. |
11355924 | Circuit for electrostatic discharge protection for wide frequency range multi-band interfaces | Domanski Krzysztof; Johnsson David; Gossner Harald; Elkind Jenia |
11356126 | Detecting high TX leakage to improve linearity | Alam Mohammed; Graham David; Ivonnet Jorge; Khushk Hasham; Mittel James Gregory; Parkes, Jr. John J. |
11356251 | Secure vehicle communication with privacy-preserving features | Misoczki Rafael; Cavalcanti Dave; Sastry Manoj |
11356303 | Short link efficient interconnect circuitry | Wu Hsinho; Shimanouchi Masashi; Li Peng |
11356306 | Technologies for cooperative link equalization without disruption to link traffic | Shah Nishantkumar; Lillie Kevan A.; Ran Adee Ofir; Levin Itamar; Lusted Kent |
11356315 | Methods and apparatus to dynamically control devices based on distributed data | Wouhaybi Rita; Poornachandran Rajesh |
11356339 | Service level agreement-based multi-hardware accelerated inference | Guim Bernat Francesc; Doshi Kshitij Arun; Prabhakaran Suraj; Kondapalli Raghu; Bachmutsky Alexander |
11356587 | Array imaging system having discrete camera modules and method for manufacturing the same | Narayanswamy Ramkumar |
11356597 | Method and apparatus for supporting image processing, and computer-readable recording medium for executing the method | Kim Daesung; Ahn Jaihyun |
11356772 | Apparatus and methods for bone conduction context detection | Klemme Beverly; Baskaran Rajashree; Sian Sergio E. |
11356798 | Internet-of-things swarm management | Mueck Markus Dominik; Drewes Christian; Dutkiewicz Eryk; Fang Gengfa; Roessel Sabine; Nolan Keith; Rixon Pierce; Srikanteswara Srikathyayani |
11356898 | MEC support for multi-access convergence | Zhu Jing; Filippou Miltiadis; Sabella Dario |
11356941 | Methods and devices for network selection with steering of roaming list | Sethi Anuj; Mitty Harish |
11356999 | Method and apparatus for blind detection of PDCCH and PDSCH using UE-specific reference signals | Ma Xiaojun; Yu Zhibin |
11357048 | Network allocation vector settings for multi-user operation | Huang Po-Kai; Stacey Robert J. |
11357096 | Package substrate inductor having thermal interconnect structures | Hill Michael J.; Do Huong T.; Augustine Anne |
11359926 | Technologies for autonomous driving quality of service determination and communication | Galan-Oliveras Magdiel F.; Chattopadhyay Rita; Anandaraj Subramanian |
11360210 | Multi-mode multi-input multi-output (MIMO) radar sensors | Amadjikpe Arnaud L. |
11360512 | Electronic device fabric integration | Dabby Nadine L.; Oster Sasha N.; Aleksov Aleksandar; Lathrop Braxton; Fygenson Racquel L |
11360528 | Apparatus and methods for thermal management of electronic user devices based on user activity | Mishra Columbia; Ruiz Carin; Cao Helin; Soe Soethiha; Hermerding, II James; Singh Bijendra; Singh Navneet |
11360540 | Processor core energy management | Gendler Alexander |
11360543 | USB Type-C subsystem power management | Amireddy Sindhu; Bero Brent; Rangaraj Deepak; Maloney Patrick |
11360566 | Mechanism to provide visual feedback regarding computing system command gestures | Mongia Rajiv; Bhowmik Achintya; Yahiro Mark; Krieger Dana; Mangum Ed; Povieng Diana |
11360573 | Selectively displaced keys for input and output | Kotta Faizal A.; Narayanan Potty Vishal |
11360686 | Distributed copy engine | Surti Prasoonkumar; Mistry Nilay |
11360767 | Instructions and logic to perform floating point and integer operations for machine learning | Kaul Himanshu; Anders Mark A.; Mathew Sanu K.; Yao Anbang; Ray Joydeep; Tang Ping T.; Strickland Michael S.; Chen Xiaoming; Shpeisman Tatiana; Appu Abhishek R.; Koker Altug; Sinha Kamal; Vembu Balaji; Galoppo Von Borries Nicolas C.; Nurvitadhi Eriko; Barik Rajkishore; Lin Tsung-Han; Ranganathan Vasanth; Jahagirdar Sanjeev |
11360770 | Systems, methods, and apparatuses for zeroing a matrix | Valentine Robert; Adelman Menachem; Sperber Zeev; Charney Mark J.; Toll Bret L.; Corbal Jesus; Heinecke Alexander F.; Ziv Barukh; Ould-Ahmed-Vall Elmoustapha; Shwartsman Stanislav |
11360771 | Method and apparatus for data-ready memory operations | Brown William M.; Plotnikov Mikhail; Hughes Christopher J. |
11360808 | Efficient thread group scheduling | Ray Joydeep; Appu Abhishek R.; Koker Altug; Sinha Kamal; Vembu Balaji; Barik Rajkishore; Nurvitadhi Eriko; Galoppo Von Borries Nicolas; Lin Tsung-Han; Jahagirdar Sanjeev; Ranganathan Vasanth |
11360809 | Multithreaded processor core with hardware-assisted task scheduling | Griffin William Paul; Fryman Joshua; Howard Jason; Park Sang Phill; Pawlowski Robert; Abbott Michael; Cline Scott; Jain Samkit; More Ankit; Cave Vincent; Petrini Fabrizio; Ganev Ivan |
11360846 | Two die system on chip (SoC) for providing hardware fault tolerance (HFT) for a paired SoC | Boschi Gabriele; May Roger; Paoloni Gabriele; Deka Nabajit; Salardi Matteo |
11360870 | Functional safety compliant self-testing | Iacaruso Maurizio; Paoloni Gabriele |
11360874 | Registering clock driver controlled decision feedback equalizer training process | Morris Tonia G. |
11360876 | Apparatus and method for pausing processor trace for efficient analysis | Lemay Michael; Strong Beeman |
11360907 | Methods and apparatus to protect memory from buffer overflow and/or underflow | Shi Junjing; Long Qin; Gao Liming; Rothman Michael A.; Zimmer Vincent J. |
11360910 | Prevention of trust domain access using memory ownership bits in relation to cache lines | Chhabra Siddhartha; Shanbhogue Vedvyas; Sahita Ravi L. |
11360914 | Apparatus and method for memory management in a graphics processing environment | Cooray Niranjan L.; Appu Abhishek R.; Koker Altug; Ray Joydeep; Vembu Balaji; K Pattabhiraman; Puffer David; Cowperthwaite David J.; Sankaran Rajesh M.; Singh Satyeshwar; Kp Sameer; Shah Ankur N.; Tian Kun |
11360925 | Method and apparatus for host adaptation to a change of persona of a configurable integrated circuit die | Fender Joshua David; Kakaiya Utkarsh Y. |
11360933 | Graphics processing integrated circuit package | Koker Altug; Akhbari Farshad; Chen Feng; Kim Dukhwan; Srinivasa Narayan; Satish Nadathur Rajagopalan; Ma Liwei; Bottleson Jeremy; Nurvitadhi Eriko; Ray Joydeep; Tang Ping T.; Strickland Michael S.; Chen Xiaoming; Shpeisman Tatiana; Appu Abhishek R. |
11361092 | Contextual access of data | Infante-Lopez Gabriel G. |
11361093 | Data release control based on authentication and link protection | Harriman David J.; Schoinas Ioannis T.; Sood Kapil; Makaram Raghunandan; Chen Yu-Yuan |
11361133 | Method of reporting circuit performance for high-level synthesis | Denisenko Dmitry N. |
11361240 | Flux bias lines below qubit plane | Roberts Jeanette M.; Pillarisetty Ravi; Yoscovits Zachary R.; Clarke James S.; Michalak David J. |
11361420 | Apparatus and method for evaluating the quality of a 3D point cloud | Chen Jiansheng; Tong Xiaofeng; Li Wenlong; Ling Chen; Atzmoni Amir |
11361496 | Graphics processors and graphics processing units having dot product accumulate instruction for hybrid floating point format | Maiyuran Subramaniam; Marwaha Shubra; Garg Ashutosh; Pal Supratim; Parra Jorge; Gurram Chandra; George Varghese; Starkey Darin; Lueh Guei-Yuan |
11361498 | Method, apparatus, and computer program product for improved graphics performance | Hasselgren Jon N.; Munkberg Jacob J.; Clarberg Franz Petrik; Akenine-Moller Tomas G. |
11362082 | Implanted substrate contact for in-process charging control | Then Han Wui; Fischer Paul; Hafez Walid; Radosavljevic Marko; Dasgupta Sansaptak |
11362140 | Word line with air-gap for non-volatile memories | Majhi Prashant; Doyle Brian; Pillarisetty Ravi; Sharma Abhishek; Karpov Elijah V. |
11362172 | High aspect ratio non-planar capacitors formed via cavity fill | Radosavljevic Marko; Dasgupta Sansaptak; Then Han Wui |
11362188 | Field effect transistors with reduced electric field by thickening dielectric on the drain side | Basu Dipanjan; Ma Sean T.; Rachmady Willy; Kavalieros Jack T. |
11362189 | Stacked self-aligned transistors with single workfunction metal | Lilak Aaron; Rachmady Willy; Mehandru Rishabh; Dewey Gilbert; Weber Justin |
11362215 | Top-gate doped thin film transistor | Sharma Abhishek A.; Ma Sean T.; Le Van H.; Kavalieros Jack T.; Dewey Gilbert |
11362263 | Spin orbit torque (SOT) memory devices and methods of fabrication | Sato Noriyuki; Gosavi Tanay; Brockman Justin; Manipatruni Sasikanth; Oguz Kaan; O'Brien Kevin; Wiegand Christopher; Smith Angeline; Rahman Tofizur; Young Ian |
11362530 | Conical wireless charging station | Yang Songnan; Wong Hong W.; Zhou David; Kwong Wah Yiu; Liang Xiaoguo |
11362720 | Apparatus, system and method of beam refinement protocol (BRP) transmit (TX) sector sweep (SS) (TXSS) | Da Silva Claudio; Lomayev Artyom; Kosloff Jonathan; Cordeiro Carlos; Chen Cheng |
11362835 | Efficient post-quantum anonymous attestation with signature-based join protocol and unlimited signatures | Sastry Manoj; Misoczki Rafael |
11362904 | Technologies for network discovery | Ganguli Mrittika; Kumar Dinesh; Valiquette Robert; Li Yadong; Kumar Mohan |
11362966 | Method of ethernet auto-negotiation over one cable | Goh Chee-kiang; Traeber Mario |
11362968 | Technologies for dynamic batch size management | Wang Ren; Primorac Mia; Tai Tsung-Yuan C.; Edupuganti Saikrishna; Browne John J. |
11363423 | Budgeting and quota management system for data consumption | Baron Charles; Chang Sherry S. |
11363717 | Inductor array and support | Kong Jackson Chung Peng; Cheah Bok Eng; Balakrishnan Ranjul |
11366219 | Passive location measurement | Jiang Feng; Li Qinghua; Segev Jonathan; Chen Xiaogang; Stacey Robert |
11366431 | Multi-function antenna and input interface | Erentok Aycan; Baskaran Rajashree Raji |
11366506 | System, apparatus and method for globally aware reactive local power control in a processor | Dai Jianwei; Pawlowski David; Purandare Adwait; Varma Ankush |
11366511 | Distribution of tasks among asymmetric processing elements | Hum Herbert; Sprangle Eric; Carmean Doug; Kumar Rajesh |
11366588 | Tier-aware read and write | Guim Bernat Francesc; Popovici Nicolae O.; Giefer Charles A.; Mora Porta Gaspar; Willhalm Thomas |
11366636 | Method and apparatus for efficient binary and ternary support in fused multiply-add (FMA) circuits | Varma Aditya; Espig Michael |
11366647 | Automatic compiler dataflow optimization to enable pipelining of loops with local storage requirements | Deodhar Rajiv; Dmitriev Sergey; Woodworth Daniel; Krishnaiyer Rakesh; Glossop Kent; Sudarsanam Arvind |
11366663 | Systems and methods for performing 16-bit floating-point vector dot product instructions | Heinecke Alexander F.; Valentine Robert; Charney Mark J.; Sade Raanan; Adelman Menachem; Sperber Zeev; Gradstein Amit; Rubanovich Simon |
11366757 | File pre-fetch scheduling for cache memory to reduce latency | Fang Liang; Zhou Zhen |
11366773 | High bandwidth link layer for coherent messages | Agarwal Ishwar; Purohit Peeyush; Paliwal Nitish; Srinivasan Archana |
11366895 | Mitigating side-channel attacks using executable only memory (XOM) | Sahita Ravi; Zhang Mingwei |
11366906 | Domain-authenticated control of platform resources | Smith Ned M.; Grobman Steven L.; Owen Craig T. |
11366998 | Neuromorphic accelerator multitasking | Pugsley Seth; Akin Berkin |
11367223 | Region based processing | Kambhatla Srikanth; Parikh Kunjal; Wang Changliang; Smith Gary |
11367243 | Ray tracing apparatus and method for memory access and register operations | Benthin Carsten; Wald Ingo; Liktor Gabor; Guenther Johannes; Ould-Ahmed-Vall Elmoustapha |
11367452 | Adaptive bitrate coding for spatial audio streaming | Chen Fan; Qiu Bo; Woodruff Brian E.; Sankhagowit Peter; Lin Yunbiao; Tang Wenyi; Zhou Yongfa; Yang Jianwei; Zhu Jianfang; Balasubramanian Priya; Singhi Ashish; Wang Yating; Yang Yu Y; Turabi Areeba |
11367673 | Semiconductor package with hybrid through-silicon-vias | Lim Seok Ling; Cheah Bok Eng; Kong Jackson Chung Peng; Ong Jenny Shio Yin |
11367682 | Vias and gaps in semiconductor interconnects | Lin Kevin |
11367684 | Recessed metal interconnects to mitigate EPE-related via shorting | Mannebach Ehren; Lin Kevin; Vreeland Richard |
11367689 | Microelectronic assemblies with communication networks | Elsherbini Adel A.; Elshazly Amr; Chandrasekhar Arun; Liff Shawna M.; Swan Johanna M. |
11367707 | Semiconductor package or structure with dual-sided interposers and memory | Liff Shawna M.; Elsherbini Adel A.; Swan Johanna M.; Pasdast Gerald S. |
11367708 | Microelectronic devices designed with efficient partitioning of high frequency communication devices integrated on a package fabric | Nair Vijay K.; Dogiamis Georgios C.; Kamgaing Telesphor |
11367722 | Stacked nanowire transistor structure with different channel geometries for stress | Lilak Aaron; Cea Stephen; Dewey Gilbert; Rachmady Willy; Kotlyar Roza; Mehandru Rishabh; Ma Sean; Mannebach Ehren; Phan Anh; Huang Cheng-Ying |
11367749 | Spin orbit torque (SOT) memory devices and their methods of fabrication | Sato Noriyuki; Smith Angeline; Gosavi Tanay; Manipatruni Sasikanth; Oguz Kaan; O'Brien Kevin; Rahman Tofizur; Allen Gary; Sarwar Atm G.; Young Ian; Yoo Hui Jae; Wiegand Christopher; Buford Benjamin |
11367789 | Source/drain recess etch stop layers and bottom wide-gap cap for III-V MOSFETs | Huang Cheng-Ying; Rachmady Willy; Metz Matthew V.; Dewey Gilbert; Kavalieros Jack T.; Ma Sean T.; Kennel Harold |
11367796 | Gate-all-around integrated circuit structures having asymmetric source and drain contact structures | Guha Biswajeet; Kobrinsky Mauro J.; Ghani Tahir |
11367833 | Memory cells having increased structural stability | Aella Pavan Kumar Reddy; Yastrebenetsky Kolya; Honjo Masuji |
11367937 | Waveguides with active or passive repeaters for range extension | Dogiamis Georgios; Oster Sasha; Kamgaing Telesphor |
11368133 | Adaptive envelope tracking threshold | Camuffo Andrea; Pinarello Sandro |
11368158 | Methods for handling integrated circuit dies with defects | Subbareddy Dheeraj; Nalamalpu Ankireddy; Iyer Mahesh A. |
11368204 | Apparatus, system and method of beam refinement protocol (BRP) transmit (TX) sector sweep (SS) (TXSS) | Da Silva Claudio; Lomayev Artyom; Kosloff Jonathan; Cordeiro Carlos; Chen Cheng |
11368237 | Apparatus, system and method of communicating a PPDU with Golay sequences | Lomayev Artyom; Gagiev Iaroslav P.; Maltsev Alexander; Genossar Michael; Cordeiro Carlos |
11368532 | Group-based data transfer in machine-to-machine systems | Yang Shao-Wen; Yang Lei; Rangarajan Anand P.; Kesavan Vijay Sarathi; Guo Xingang |
11368669 | Generating stereoscopic light field panoramas using concentric viewing circles | Zhang Fan; Nestares Oscar |
11368850 | Data model visibility in IoT network implementations | Smith Ned M. |
11368946 | Channelization of vehicle-to-everything (V2X) networks | Sadeghi Bahareh; Kenney Thomas J.; Reshef Ehud; Cavalcanti Dave A.; Cariou Laurent; Rashid Mohammad Mamunur; Li Qinghua |
11368962 | Beam management with multi-transmission reception point multi-panel operation | Wang Guotong; Zhang Yushu; Davydov Alexei; Xiong Gang; Lee Wook Bong |
11372023 | Slip-plane MEMs probe for high-density and fine pitch interconnects | Walczyk Joe; Tadayon Pooya |
11372081 | Apparatus, system and method of leakage cancellation for multiple input multiple output (MIMO) radar | Lehne Mark A.; Ohev Zion Dan; Cohen Alon; Amadjikpe Arnaud |
11372464 | Adaptive parameterization for maximum current protection | Keceli Fuat; Ardanaz Frederico; Eastep Jonathan M.; Varma Ankush; Sistla Krishnakanth V. |
11372467 | System for power throttling | Abou-Alfotouh Ahmed; Kandula Phani Kumar; Hurd Linda L.; Samson Eric C.; Venkataraman Srikrishnan |
11372643 | Systems and methods for performing instructions to convert to 16-bit floating-point format | Heinecke Alexander F.; Valentine Robert; Charney Mark J.; Sade Raanan; Adelman Menachem; Sperber Zeev; Gradstein Amit; Rubanovich Simon |
11372674 | Method, apparatus and system for handling non-posted memory write transactions in a fabric | Adler Robert P.; De Gruijl Robert; Lakshmanamurthy Sridhar; Nagarajan Ramadass; Elardo Peter J. |
11372680 | RDMA (remote direct memory access) data transfer in a virtual environment | Magro William R.; Woodruff Robert J.; Xiong Jianxin |
11372684 | Technologies for hybrid field-programmable gate array application-specific integrated circuit code acceleration | Smith Ned M.; Wei Changzheng; Shen Songwu; Yang Ziye; Wang Junyuan; Li Weigang; Yu Wenqian |
11372711 | Apparatus and method for fault handling of an offload transaction | Ould-Ahmed-Vall ElMoustapha |
11372775 | Management of the untranslated to translated code steering logic in a dynamic binary translation based processor | Venkatasubramanian Girish; Agron Jason M.; Pereira Cristiano; Basu Roy Chowdhury Rangeen |
11372787 | Unified address space for multiple links | Kakaiya Utkarsh; Chitlur Nagabhushan; Sankaran Rajesh M.; Nair Mohan; Marolia Pratik M. |
11372972 | Side-channel exploit detection | Carlson Paul; Ghosh Rahuldeva; Patel Baiju; Chen Zhong |
11373013 | Technologies for filtering memory access transactions received from one or more I/O devices | Kida Luis; Zmudzinski Krystof; Lal Reshma; Pappachan Pradeep; Basak Abhishek; Trikalinou Anna |
11373088 | Machine learning accelerator mechanism | Bleiweiss Amit; Ramesh Anavai; Mishra Asit; Marr Deborah; Cook Jeffrey; Sridharan Srinivas; Nurvitadhi Eriko; Ould-Ahmed-Vall Elmoustapha; Mudigere Dheevatsa; Bhuiyan Mohammad Ashraf; Amin Md Faijul; Wang Wei; Srivastava Dhawal; Maheshwari Niharika |
11373099 | Artificial intelligence inference architecture with hardware acceleration | Guim Bernat Francesc; Smith Ned M. |
11373266 | Data parallelism and halo exchange for distributed machine learning | Das Dipankar; Vaidyanathan Karthikeyan; Sridharan Srinivas |
11373269 | Cache replacement mechanism | Koker Altug; Ray Joydeep; Appu Abhishek R.; Ranganathan Vasanth |
11373406 | Transmission, caching, and searching of video streams based on frame dependencies and content | Smith Ned M.; Zou Yi; Yang Shao-Wen; Shen Gang |
11373415 | Vehicle and method for avoiding a collision of a vehicle with one or more obstacles | Rider Tomer; Nabwani Ayoob; Yang Wenlong |
11373505 | Alert system for internet of things (IOT) devices | Brady John; Nolan Keith; Nolan Michael; Kelly Mark |
11373900 | Damascene plug and tab patterning with photobuckets | Lin Kevin; Bristol Robert L.; Schenker Richard E. |
11373950 | Advanced lithography and self-assembled devices | Schenker Richard E.; Bristol Robert L.; Lin Kevin L.; Gstrein Florian; Blackwell James M.; Krysak Marie; Chandhok Manish; Nyhus Paul A.; Wallace Charles H.; Ward Curtis W.; Sivakumar Swaminathan; Tan Elliot N. |
11373951 | Via structures having tapered profiles for embedded interconnect bridge substrates | Ecton Jeremy D.; Tanaka Hiroki; Ojeda Oscar; Roy Arnab; Parichehreh Vahidreza; Arana Leonel R.; Tan Chung Kwang; May Robert A. |
11373972 | Microelectronic structures including bridges | Karhade Omkar G.; Deshpande Nitin A.; Bhatia Mohit; Tripathi Anurag; Nakazawa Takeshi; Cho Steve |
11373974 | Electronic device packages and methods for maximizing electrical current to dies and minimizing bond finger size | Khalaf Bilal; Guo Mao |
11373987 | Device, method and system for providing a stacked arrangement of integrated circuit dies | Gomes Wilfred; Bohr Mark; Hinton Glenn J.; Kumar Rajesh |
11373995 | Group III-nitride antenna diode | Gossner Harald; Baumgartner Peter; Hodel Uwe; Siprak Domagoj; Leuschner Stephan; Geiger Richard; Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak |
11373999 | Deep trench via for three-dimensional integrated circuit | Wang Yih; Mehandru Rishabh; Kobrinsky Mauro J.; Ghani Tahir; Bohr Mark; Nabors Marni |
11374004 | Pedestal fin structure for stacked transistor integration | Lilak Aaron D.; Mehandru Rishabh; Phan Anh; Dewey Gilbert; Rachmady Willy; Cea Stephen M.; Hasan Sayed; Foley Kerryann M.; Morrow Patrick; Landon Colin D.; Mannebach Ehren |
11374024 | Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor | Lilak Aaron D.; Mehandru Rishabh; Dewey Gilbert; Rachmady Willy; Phan Anh |
11374056 | Selector devices | Karpov Elijah V.; Doyle Brian S.; Pillarisetty Ravi; Majhi Prashant; Sharma Abhishek A. |
11374100 | Source or drain structures with contact etch stop layer | Bomberger Cory; Mehandru Rishabh; Bowonder Anupama; Guha Biswajeet; Murthy Anand; Ghani Tahir |
11374163 | Spin orbit memory with multiferroic material | Gosavi Tanay; Lin Chia-Ching; Manipatruni Sasikanth; Nikonov Dmitri; Young Ian |
11374164 | Multi-layer spin orbit torque electrodes for perpendicular magnetic random access memory | Gosavi Tanay; Manipatruni Sasikanth; Lin Chia-Ching; Oguz Kaan; Wiegand Christopher; Smith Angeline; Sato Noriyuki; O'Brien Kevin; Buford Benjamin; Young Ian; Rahman Md Tofizur |
11374322 | Perpendicular end fire antennas | Asaf Omer; Dalmia Sidharth; Thai Trang; Hagn Josef; Perry Richard S.; Jensen Jonathan C.; Sover Raanan |
11374323 | Patch antennas stitched to systems in packages and methods of assembling same | Augustin Andreas; Koller Sonja; Waidhas Bernd; Seidemann Georg; Wolter Andreas; Stoeckl Stephan; Wagner Thomas; Hagn Josef |
11374557 | Radio design, control, and architecture | Jann Benjamin; Ravi Ashoke; Patnaik Satwik |
11374594 | Apparatus and method including neural network learning to detect and correct quantum errors | Hogaboam Justin; Srinivasa Narayan |
11374629 | Methods for providing channel state information and precoding information between a remote radio unit and a baseband unit | Yuan Fang |
11374776 | Adaptive dataflow transformation in edge computing environments | Guim Bernat Francesc; Doshi Kshitij Arun; Smith Ned M.; Verrall Timothy |
11374797 | Enhanced channel sounding | Doostnejad Roya; Fang Juan; Jiang Feng; Cariou Laurent; Cavalcanti Dave; Chen Xiaogang; Cox Timothy F.; Foerster Jeffrey; Huang Po-Kai; Li Qinghua; Perez-Ramirez Javier |
11374928 | Security service, commissioning, self-service, and area owner management | Runyon Jeff; Males Donald |
11374930 | Techniques for extending communications chain of trust to client applications | Shaliv Adi; Walker Jesse |
11374991 | Technologies for audiovisual communication using interestingness algorithms | Louchheim Sigal; Ben-Shalom Omer |
11375244 | Dynamic video encoding and view adaptation in wireless computing environments | Gao Jie; Tang Wenyi; Qiu Bo; Karacaoglu Ulun; Fleming Kristoffer; Lin Yunbiao; Zhou Yongfa; Frishman Yaniv; Hiranandani Manish; Zhang Xu; Marcovici Sharon Talmor; Yang Jianwei; Yang Yu; Wang Yating |
11375250 | Dynamic load balancing for video analytics pipelines | Zou Yi; Chowdhury Mohammad Ataur Rahman |
11375253 | Link bandwidth improvement techniques | Ansari Nausheen; Kabiry Ziv |
11375352 | Devices and methods for updating maps in autonomous driving systems in bandwidth constrained networks | Dorrance Richard; Alvarez Ignacio; Dasalukunte Deepak; Alam S M Iftekharul; Sharma Sridhar; Sivanesan Kathiravetpillai; Gonzalez Aguirre David Israel; Krishnan Ranganath; Jha Satish |
11375490 | Monitoring downlink control channels for unlicensed operation | Kwak Yongjun; Mondal Bishwarup; Lee Daewon; Kwon Hwan-Joon; Kundu Lopamudra; He Hong |
11375617 | Three dimensional foldable substrate with vertical side interface | Chuah Tin Poay; Cheah Bok Eng; Kong Jackson Chung Peng |
11375907 | Wearable electronic device for determining user health status | Magi Aleksander |
11378346 | Two-phase metallic alloys to facilitate thermal energy storage of a system on chip | Chang Je-Young |
11378915 | Real time holography using learned error feedback | Supikov Alexey; Huang Qiong; Azuma Ronald T. |
11378999 | Apparatus for generating synchronized clock signals, elec-tronic system, base station and mobile device | Wang Yu-Shan; Clara Martin; Gruber Daniel; Shin Hundo; Azadet Kameran |
11379016 | Methods and apparatus to operate closed-lid portable computers | Cooper Barnes; Magi Aleksander; Kumar Arvind; Raffa Giuseppe; March Wendy; Bartscherer Marko; Lazutkina Irina; Kong Duck Young; Shi Meng; Paranjape Vivek; Gomathi Nayagam Vinod; Anderson Glen J. |
11379029 | Usage scenario based monitoring and adjustment | Wang Zhongsheng; Hermerding, II James |
11379214 | Runtime firmware activation for memory devices | Nachimuthu Murugasamy K.; Kumar Mohan J.; Datta Shamanna M. |
11379229 | Apparatus and method for adaptable and efficient lane-wise tensor processing | Pearce Jonathan; Sheffield David; Srinivasan Srikanth; Cook Jeffrey; Marr Debbie; Davare Abhijit; Mishra Asit; Burns Steven; Kirkpatrick Desmond A.; Ayupov Andrey; Sorokin Anton Alexandrovich; Nurvitadhi Eriko |
11379235 | Intelligent thread dispatch and vectorization of atomic operations | Chen Feng; Srinivasa Narayan; Appu Abhishek R.; Koker Altug; Sinha Kamal; Vembu Balaji; Ray Joydeep; Galoppo Von Borries Nicolas C.; Surti Prasoonkumar; Ashbaugh Ben J.; Jahagirdar Sanjeev; Ranganathan Vasanth |
11379236 | Coherency tracking apparatus and method for an attached coprocessor or accelerator | Marolia Pratik; Sankaran Rajesh |
11379242 | Methods and apparatus for using load and store addresses to resolve memory dependencies | Hagiescu Miriste Andrei Mihai; Sinclair Byron; Garvey Joseph |
11379264 | Advanced cloud architectures for power outage mitigation and flexible resource use | Guim Bernat Francesc; Pastor Beneyto Felipe; Doshi Kshitij A.; Verrall Timothy; Prabhakaran Suraj |
11379342 | Cache monitoring | Wang Ren; Li Bin; Herdrich Andrew J.; Tai Tsung-Yuan C.; Huggahalli Ramakrishna |
11379592 | Write-back invalidate by key identifier | Shanbhogue Vedvyas; Van Doren Stephen; Neiger Gilbert; Huntley Barry E.; Santoni Amy L.; Makaram Raghunandan; Khosravi Hormuzd; Chhabra Siddhartha |
11379645 | Integrated circuit with peek and poke protection circuitry for a multi-tenant usage model | Weber Scott; Atsatt Sean R.; Goldman David |
11379647 | Multilayer optical proximity correction (OPC) model for OPC correction | Ma Hyungjin; Toepperwein Gregory; Laachi Nabil; Wu Chihhui; Lal Vasudev |
11380086 | Point cloud based 3D semantic segmentation | Yu Kun; Hao Yan; Li Lu; Zhu Zhiyong |
11380472 | High-permeability magnetic-dielectric film-based inductors | Pietambaram Srinivas V.; Darmawikarta Kristof; Manepalli Rahul N. |
11380592 | Techniques and configurations to reduce transistor gate short defects | Govindaraju Sridhar; Prince Matthew J. |
11380609 | Microelectronic assemblies having conductive structures with different thicknesses on a core substrate | Xu Cheng; Sun Jiwei; Park Ji Yong; Lee Kyu Oh; Deng Yikang; Zhang Zhichao; Cheng Liwei; Brown Andrew James |
11380617 | Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches | Jezewski Christopher J.; Chawla Jasmeet S. |
11380623 | Shield to protect vias from electromagnetic interference | Shekhar Sameer; Kuan Chin Lee; Jain Amit Kumar |
11380624 | Electromagnetic interference shield created on package using high throughput additive manufacturing | Eid Feras; Braunisch Henning; Liff Shawna M.; Dogiamis Georgios C.; Swan Johanna M. |
11380643 | Rounded metal trace corner for stress reduction | Kim Dae-Woo; Jain Ajay; Patel Neha M.; Hendricks Rodrick J.; Sharan Sujit |
11380652 | Multi-level distributed clamps | Choi Beomseok; Radhakrishnan Kaladhar; Lambert William; Hill Michael; Bharath Krishna |
11380679 | FET capacitor circuit architectures for tunable load and input matching | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak; Fischer Paul; Hafez Walid; McKubre Nicholas |
11380683 | Fin end plug structures for advanced integrated circuit structure fabrication | Ho Byron; Huang Chun-Kuo; Thompson Erica; Luce Jeanne; Hattendorf Michael L.; Auth Christopher P.; Mays Ebony L. |
11380684 | Stacked transistor architecture including nanowire or nanoribbon thin film transistors | Dewey Gilbert; Lilak Aaron; Huang Cheng-Ying; Kavalieros Jack; Rachmady Willy; Phan Anh; Mannebach Ehren; Sharma Abhishek; Morrow Patrick; Yoo Hui Jae |
11380755 | Compound capacitor structures | Siprak Domagoj; Fritzin Jonas; Anantha Krishnan Sundaravadanan |
11380797 | Thin film core-shell fin and nanowire transistors | Dewey Gilbert; Le Van H.; Sharma Abhishek A.; Shivaraman Shriram; Pillarisetty Ravi; Ghani Tahir; Kavalieros Jack T. |
11380806 | Variable capacitance device with multiple two-dimensional electron gas (2DEG) layers | Gossner Harald; Baumgartner Peter; Hodel Uwe; Siprak Domagoj; Leuschner Stephan; Geiger Richard; Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak |
11380838 | Magnetic memory devices with layered electrodes and methods of fabrication | Brockman Justin; Puls Conor; Wu Stephen; Wiegand Christopher; Rahman Tofizur; Ouellette Daniel; Smith Angeline; Smith Andrew; Quintero Pedro; Alzate-Vinasco Juan; Golonzka Oleg |
11380979 | Antenna modules and communication devices | Dalmia Sidharth; Jensen Jonathan; Inac Ozgur; Thai Trang; Lambert William James; Jann Benjamin |
11381346 | Prioritization of services for control and data transmission for new radio systems | Islam Toufiqul; Chatterjee Debdeep; Panteleev Sergey; Hamidi-Sepehr Fatemeh; Xiong Gang; Zhang Yujian |
11381350 | Communication device and method for receiving data | Olivella Joan Anton; Huebner Axel; Ninacs Tudor |
11381515 | On-demand packet queuing in a network device | Srinivasan Arvind; Southworth Robert; Naeimi Helia A. |
11381636 | Network function execution in information centric networks | Alam S M Iftekharul; Karpenko Stepan; Jha Satish Chandra; Zhang Yi; Chen Kuilin Clark; Sivanesan Kathiravetpillai; Arrobo Vidal Gabriel; Srikanteswara Srikathyayani; Moustafa Hassnaa; Schooler Eve M.; Schoenberg Sebastian; Nallampatti Ekambaram Venkatesan; Balakrishnan Ravikumar |
11381646 | Remote management for a computing device | Kutch Patrick G. |
11381739 | Panoramic virtual reality framework providing a dynamic user experience | Yeung Fai; Yao Ling; Jayaram Sankar; Jayaram Uma; Kale Ritesh; Kim Ok Joon; Carrigan Shaun |
11382071 | Management of preferred channel allocations between wireless communication bands | Mueck Markus Dominik; Sabella Dario |
11383144 | Positional analysis using computer vision sensor synchronization | Li Qiang Eric; Li Wenlong; Jiao Shaohui; Fang Yikai; Shen Xiaolu; Zhang Lidan; Tong Xiaofeng; Zeng Fucen |
11385648 | Inclement weather condition avoidance | Pohl Daniel; Fox Maik Sven; Tanriover Cagri |
11385689 | Integrated electronic card front EMI cage and latch for data storage system | Khan Jawad B.; Morning-Smith Andrew Warrack; Hung John; Nelson Michael D.; Jahne Craig J. |
11385704 | Adjusting a throttling threshold in a processor | Gendler Alexander; Yanover Igor; Berger Gavri; Hachamo Edo; Korem Elkana; Shomroni Hanan; Kaufman Daniela; Makovsky Lev; Granot Haim |
11385724 | Techniques for notebook hinge sensors | Okuley James M. |
11385793 | Methods and apparatus to manage workload memory allocation | Greenfield Michael R.; Golliver Roger |
11385795 | Method and apparatus to enable individual non volatile memory express (NVMe) input/output (IO) queues on differing network addresses of an NVMe controller | Freyensee James P.; Cayton Phil C.; Minturn Dave B.; Sternberg Jay E. |
11385873 | Control speculation in dataflow graphs | ChoFleming Kermin |
11385926 | Application and system fast launch by virtual address area container | Xie Chao; Bao Jia; Shi Mingwei; Zhang Yifan; Shi Qiming; Hu Beiyuan; Li Tianyou; Qin Xiaokang |
11385952 | Apparatus and method for scalable error detection and reporting | Vembu Balaji; White Bryan; Shah Ankur; Ramadoss Murali; Puffer David; Koker Altug; Navale Aditya; Natu Mahesh |
11386013 | Dynamic cache control mechanism | Shetty Sudarshanram; Cheung Ping Hang; Anantaraman Aravindh; Schluessler Travis |
11386017 | Technologies for secure authentication and programming of accelerator devices | Scarlata Vincent; Lal Reshma; Trivedi Alpa Narendra; Innis Eric |
11386033 | Extending multichip package link off package | Das Sharma Debendra; Wu Zuoguo; Wagh Mahesh; Mazumder Mohiuddin M.; Iyer Venkatraman; Morriss Jeff C. |
11386157 | Methods and apparatus to facilitate generation of database queries | Remis Luis Carlos Maria; Alvarez Ignacio Javier; Chen Li; Felip Leon Javier; Gonzalez Aguirre David Israel; Gottschlich Justin; Turek Javier Sebastian |
11386204 | Agile reconfigurable approach for real-time replacement of on-chip safety-critical modules | Juliato Marcio; Sastry Manoj; Ahmed Shabbir; Gutierrez Christopher; Wang Qian; Lesi Vuk |
11386256 | Systems and methods for determining a configuration for a microarchitecture | Turek Javier Sebastián; Felip Leon Javier; Heinecke Alexander; Georganas Evangelos; Remis Luis Carlos Maria; Alvarez Ignacio Javier; Gonzalez Aguirre David Israel; Zhou Shengtian; Gottschlich Justin |
11386293 | Training image signal processors using intermediate loss functions | Sutic Aleksandar; Zivkovic Zoran; Michael Gilad |
11386420 | Contextual authentication of an electronic wallet | Nolan Michael; Carboni Davide; Smith Ned M. |
11386519 | Container access to graphics processing unit resources | Tian Kun; Dong Yao Zu; Lv Zhiyuan |
11386521 | Enabling product SKUS based on chiplet configurations | Koker Altug; Cheney Lance; Finley Eric; George Varghese; Jahagirdar Sanjeev; Mastronarde Josh; Matam Naveen; Rajwani Iqbal; Striramassarma Lakshminarayanan; Teshome Melaku; Vemulapalli Vikranth; Xavier Binoj |
11386777 | Obstacle localization based on probabilistic consensus | Gonzalez Aguirre David; Felip Leon Javier; Meng Rufeng; Aerrabotu Naveen; Shaik Razia |
11386951 | Multi-level magnetic tunnel junction (MTJ) devices including mobile magnetic skyrmions or ferromagnetic domains | O'Brien Kevin; Doyle Brian; Oguz Kaan; Sato Noriyuki; Kuo Charles; Doczy Mark |
11387161 | Package with thermal interface material retaining structures on die and heat spreader | Eid Feras |
11387163 | Scalable debris-free socket loading mechanism | Larson Andrew; Sahu Bijoyraj; Jahne Craig J.; Buddrius Eric W.; Miele Ralph V. |
11387175 | Interposer package-on-package (PoP) with solder array thermal contacts | Mallik Debendra; Ganesan Sanka; Liu Pilin; Liff Shawna; Chavali Sri Chaitra; Gaan Sandeep; Yao Jimin; Uppal Aastha |
11387187 | Embedded very high density (VHD) layer | Collins Andrew Paul; Xie Jianyong; Sharan Sujit; Braunisch Henning; Aleksov Aleksandar |
11387188 | High density interconnect structures configured for manufacturing and performance | Braunisch Henning; Aygun Kemal; Jain Ajay; Qian Zhiguo |
11387198 | Device, system and method for providing inductor structures | Gomes Wilfred; Bohr Mark; Ingerly Doug; Kumar Rajesh; Krishnamurthy Harish; Desai Nachiket Venkappayya |
11387200 | Microelectronic devices with high frequency communication modules having compound semiconductor devices integrated on a package fabric | Dogiamis Georgios C.; Kamgaing Telesphor; Falcon Javier A.; Tomita Yoshihiro; Nair Vijay K. |
11387224 | Phase change material in substrate cavity | Xu Cheng; Wan Zhimin; Deng Yikang; Zhao Junnan; Zhang Chong; Jha Chandra Mohan M; Wang Ying; Lee Kyu-oh |
11387238 | Non-silicon N-Type and P-Type stacked transistors for integrated circuit devices | Dewey Gilbert; Morrow Patrick; Pillarisetty Ravi; Mehandru Rishabh; Huang Cheng-ying; Rachmady Willy; Lilak Aaron |
11387320 | Transistors with high concentration of germanium | Murthy Anand S.; Glass Glenn A.; Ghani Tahir; Pillarisetty Ravi; Mukherjee Niloy; Kavalieros Jack T.; Kotlyar Roza; Rachmady Willy; Liu Mark Y. |
11387324 | Connectivity in quantum dot devices | Holmes Adam; Johri Sonika; Matsuura Anne Y.; Pillarisetty Ravi; Watson Thomas Francis; Clarke James S. |
11387327 | Silicide for group III-Nitride devices and methods of fabrication | Dasgupta Sansaptak; Radosavljevic Marko; Then Han Wui; Fischer Paul; Hafez Walid |
11387328 | III-N tunnel device architectures and high frequency mixers employing a III-N tunnel device | Ramaswamy Rahul; Hafez Walid M.; Radosavljevic Marko; Dasgupta Sansaptak; Then Han Wui; Nidhi Nidhi |
11387329 | Tri-gate architecture multi-nanowire confined transistor | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak; Fischer Paul; Hafez Walid |
11387366 | Encapsulation layers of thin film transistors | Sharma Abhishek A.; Le Van H.; Kavalieros Jack T.; Ghani Tahir; Dewey Gilbert; Shivaraman Shriram; Meric Inanc; Chu-Kung Benjamin |
11387399 | Quantum dot devices with back gates | Roberts Jeanette M.; Pillarisetty Ravi; Michalak David J.; Yoscovits Zachary R.; Clarke James S.; Le Van H. |
11387404 | Magnetoelectric spin orbit logic based minority gate | Liu Huichu; Karnik Tanay; Manipatruni Sasikanth; Morris Daniel; Vaidyanathan Kaushik; Young Ian |
11387677 | Non-contact power charging system and control method thereof based on foreign substance detection | Jung Chun-Kil |
11387815 | Apparatus and method for improving lock time | Li William; Nasroullahi Mohsen; Nguyen Khoa |
11387841 | Apparatus and method for interpolating between a first signal and a second signal | Degani Ofir; Banin Rotem; Ben-Bassat Assaf; Khamaisi Bassam; Asa Gil |
11387852 | Time encoded data communication protocol, apparatus and method for generating and receiving a data signal | Banin Elan; Mann Eytan; Banin Rotem; Gernizky Ronen; Degani Ofir; Kushnir Igal; Porat Shahar; Rubin Amir; Volokitin Vladimir; Kashani Elinor; Felsenstein Dmitry; Eshkoli Ayal; Davidson Tai; Ooi Eng Hun; Tsfati Yossi; Shimon Ran |
11387989 | Range constrained device configuration | Agerstam Mats Gustav; Vallabhu Venkata R. |
11388053 | Programmable protocol parser for NIC classification and queue assignments | Gasparakis Iosif; Chayat Ronen; Fastabend John |
11388054 | Modular I/O configurations for edge computing using disaggregated chiplets | Guim Bernat Francesc; Doshi Kshitij Arun; Smith Ned M.; Verrall Timothy; Qureshi Uzair |
11388217 | Edge or fog gateway assisted out-of-band remote management for managed client devices | Chew Yen Hsiang |
11388272 | Technologies for network packet processing between cloud and telecommunications networks | Sukhomlinov Vadim; Doshi Kshitij; Melik-Adamyan Areg |
11388324 | Camera device, base unit, computation device, and corresponding methods and computer programs | Okuley James |
11388344 | Camera tilting mechanism for displays with corner chamfers | Ku Jeff; Chen Tom; Hung Vincent |
11388381 | Suggested viewport indication for panoramic video | Boyce Jill M. |
11388382 | Suggested viewport indication for panoramic video | Boyce Jill M. |
11388426 | Decoder for playing big frames | Sun Min Zhi; Lou Zhan |
11388475 | Methods and systems for dynamic media content output for mobile devices | Prakash Gyan; Poornachandran Rajesh; Hernacki Brian J.; Murphy Kaitlin; Wouhaybi Rita H. |
11388598 | Recover from vehicle security breach via vehicle to anything communication | Yang Liuyang; Liu Xiruo; Sastry Manoj; Juliato Marcio; Ahmed Shabbir; Gutierrez Christopher |
11388638 | Signaling design of enhanced handover support for drones in a cellular network | Yeh Shu-ping; Bai Jingwen; Xue Feng; Yiu Candy |
11390250 | Multi-modal context based vehicle management | Munafo Tamir D.; Shiryan Lital; Barcohen Yuli; Rao Varada Tarun; Sindia Suraj |
11391581 | Technologies for route navigation sharing in a community cloud | Ould-Ahmed-Vall Elmoustapha; Cheruvu Sunil K. |
11391814 | Software defined radar architectures | Cohen Alon; Kahana Yaron; Oster Arie; Tsfati Yossi |
11392187 | Enhanced power management for support of priority system events | Abozaed Muhammad; Gorbatov Eugene; Khanna Gaurav; Ananthakrishnan Avinash N. |
11392209 | Interaction with a computing device via movement of a portion of a user interface | Govindapillai Vinod; Rider Tomer |
11392290 | Touch control surfaces for electronic user devices and related methods | Zhai Tongyan; Potluri Srikanth; Worrell Devon |
11392298 | Techniques to control an insertion ratio for a cache | Wang Yipeng; Wang Ren; Gobriel Sameh; Tai Tsung-Yuan C. |
11392379 | Instructions for vector multiplication of signed words with rounding | Madduri Venkateswara R.; Murray Carl; Ould-Ahmed-Vall Elmoustapha; Charney Mark J.; Valentine Robert; Corbal Jesus |
11392380 | Apparatuses, methods, and systems to precisely monitor memory store accesses | Yasin Ahmad; Sade Raanan; Zur Liron; Yanover Igor; Nuzman Joseph |
11392381 | Systems and methods for performing instructions to transform matrices into row-interleaved format | Sade Raanan; Valentine Robert; Toll Bret; Hughes Christopher J.; Heinecke Alexander F.; Ould-Ahmed-Vall Elmoustapha; Charney Mark J. |
11392425 | Technologies for providing a split memory pool for full rack connectivity | Schmisseur Mark A.; Gorius Aaron |
11392491 | Hardware-assisted paging mechanisms | Firoozshahian Amin; Azizi Omid; Egbert Chandan; Hansen David; Kleen Andreas; Maddury Mahesh; Madhav Mahesh; Solomatnikov Alexandre; Stevenson John Peter |
11392492 | Memory management apparatus and method for compartmentalization using linear address metadata | Gupta Deepak; Zhang Mingwei; Sahita Ravi; Shanbhogue Vedvyas; Lemay Michael; Durham David M. |
11392494 | Technologies for performant column read operations on clustered data in a dimm architecture | Khan Jawad; Chauhan Chetan; Sundaram Rajesh; Dongaonkar Sourabh; Guliani Sandeep; Sengupta Dipanjan; Tepper Mariano |
11392500 | No-locality hint vector memory access processors, methods, systems, and instructions | Hughes Christopher J. |
11392502 | Graphics memory extended with nonvolatile memory | Zhu Jianfang; Ferreira Cristiano J.; Qiu Bo; Nandyal Lakshman Ajit Krisshna; Talpallikar Nikhil; Gandiga Shivakumar Deepak; Guttridge Brandt M.; Pallister Kim; Soqui Frank J.; Srivatsa Anand; Schluessler Travis T.; Appu Abhishek R.; Shah Ankur N.; Ray Joydeep; Koker Altug; Kennedy Jonathan |
11392503 | Memory tagging apparatus and method | Gabor Ron; Sade Raanan; Yanover Igor; Zaltsman Assaf; Stark Tomer |
11392506 | Apparatus and method for secure memory access using trust domains | Shanbhogue Vedvyas; Sahita Ravi; Sankaran Rajesh; Chhabra Siddhartha; Basak Abhishek; Zmudzinski Krystof; Vakharwala Rupin |
11392507 | Supporting secure memory intent | Zmudzinski Krystof C.; Chhabra Siddhartha; Savagaonkar Uday R.; Johnson Simon P.; Leslie-Hurd Rebekah M.; McKeen Francis X.; Neiger Gilbert; Makaram Raghunandan; Rozas Carlos V.; Santoni Amy L.; Scarlata Vincent R.; Shanbhogue Vedvyas; Alexandrovich Ilya; Anati Ittai; Smith Wesley H.; Goldsmith Michael |
11392511 | Secure address translation services using a permission table | Koufaty David; Sankaran Rajesh; Trikalinou Anna; Vakharwala Rupin |
11392512 | USB method and apparatus in a virtualization environment with multi-VM | Regupathy Rajaram; Ismail Abdul R. |
11392532 | Adjustable power delivery scheme for universal serial bus | Trethewey James R. |
11392698 | Active side-channel attack prevention | Shen-Orr Chaim; Chaikin Baruch; Yasin Ahmad; Elbaum Reuven |
11392703 | Systems, apparatuses, and methods for platform security | Ghetie Sergiu D; Upasani Neeraj S.; Dalvi Sagar V.; Turley David P.; Guillory Jeanne; Chubb Mark D.; Wishman Allen R.; Shahidzadeh Shahrokh |
11392709 | Automatically enhancing privacy in live video streaming | Varerkar Mayuresh; Das Barnan; Sharma Archie; Cilingir Gokcen; Biswal Narayan; Daniels Melanie |
11393065 | Dynamic allocation of cache based on instantaneous bandwidth consumption at computing devices | Veernapu Kiran C.; Tameem Mohammed; Koker Altug; Appu Abhishek R. |
11393131 | Smart compression/decompression schemes for efficiency and superior results | Appu Abhishek R.; Veernapu Kiran C.; Surti Prasoonkumar; Ray Joydeep; Koker Altug; Liskay Eric G. |
11393160 | Deep geometric model fitting | Ranftl Rene; Koltun Vladlen |
11393173 | Mobile augmented reality system | Wu Yi; Takacs Gabriel; El Choubassi Maha; Kozintsev Igor V. |
11393211 | Hybrid graphics processor-field programmable gate array system | Varerkar Mayuresh M.; Das Barnan; Biswal Narayan; Baran Stanley J.; Cilingir Gokcen; Shah Nilesh V.; Sharma Archie; Abdelhak Sherine; Godse Sachin; Akhbari Farshad; Srinivasa Narayan; Koker Altug; Satish Nadathur Rajagopalan; Kim Dukhwan; Chen Feng; Appu Abhishek R.; Ray Joydeep; Tang Ping T.; Strickland Michael S.; Chen Xiaoming; Yao Anbang; Shpeisman Tatiana; Ranganathan Vasanth; Jahagirdar Sanjeev |
11393428 | Method and system of wireless data transmission for virtual or augmented reality head mounted displays | Kaine Greg D. |
11393464 | Natural machine conversing method and apparatus | Danielescu Lavinia A.; Nikkila Shawn C.; Firby Robert J.; Hockey Beth Ann |
11393515 | Transition metal dichalcogenide based spin orbit torque memory device | Lin Chia-Ching; Manipatruni Sasikanth; Gosavi Tanay; Nikonov Dmitri; Buford Benjamin; Oguz Kaan; Plombon John J.; Young Ian A. |
11393526 | Thin film based 1T-1R cell with resistive random access memory below a bitline | Pillarisetty Ravi; Karpov Elijah V.; Sharma Abhishek A.; Majhi Prashant; Doyle Brian S. |
11393722 | Isolation wall stressor structures to improve channel stress and their methods of fabrication | Lilak Aaron D.; Jezewski Christopher J.; Rachmady Willy; Mehandru Rishabh; Dewey Gilbert; Phan Anh |
11393741 | Micro through-silicon via for transistor density scaling | Cheah Bok Eng; Chee Choong Kooi; Kong Jackson Chung Peng; Lee Wai Ling; Tan Tat Hin |
11393745 | Semiconductor packages with embedded interconnects | Lee Kyu Oh; Seneviratne Dilan; Eluri Ravindranadh T |
11393751 | Package-integrated multi-turn coil embedded in a package magnetic core | Do Huong; Radhakrishnan Kaladhar; Bharath Krishna; Deng Yikang; Alur Amruthavalli P. |
11393754 | Contact over active gate structures with etch stop layers for advanced integrated circuit structure fabrication | Madhavan Atul; Kybert Nicholas J.; Haran Mohit K.; Kothari Hiten |
11393758 | Power delivery for embedded interconnect bridge devices and methods | Cheah Bok Eng; Kong Jackson Chung Peng; Foo Loke Yip; Lee Wai Ling |
11393760 | Floating-bridge interconnects and methods of assembling same | Koh Boon Ping; Goh Eng Huat; Sir Jiun Hann; Yong Khang Choong; Lim Min Suet; Song Wil Choon |
11393762 | Formation of tall metal pillars using multiple photoresist layers | Chavali Sri Chaitra J.; Cheng Liwei; Alur Siddharth K.; Li Sheng |
11393766 | Multi-chip package with high density interconnects | Aleksov Aleksandar; Elsherbini Adel A.; Darmawikarta Kristof; May Robert A.; Boyapati Sri Ranga Sai |
11393777 | Microelectronic assemblies | Elsherbini Adel A.; Morrow Patrick; Braunisch Henning; Jun Kimin; Mueller Brennen; Liff Shawna M.; Swan Johanna M.; Fischer Paul B. |
11393788 | Integrated circuit package with glass spacer | Guo Mao; Kim Hyoung Il; She Yong; Gogineni Sireesha |
11393818 | Stacked transistors with Si PMOS and high mobility thin film transistor NMOS | Dewey Gilbert; Pillarisetty Ravi; Sharma Abhishek A.; Lilak Aaron D.; Rachmady Willy; Mehandru Rishabh; Jun Kimin; Phan Anh; Yoo Hui Jae; Morrow Patrick; Huang Cheng-Ying |
11393873 | Approaches for embedding spin hall MTJ devices into a logic processor and the resulting structures | Lee Kevin J.; Wang Yih |
11393874 | Independently scaling selector and memory in memory cell | Doyle Brian S.; Sharma Abhishek A.; Pillarisetty Ravi; Karpov Elijah V.; Majhi Prashant |
11393927 | Memory cells based on thin-film transistors | Lajoie Travis W.; Sharma Abhishek; Le Van H.; Ku Chieh-Jen; Wang Pei-Hua; Kavalieros Jack T.; Sell Bernhard; Ghani Tahir; Alzate Vinasco Juan |
11393934 | FinFET based capacitors and resistors and related apparatuses, systems, and methods | Kar Ayan; Phoa Kinyip; Sandford Justin S.; Wan Junjun; Ahsan Akm A.; Paulson Leif R.; Sell Bernhard |
11394094 | Waveguide connector having a curved array of waveguides configured to connect a package to excitation elements | Kamgaing Telesphor; Oster Sasha; Dogiamis Georgios; Elsherbini Adel; Liff Shawna; Aleksov Aleksandar; Swan Johanna; Rawlings Brandon |
11394127 | MM-Wave multiple-input multiple-output antenna system with polarization diversity | Pan Helen K. |
11394439 | Intelligent distributed relay system | Lomayev Artyom; Sarjoui Fatemeh Fazel; Pudeyev Andrey; Maltsev Alexander; Sadri Ali |
11394500 | Contention window size adjustment for wideband operation in new radio—unlicensed | Talarico Salvatore; Xiong Gang; Li Yingyang; Kwak Yongjun; Aldana Carlos H. |
11394531 | Overhead reduction for link protection | Chhabra Siddhartha; Shanbhogue Vedvyas |
11394565 | Asymmetric device attestation using physically unclonable functions | Dewan Prashant |
11394610 | Programmable protocol parser for NIC classification and queue assignments | Gasparakis Iosif; Chayat Ronen; Fastabend John |
11394611 | Programmable protocol parser for NIC classification and queue assignments | Gasparakis Iosif; Chayat Ronen; Fastabend John |
11394649 | Non-random flowlet-based routing | Muntz Gary |
11394666 | Scalable communication with a packet processing unit | Jain Anjali S.; Skidmore Donald; Sarangam Parthasarathy; Hay Joshua A.; Chayat Ronen; Chilikin Andrey |
11394775 | Mechanism for facilitating dynamic storage management for mobile computing devices | Mahankali Sridhar; Ahmed Suhail; Wouhaybi Rita H.; Brougham Brian D. |
11395185 | Extreme high throughput signaling structure | Chen Xiaogang; Li Qinghua; Kenney Thomas J.; Jiang Feng; Cariou Laurent; Avital Ziv; Kojokaro Chen; Stacey Robert |
11395213 | Systems and methods for implementing multiple band service discovery | Cordeiro Carlos |
11395265 | Multi-link acknowledgments in multi-link devices | Cariou Laurent; Das Dibakar; Jiang Feng; Fang Juan; Akhmetov Dmitry |
11395352 | Discovery and establishment of communication groups for wireless vehicular communications | Cavalcanti Dave; Pinheiro Ana Lucia |
11395402 | High-density dual-embedded microstrip interconnects | Sutono Albert |
11397464 | Context aware selective backlighting techniques | Sharma Dhaval V.; Rai Nivruti; Srivastava Durgesh; Kumar Shobhit |
11397585 | Scheduling of threads for execution utilizing load balancing of thread groups | Vembu Balaji; Appu Abhishek R.; Ray Joydeep; Koker Altug |
11397653 | Technologies for fast recovery of distributed storage systems on disaggregated storage | Zou Yi; Raghunath Arun; Gohad Tushar; Reddy Anjaneya Reddy Chagam; Sen Sujoy |
11397692 | Low overhead integrity protection with high availability for trust domains | Chhabra Siddhartha; Agarwal Rajat; Patel Baiju; Yap Kirk |
11397701 | Retimer mechanisms for in-band link management | Das Sharma Debendra |
11398006 | Pre-pass surface analysis to achieve adaptive anti-aliasing modes | Appu Abhishek R.; Ray Joydeep; Doyle Peter L.; Maiyuran Subramaniam; Burke Devan; Laws Philip R.; Ould-Ahmed-Vall ElMoustapha; Koker Altug |
11398068 | Speculative execution of hit and intersection shaders on programmable ray tracing architectures | Liktor Gabor; Vaidyanathan Karthik; Amstutz Jefferson; Kuwahara Atsuo; Doyle Michael; Schluessler Travis |
11398069 | Temporal data structures in a ray tracing architecture | Woop Sven; Afra Attila; Benthin Carsten; Wald Ingo; Guenther Johannes |
11398194 | Facilitating chromatic adaptation of display contents at computing devices based on chromatic monitoring of environmental light | Comps Cristophe; Bertrand Patrice; Lancerica Laurent |
11398217 | Systems and methods for providing non-lexical cues in synthesized speech | Christian Jessica M.; Graff Peter; Nakatsu Crystal A.; Hockey Beth Ann |
11398414 | Sloped metal features for cooling hotspots in stacked-die packages | Wan Zhimin; Chiu Chia-Pin; Tadayon Pooya; Walczyk Joe F.; Jha Chandra Mohan; Tang Weihua; Kothari Shrenik; Devasenathipathy Shankar |
11398415 | Stacked through-silicon vias for multi-device packages | Cheah Bok Eng; Chee Choong Kooi; Kong Jackson Chung Peng; Tan Tat Hin; Lee Wai Ling |
11398428 | Multifunctional molecules for selective polymer formation on conductive surfaces and structures resulting therefrom | Han Eungnak; Mahdi Tayseer; Hourani Rami; Singh Gurpreet; Gstrein Florian |
11398474 | Neighboring gate-all-around integrated circuit structures having disjoined epitaxial source or drain regions | Guler Leonard P.; Guha Biswajeet; Ghani Tahir; Sivakumar Swaminathan |
11398478 | Semiconductor nanowire device having (111)-plane channel sidewalls | Weber Cory E.; Kennel Harold W.; Rachmady Willy; Dewey Gilbert |
11398479 | Heterogeneous Ge/III-V CMOS transistor structures | Rachmady Willy; Sharma Abhishek A.; Pillarisetty Ravi; Morrow Patrick; Mehandru Rishabh; Lilak Aaron D.; Dewey Gilbert; Huang Cheng-Ying |
11398545 | Single-mask, high-q performance metal-insulator-metal capacitor (MIMCAP) | Lin Kevin; Then Han Wui |
11398560 | Contact electrodes and dielectric structures for thin film transistors | Dewey Gilbert; Le Van H.; Sharma Abhishek; Kavalieros Jack T.; Ma Sean; Sung Seung Hoon; Haratipour Nazila; Ghani Tahir; Weber Justin; Shivaraman Shriram |
11398562 | Magnetoelectric spin orbit logic transistor with a spin filter | Lin Chia-Ching; Manipatruni Sasikanth; Gosavi Tanay; Chang Sou-Chi; Nikonov Dmitri; Young Ian A. |
11398596 | Magnetic tunnel junction (MTJ) integration on backside of silicon | Manipatruni Sasikanth; Gosavi Tanay; Young Ian; Nikonov Dmitri |
11398814 | Low-power single-edge triggered flip-flop, and time borrowing internally stitched flip-flop | Hsu Steven; Agarwal Amit; Realov Simeon; Damaraju Satish; Krishnamurthy Ram |
11398952 | Automated configuration of machine-to-machine systems | Petria Silviu; Paraschiv Andra; Milescu George Cristian Dumitru; Bjorkengren Ulf Christian; Yang Shao-Wen |
11399187 | Screen content detection for adaptive encoding | Zhang Ximin; Lee Sang-Hee |
11399191 | Adaptive frame resolution for compression matching | Hicks Richmond; Boyce Jill M. |
11399194 | Lossless compression for multisample render targets alongside fragment compression | Surti Prasoonkumar; Appu Abhishek R.; Norris Michael J.; Liskay Eric G. |
11399285 | Secure device onboarding techniques | Smith Ned M.; Agerstam Mats Gustav; Heldt-Sheller Nathan; Bhargav-Spantzel Abhilasha |
11399434 | Electronic package and method of forming an electronic package | Pon Florence; Leuten Tyler; Ramiso Maria Angela Damille |
11402213 | Techniques for determining a current location of a mobile device | Xu Xianchao; Song Jiqiang; Ma Liwei; Wang Ke |
11402218 | Light pattern based vehicle location determination method and apparatus | Anderson Glen J. |
11402235 | Sensor management and reliability | Doshi Kshitij A.; Zhong Tao; Deng Gang; Lu Zhongyan |
11402887 | Techniques to enable communication between a processor and voltage regulator | Suryanarayanan Anupama; Ananthakrishnan Avinash N.; Ashok Chinmay; Shrall Jeremy J. |
11402891 | System, apparatus and method for loose lock-step redundancy power management | Rotem Efraim; Weissmann Eliezer; Rajwan Doron; Rosenzweig Nir; Aizik Yoni |
11402893 | Low power data processing offload using external platform component | Zhu Zhenyu; Chellappan Satheesh; Hunsaker Mikal; Vadivelu Karthi R.; Wong Kar Leong |
11402996 | Methods and apparatus to perform erase-suspend operations in memory devices | Madraswala Aliasgar S.; Wakchaure Yogesh B.; Jaramillo Camila; Bemalkhedkar Trupti |
11403005 | Cryptographic memory ownership | Durham David M.; Sahita Ravi L.; Shanbhogue Vedvyas; Huntley Barry E.; Patel Baiju; Gerzon Gideon; Schoinas Ioannis T.; Khosravi Hormuzd M.; Chhabra Siddhartha; Rozas Carlos V. |
11403044 | Method and apparatus for performing multi-object transformations on a storage device | Khan Jawad Basit; Li Peng; Trika Sanjeev |
11403071 | Systems and methods for performing instructions to transpose rectangular tiles | Sade Raanan; Valentine Robert; Charney Mark J.; Rubanovich Simon; Gradstein Amit; Sperber Zeev; Toll Bret; Corbal Jesus; Hughes Christopher J.; Heinecke Alexander F.; Ould-Ahmed-Vall Elmoustapha |
11403097 | Systems and methods to skip inconsequential matrix operations | Ould-Ahmed-Vall Elmoustapha; Rash William; Maiyuran Subramaniam; George Varghese; Sankaran Rajesh |
11403102 | Technology to learn and offload common patterns of memory access and computation | Vo Vy; Sengupta Dipanjan; Tepper Mariano; Turek Javier Sebastian |
11403129 | Methods and apparatus to process commands from virtual machines | Dong Yao Zu; Guo Yuankai; Zhang Haozhong; Tian Kun |
11403137 | Method and apparatus for secure data center bridging in a multi-tenant system | Deval Manasi; Parikh Neerav; Sharp Robert O.; Bowers Gregory J.; Hall Ryan E.; Cao Chinh T. |
11403194 | Systems and methods for in-field core failover | DeHaemer Eric J.; Biswas Arijit; Riedlinger Reid J.; Steiner Ian M. |
11403202 | Power monitoring system for virtual platform simulation | Malani Parth; Tamhankar Mangesh |
11403234 | Cryptographic computing using encrypted base addresses and used in multi-tenant environments | Durham David M.; LeMay Michael; Jayaram Masti Ramya; Neiger Gilbert; Brandt Jason W. |
11403479 | Feedback signaling to facilitate data classification functionality of a spiking neural network | Cao Yongqiang; Srinivasa Narayan |
11403517 | Proximity-based distributed sensor processing | Tickoo Omesh; Huang Jonathan J.; Beltman Willem M.; Anderson Glen J. |
11403777 | Computer vision assisted item search | Baca Jim S; Stanasolovich David |
11403805 | Position-based rendering apparatus and method for multi-die/GPU graphics processing | Schluessler Travis; Waters Zack; Apodaca Michael; Johnston Daniel; Surprise Jason; Surti Prasoonkumar; Maiyuran Subramaniam; Doyle Peter; Sharma Saurabh; Shah Ankur; Ramadoss Murali |
11403808 | Methods and apparatus to transition between 2D and 3D renderings of augmented reality content | Denman Pete; Sherry John; Anderson Glen J.; Bair Benjamin; Chierichetti Rebecca; Agrawal Ankur; Shi Meng |
11404043 | Systems and methods for providing non-lexical cues in synthesized speech | Christian Jessica M.; Graff Peter; Nakatsu Crystal A.; Hockey Beth Ann |
11404094 | Transmitter circuitry with N-type pull-up transistor and low output voltage swing | Sridharan Harishankar; Tyamgondlu Karthik |
11404105 | Write disturb refresh rate reduction using write history buffer | Mehta Akanksha; Graniello Benjamin; Maddah Rakan; Hillier Philip; Mangold Richard P.; Damle Prashant S.; Khochare Kunal A. |
11404307 | Interconnect structures and methods of fabrication | Chandhok Manish; Chebiam Ramanan; Mueller Brennen; Carver Colin; Bielefeld Jeffery; Kabir Nafees; Vreeland Richard; Brezinski William |
11404319 | Vertically stacked finFETs and shared gate patterning | Lilak Aaron; Ma Sean; Weber Justin R.; Mehandru Rishabh; Cea Stephen M.; Morrow Patrick; Keys Patrick H. |
11404339 | Fan out package with integrated peripheral devices and methods | Keser Lizabeth; Waidhas Bernd; Ort Thomas; Wagner Thomas |
11404349 | Multi-chip packages and sinterable paste for use with thermal interface materials | Raravikar Nachiket R.; Mahajan Ravindranath V.; Sankman Robert L.; Matayabas, Jr. James C.; Hackenberg Ken P.; Mahanta Nayandeep K.; Olmoz David D. |
11404364 | Multi-layer embedded magnetic inductor coil | Do Huong; Radhakrishnan Kaladhar; Bharath Krishna; Deng Yikang; Alur Amruthavalli P. |
11404389 | In-situ component fabrication of a highly efficient, high inductance air core inductor integrated into substrate packages | Ecton Jeremy; Nad Suddhasattwa; Darmawikarta Kristof; Li Yonggang; Guo Xiaoying |
11404407 | Implants to enlarge Schottky diode cross-sectional area for lateral current conduction | Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko; Fischer Paul; Hafez Walid |
11404482 | Self-aligned repeatedly stackable 3D vertical RRAM | Sato Noriyuki; O'Brien Kevin; Han Eungnak; Chandhok Manish; Singh Gurpreet; Kabir Nafees; Lin Kevin; Hourani Rami; Sharma Abhishek; Yoo Hui Jae |
11404536 | Thin-film transistor structures with gas spacer | LaJoie Travis W.; Sharma Abhishek A.; Alzate-Vinasco Juan; Ku Chieh-Jen; Ogadhoh Shem; Gardiner Allen B.; Lin Blake; Wang Yih; Wang Pei-Hua; Kavalieros Jack T.; Sell Bernhard; Ghani Tahir |
11404559 | Plugs for interconnect lines for advanced integrated circuit structure fabrication | Yeoh Andrew W.; Jin Ilsup; Kandas Angelo; Hattendorf Michael L.; Auth Christopher P. |
11404562 | Tunneling field effect transistors | Huang Cheng-Ying; Rachmady Willy; Metz Matthew V.; Agrawal Ashish; Chu-Kung Benjamin; Avci Uygar E.; Kavalieros Jack T.; Young Ian A. |
11404575 | Diverse transistor channel materials enabled by thin, inverse-graded, germanium-based layer | Jambunathan Karthik; Bomberger Cory C.; Glass Glenn A.; Murthy Anand S.; Nam Ju H.; Ghani Tahir |
11404578 | Dielectric isolation layer between a nanowire transistor and a substrate | Beattie Bruce E.; Guler Leonard; Guha Biswajeet; Kang Jun Sung; Hsu William |
11404630 | Perpendicular spin transfer torque memory (pSTTM) devices with enhanced stability and method to form same | Rahman Md Tofizur; Wiegand Christopher J.; Oguz Kaan; Brockman Justin S.; Ouellette Daniel G.; Maertz Brian; O'Brien Kevin P.; Doczy Mark L.; Doyle Brian S.; Golonzka Oleg; Ghani Tahir |
11404639 | Selector devices with integrated barrier materials | Karpov Elijah V.; Doyle Brian S.; Majhi Prashant; Sharma Abhishek A.; Pillarisetty Ravi |
11405079 | Techniques for acquisition of channel state information | Yu Zhibin; Ju Ziyang; Ruder Michael |
11405176 | Homomorphic encryption for machine learning and neural networks using high-throughput CRT evaluation | Ghosh Santosh; Reinders Andrew; Misoczki Rafael; Cammarota Rosario; Sastry Manoj |
11405213 | Low latency post-quantum signature verification for fast secure-boot | Ghosh Santosh; Suresh Vikram; Mathew Sanu; Sastry Manoj; Reinders Andrew H.; Kumar Raghavan; Misoczki Rafael |
11405443 | Technologies for streaming device role reversal | Veeramani Karthik; Chowdhury Rajneesh; Paidipathi Ujwal; Rogers Brian E.; Padath Peedikayil Abdul Rahim Aslam |
11405464 | Policy controlled semi-autonomous infrastructure management | Elzur Uri |
11405945 | Sidelink control information for vehicle-to-vehicle communications | Khoryaev Alexey; Roth Kilian; Gomes Baltar Leonardo; Sosnin Sergey |
11406972 | Activation of protected cross-linking catalysts during formation of dielectric materials | Blackwell James M.; Michalak David J.; Torres Jessica M.; Krysak Marie; Bielefeld Jeffery D. |
11407423 | Ego actions in response to misbehaving vehicle identification | Liu Xiruo; Yang Liuyang; Sastry Manoj; Juliato Marcio; Ahmed Shabbir; Gutierrez Christopher |
11409286 | Hardware random forest: low latency, fully reconfigurable ensemble classification | Juliato Marcio; Gutierrez Christopher; Ahmed Shabbir; Sastry Manoj; Yang Liuyang; Liu Xiruo |
11409341 | Repeating graphics render pattern detection | Ramadoss Murali; Shah Ankur; Beuchat Marc |
11409440 | Systems, methods and apparatus for memory access and scheduling | Guim Bernat Francesc; Kumar Karthik; Willhalm Thomas; Schmisseur Mark |
11409506 | Data plane semantics for software virtual switches | Wang Yipeng; Wang Ren; Tai Tsung-Yuan C.; Tsai Jr-Shian; Guo Xiangyang |
11409525 | Apparatus and method for vector multiply and accumulate of packed words | Heinecke Alexander; Das Dipankar; Valentine Robert; Charney Mark |
11409537 | Mixed inference using low and high precision | Ould-Ahmed-Vall Elmoustapha; Lakshmanan Barath; Shpeisman Tatiana; Ray Joydeep; Tang Ping T.; Strickland Michael; Chen Xiaoming; Yao Anbang; Ashbaugh Ben J.; Hurd Linda L.; Ma Liwei |
11409560 | System, apparatus and method for power license control of a processor | Jambur Sathyanarayana Krishnamurthy; Valentine Robert; Gendler Alexander; Zobel Shmuel; Berger Gavri; Steiner Ian M.; Gupta Nikhil; Hadas Eyal; Hachamo Edo; Subramanian Sumesh |
11409571 | High bandwidth connection between processor dies | Koker Altug; Appu Abhishek R.; Veernapu Kiran C.; Ray Joydeep; Vembu Balaji |
11409572 | Methods of hardware and software coordinated opt-in to advanced features on hetero ISA platforms | Opferman Toby; Weissmann Eliezer; Valentine Robert; Arnold Russell Cameron |
11409577 | Systems, methods and devices for determining work placement on processor cores | Therien Guy M.; Powell Michael D.; Ramani Venkatesh; Biswas Arijit; Sotomayor Guy G. |
11409579 | Multiple independent synchonization named barrier within a thread group | Valerio James; Ranganathan Vasanth; Ray Joydeep |
11409594 | Self-supervised learning system for anomaly detection with natural language processing and automatic remediation | Turek Javier Sebastian; Vo Vy; Perez-Ramirez Javier; Carranza Marcos; Guzman Mateo; Martinez-Spessot Cesar; Oliver Dario |
11409658 | Data prefetching for graphics data processing | Vemulapalli Vikranth; Striramassarma Lakshminarayanan; MacPherson Mike; Anantaraman Aravindh; Ashbaugh Ben; Ramadoss Murali; Sadler William B.; Pearce Jonathan; Janus Scott; Insko Brent; Ranganathan Vasanth; Sinha Kamal; Hunter, Jr. Arthur; Surti Prasoonkumar; Galoppo von Borries Nicolas; Ray Joydeep; Appu Abhishek R.; Ould-Ahmed-Vall ElMoustapha; Koker Altug; Kim Sungye; Maiyuran Subramaniam; Andrei Valentin |
11409662 | Apparatus and method for efficient process-based compartmentalization | Durham David M.; Doweck Jacob; Lemay Michael; Gupta Deepak |
11409673 | Triggered operations for collective communication | Friedley Andrew; Sur Sayantan; Ganapathi Ravindra Babu; Hamilton Travis; Underwood Keith D. |
11409693 | Scalar core integration | Ray Joydeep; Anantaraman Aravindh; Appu Abhishek R.; Koker Altug; Ould-Ahmed-Vall Elmoustapha; Andrei Valentin; Maiyuran Subramaniam; Galoppo Von Borries Nicolas; George Varghese; MacPherson Mike; Ashbaugh Ben; Ramadoss Murali; Vemulapalli Vikranth; Sadler William; Pearce Jonathan; Kim Sungye |
11409877 | Firmware verification mechanism | Dewan Prashant; Zhang Chao; Aggarwal Nivedita; Katragada Aditya; Haniffa Mohamed; Chen Kenji |
11409935 | Pin must-connects for improved performance | Kumar Ranjith; Gadigatla Srinivasa Chaitanya; Husain Tamanna; Ramakrishnan Abhinand; Graeber James; Basu Kohinoor |
11409986 | Trainable vision scaler | Gandra Chaitanya R.; Wu Chyuan-Tyng; Michael Gilad; Ain-Kedem Liron; Isikdogan Leo |
11410021 | Recurrent neuron implementation based on magneto-electric spin orbit logic | Manipatruni Sasikanth; Nikonov Dmitri; Young Ian |
11410024 | Tool for facilitating efficiency in machine learning | Barik Rajkishore; Lewis Brian T.; Sundaresan Murali; Jackson Jeffrey; Chen Feng; Chen Xiaoming; Macpherson Mike |
11410264 | Switchable image source in a hybrid graphics systems | Akiyama James E.; Howard John; Ramadoss Murali; Smith Gary K.; Witter Todd M.; Ramanathan Satish; Li Zhengmin |
11410266 | Disaggregation of System-On-Chip (SOC) architecture | Matam Naveen; Cheney Lance; Finley Eric; George Varghese; Jahagirdar Sanjeev; Koker Altug; Mastronarde Josh; Rajwani Iqbal; Striramassarma Lakshminarayanan; Teshome Melaku; Vemulapalli Vikranth; Xavier Binoj |
11410326 | System for determining anatomical feature orientation | Anderson Glen J.; Raffa Giuseppe; Marshall Carl S.; Shi Meng |
11410377 | Lightweight view dependent rendering system for mobile devices | Lucas Blake |
11410908 | Integrated circuit devices with front-end metal structures | Mahnkopf Reinhard; Koller Sonja; Wolter Andreas |
11410919 | Stacked silicon die architecture with mixed flipcip and wirebond interconnect | Sankman Robert L.; Ganesan Sanka |
11410921 | Methods to incorporate thin film capacitor sheets (TFC-S) in the build-up films | Jain Rahul; Lee Kyu Oh |
11410928 | Device layer interconnects | Bohr Mark; Kobrinsky Mauro; Nabors Marni |
11411046 | Semiconductor device heat extraction by spin thermoelectrics | Manipatruni Sasikanth; Gosavi Tanay; Nikonov Dmitri; Young Ian |
11411047 | Stacked transistor bit-cell for magnetic random access memory | Manipatruni Sasikanth; Wiegand Christopher; Gosavi Tanay; Young Ian |
11411095 | Epitaxial source or drain structures for advanced integrated circuit structure fabrication | Joshi Subhash; Jackson Michael J.; Hattendorf Michael L. |
11411096 | Source electrode and drain electrode protection for nanowire transistors | Jambunathan Karthik; Guha Biswajeet; Murthy Anand S.; Ghani Tahir |
11411110 | Methods of forming dislocation enhanced strain in NMOS and PMOS structures | Jackson Michael; Murthy Anand; Glass Glenn; Morarka Saurabh; Mohapatra Chandra |
11411119 | Double gated thin film transistors | Lilak Aaron; Le Van H.; Sharma Abhishek A.; Ghani Tahir; Mehandru Rishabh; Dewey Gilbert; Rachmady Willy |
11411163 | Packaging for ultrasonic transducers | Vreman Gerrit J.; Abdelmoneum Mohamed A.; Suzuki Satoshi |
11411172 | Magnetoelectric spin orbit logic based full adder | Liu Huichu; Manipatruni Sasikanth; Morris Daniel; Vaidyanathan Kaushik; Karnik Tanay; Young Ian |
11411173 | Perpendicular spin transfer torque devices with improved retention and thermal stability | Smith Angeline; Brockman Justin; Rahman Tofizur; Ouellette Daniel; Smith Andrew; Vinasco Juan Alzate; ODonnell James; Wiegand Christopher; Golonzka Oleg |
11411290 | Hybrid transmission line | Lo Hungying L.; Cheah Bok Eng |
11411491 | Multiple output voltage conversion | De Vivek; Ravichandran Krishnan; Krishnamurthy Harish; Ahmed Khondker; Vangal Sriram; Vaidya Vaibhav; Majumder Turbo; Schaef Christopher; Kim Suhwan; Liu Xiaosen; Desai Nachiket |
11411555 | High speed digital phase interpolator with duty cycle correction circuitry | Lim Ee Wah; Lim Lay Leng |
11411559 | Multi-voltage domain actuator signal network | Henzler Stephan; Konaraddi Nikhil Subhas |
11411595 | Range extension for interference cancellation analog to digital converter | Boos Zdravko |
11411692 | Internet protocol interface selection for routing data traffic | Gupta Vivek |
11411709 | Signaling method to enable full duplex in next generation Docsis cable modem standard | Barr David; Arambepola Bernard |
11411832 | Methods and apparatus to generate optimized models for internet of things devices | Jain Nilesh; Chua Vui Seng; Mohammad Fahim; Paul Anindya |
11412033 | 5G network edge and core service dimensioning | Ganguli Mrittika; Palermo Stephen T.; Parker Valerie J. |
11412052 | Quality of service (QoS) management in edge computing environments | Guim Bernat Francesc; Bohan Patrick; Doshi Kshitij Arun; Ganesh Brinda; Herdrich Andrew J.; Kenguva Monica; Kumar Karthik; Kutch Patrick G; Beneyto Felipe Pastor; Patel Rashmin; Prabhakaran Suraj; Smith Ned M.; Torre Petar; Vul Alexander |
11412059 | Technologies for paravirtual network device queue and memory management | Xie Huawei; Nakajima Jun; Cohen David E.; Ergin Mesut A.; Wang Wei |
11412198 | Bit depth coding mechanism | Boyce Jill; Hershkovich Tzachi; Ruhm Eyal; Ashkenazi Tzach; Turiel Eli; Kaplan Adam |
11412230 | Video motion processing including static scene determination, occlusion detection, frame rate conversion, and adjusting compression ratio | Oh Jong Dae; Appu Abhishek R.; Baran Stanley J.; Lee Sang-Hee; Mohammed Atthar H.; Chan Hiu-Fai R.; Ray Joydeep |
11412381 | Techniques for establishing access to a local wireless network | Canpolat Necati; Gupta Vivek |
11412462 | Enhanced power management for wireless communications | Yao Zhen; Sindia Suraj; Yang Songnan; Roman John M.; Paxman Robert; El Hajj Walid |
11415832 | Display supplemented with ambient light | Lawrence Sean Jude William; Joby Anil; Angadimani Raghavendra; Kv Deepakumar; Ranganathan Sriram |
11416030 | Edge-to-edge display devices and related methods | Lang John; Kwa Seh; Zhuang Zhiming; Tsai Jackson; Jiang Jun |
11416070 | Apparatus, system and method for dynamic modification of a graphical user interface | Tao Jiancheng; Wong Hong; Liang Xiaoguo; Sun Yanbing; Liu Jun; Kwong Wah Yiu |
11416165 | Low synch dedicated accelerator with in-memory computation capability | Mathuriya Amrita; Manipatruni Sasikanth; Lee Victor; Sumbul Huseyin; Chen Gregory; Kumar Raghavan; Knag Phil; Krishnamurthy Ram; Young Ian; Sharma Abhishek |
11416170 | Technologies for efficiently accessing data columns and rows in a memory | Khan Jawad B.; Coulson Richard |
11416248 | Method and system for efficient floating-point compression | Sim Jaewoong; Alameldeen Alaa; Nurvitadhi Eriko; Marr Deborah |
11416260 | Systems and methods for implementing chained tile operations | Hughes Christopher J.; Heinecke Alexander F.; Valentine Robert; Toll Bret; Corbal Jesus; Ould-Ahmed-Vall Elmoustapha |
11416281 | Systems, methods, and apparatuses for heterogeneous computing | Sankaran Rajesh M.; Neiger Gilbert; Ranganathan Narayan; Van Doren Stephen R.; Nuzman Joseph; McDonnell Niall D.; O'Hanlon Michael A.; Mosur Lokpraveen B.; Drysdale Tracy Garrett; Nurvitadhi Eriko; Mishra Asit K.; Venkatesh Ganesh; Marr Deborah T.; Carter Nicholas P.; Pearce Jonathan D.; Grochowski Edward T.; Greco Richard J.; Valentine Robert; Corbal Jesus; Fletcher Thomas D.; Bradford Dennis R.; Manley Dwight P.; Charney Mark J.; Cook Jeffrey J.; Caprioli Paul; Yamada Koichi; Glossop Kent D.; Sheffield David B. |
11416295 | Technologies for providing efficient access to data in an edge infrastructure | Bernat Francesc Guim; Kumar Karthik; Prabhakaran Suraj; Verrall Timothy; Willhalm Thomas; Schmisseur Mark |
11416300 | Modular accelerator function unit (AFU) design, discovery, and reuse | Marolia Pratik M.; Grier Aaron J.; Mitchel Henry M.; Grecco Joseph; Adler Michael C.; Kakaiya Utkarsh Y.; Fender Joshua D.; Nadathur Sundar; Chitlur Nagabhushan |
11416309 | Technologies for dynamic accelerator selection | Bernat Francesc Guim |
11416370 | Platform measurement collection mechanism | Dewan Prashant; Sengupta Uttam; Katragada Aditya |
11416397 | Global persistent flush | Natu Mahesh S. |
11416398 | Memory card with volatile and non volatile memory space having multiple usage model configurations | Arafa Mohamed; Ramanujan Raj K. |
11416402 | Control surface access using flat memory mapping | Cooray Niranjan L.; Koker Altug; Krishnan Vidhya; Silvas Ronald W.; Feit John H.; Surti Prasoonkumar; Ray Joydeep; Appu Abhishek R. |
11416411 | Preemptive page fault handling | Ramadoss Murali; Vemulapalli Vikranth; Cooray Niran; Sadler William B.; Pearce Jonathan D.; Petre Marian Alin; Ashbaugh Ben; Ould-Ahmed-Vall Elmoustapha; Galoppo Von Borries Nicolas; Koker Altug; Anantaraman Aravindh; Maiyuran Subramaniam; George Varghese; Kim Sungye; Andrei Valentin |
11416414 | Technologies for execute only transactional memory | Durham David M.; Lemay Michael; Long Men |
11416415 | Technologies for secure device configuration and management | Lal Reshma; Pappachan Pradeep M.; Kida Luis; Zmudzinski Krystof; Chhabra Siddhartha; Basak Abhishek; Trivedi Alpa Narendra; Trikalinou Anna; Lee David M.; Shanbhogue Vedvyas; Kakaiya Utkarsh Y. |
11416580 | Dot product multiplier mechanism | Mathew Nevin; Marwaha Shubra; Garg Ashutosh |
11416603 | Methods, systems, articles of manufacture and apparatus to detect process hijacking | Zhang Zheng; Martin Jason; Gottschlich Justin; Bhargav-Spantzel Abhilasha; Sultana Salmin; Chen Li; Li Wei; Biswas Priyam; Carlson Paul |
11416624 | Cryptographic computing using encrypted base addresses and used in multi-tenant environments | Durham David M.; LeMay Michael; Jayaram Masti Ramya; Neiger Gilbert; Brandt Jason W. |
11416736 | Dense digital arithmetic circuitry utilization for fixed-point machine learning | Nealis Kevin; Huang Randy |
11416962 | Adaptive compute size per workload | Vembu Balaji; Mastronarde Josh B.; Koker Altug; Kaburlasos Nikos; Appu Abhishek R.; Ray Joydeep |
11417236 | Real-time language learning within a smart space | Marshall Carl S.; Raffa Giuseppe; Meng Shi; Nachman Lama; Agrawal Ankur; Panneer Selvakumar; Anderson Glen J.; Durham Lenitra M. |
11417531 | Advanced etching technologies for straight, tall and uniform fins across multiple fin pitch structures | Ambati Muralidhar S.; Jhaveri Ritesh; Kim Moosung |
11417567 | Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom | Gstrein Florian; Han Eungnak; Hourani Rami; Brain Ruth A.; Nyhus Paul A.; Chandhok Manish; Wallace Charles H.; Tsang Chi-Hwa |
11417586 | Thermal management solutions for substrates in integrated circuit packages | Elsherbini Adel; Eid Feras; Swan Johanna |
11417592 | Methods of utilizing low temperature solder assisted mounting techniques for package structures | Karhade Omkar G.; Raravikar Nachiket R.; Sane Sandeep B. |
11417593 | Dies with integrated voltage regulators | Elsherbini Adel A.; Bharath Krishna; Liff Shawna M.; Swan Johanna M. |
11417614 | Methods to embed magnetic material as first layer on coreless substrates and corresponding structures | Xu Cheng; Lee Kyu-Oh; Zhao Junnan; Jain Rahul; Park Ji Yong; Vadlamani Sai; Kim Seo Young |
11417630 | Semiconductor package having passive support wafer | Mallik Debendra; Raorane Digvijay A.; Mahajan Ravindranath Vithal; Modi Mitul Bharat |
11417655 | High-mobility semiconductor source/drain spacer | Dewey Gilbert; Metz Matthew V.; Murthy Anand S.; Ghani Tahir; Rachmady Willy; Mohapatra Chandra S.; Kavalieros Jack T.; Glass Glenn A. |
11417705 | RRAM memory cell and process to increase RRAM material area in an RRAM memory cell | Doyle Brian; Majhi Prashant; Karpov Elijah; Pillarisetty Ravi; Sharma Ashishek |
11417755 | Differentially strained quantum dot devices | Singh Kanwaljit; Pillarisetty Ravi; Thomas Nicole K.; Amin Payam; Caudillo Roman; George Hubert C.; Roberts Jeanette M.; Yoscovits Zachary R.; Clarke James S.; Lampert Lester; Michalak David J. |
11417765 | Quantum dot devices with fine-pitched gates | Thomas Nicole K.; Pillarisetty Ravi; Singh Kanwaljit; George Hubert C.; Michalak David J.; Lampert Lester; Yoscovits Zachary R.; Caudillo Roman; Roberts Jeanette M.; Clarke James S. |
11417770 | Vertical thin-film transistors between metal layers | Sharma Abhishek; Haratipour Nazila; Sung Seung Hoon; Chu-Kung Benjamin; Dewey Gilbert; Shivaraman Shriram; Le Van H.; Kavalieros Jack T.; Ghani Tahir; Metz Matthew V.; Sen Gupta Arnab |
11417775 | Nanowire thin film transistors with textured semiconductors | Shivaraman Shriram; Le Van H.; Sharma Abhishek A.; Dewey Gilbert W.; Chu-Kung Benjamin; Reshotko Miriam R.; Kavalieros Jack T.; Ghani Tahir |
11417781 | Gate-all-around integrated circuit structures including varactors | Kar Ayan; Morarka Saurabh; Nieva-Lozano Carlos; Kolluru Kalyan; Guha Biswajeet; Lin Chung-Hsun; Greene Brian; Ghani Tahir |
11417830 | Magnetically doped spin orbit torque electrode for perpendicular magnetic random access memory | Gosavi Tanay; Manipatruni Sasikanth; Lin Chia-Ching; Allen Gary; Oguz Kaan; O'Brien Kevin; Sato Noriyuki; Young Ian; Nikonov Dmitri |
11418446 | Technologies for congestion control for IP-routable RDMA over converged ethernet | Wandler Shaun; Keels Kenneth; Akers Matthew |
11418475 | Message notification alert method and apparatus | Hibbett Mike; Seis Jelle; Jardine Joseph; Rafferty William; O'Cualain Diarmaid |
11418495 | Techniques for flow control packet processing | Browne John J.; Macnamara Chris; Venkatesan Namakkal N.; Kantecki Tomasz; Doherty Declan W. |
11418632 | High speed flexible packet classification using network processors | Bolotov Anatoli A.; Grinchuk Mikhail I. |
11418789 | Content adaptive quantization for video coding | Zhang Ximin; Lee Sang-hee; Rowe Keith W. |
11419038 | Deterministic backoff with collision avoidance | Cavalcanti Dave; Cariou Laurent; Rashid Mohammad Mamunur |
11421376 | Inorganic piezoelectric materials formed on fibers and applications thereof | Liff Shawna M.; Eid Feras; Aleksov Aleksandar; Oster Sasha N.; Bicen Baris; Sounart Thomas L.; Rao Valluri R.; Swan Johanna M. |
11422173 | Method and apparatus for accurate measurement of platform power | Ranganathan Sriram; G Naveen; Rajagopal Pannerkumar; Gettimalli Govindaraj; Ragothaman Javahar |
11422263 | Range estimation for light detecting and ranging (LIDAR) systems | Bronstein Michael; Kimmel Ron; Bronstein Alex; Menashe Ohad; Sperling Erez; Zabatani Aviad; Surazhsky Vitaly |
11422551 | Technologies for providing a cognitive capacity test for autonomous driving | Swan Johanna; Azizi Shahrnaz; Baskaran Rajashree; Ortiz Melissa; Adenwala Fatema; Yu Mengjie |
11422553 | Methods and apparatus to adjust autonomous vehicle driving software using machine programming | Sadeghi Bahareh; Moustafa Hassnaa; Zhou Shengtian; Ota Jeffrey; Gottschlich Justin |
11422615 | Platform power consumption reduction via power state switching | Muralidhar Rajeev D.; Seshadri Harinarayanan; Rudramuni Vishwesh M.; Quinzio Richard; Fiat Christophe; Zayet Aymen; Singh Youvedeep; Mansoor Illyas M. |
11422616 | System, apparatus and method for dynamically adjusting platform power and performance based on task characteristics | Zhu Jianfang; Kirubakaran Deepak Samuel; Rivas Toledano Raoul; Nge Chee Lim; Chabukswar Rajshree; Hermerding, II James; Nair Sudheer; Braun William; Wang Zhongsheng; Fenger Russell; Kapaley Udayan |
11422642 | Gyratory sensing system to enhance wearable device user experience via HMI extension | Yong Khang Choong; Song Wil Choon; Heck Howard L.; Phun Su Sin Florence |
11422750 | Computer program product, system, and method to manage access to storage resources from multiple applications | Yang Ziye; Cao Gang; Chang Cunyin; Liu Changpeng; Harris James |
11422809 | Apparatus and method for multicasting a cache line update using delayed refetch messages | Hughes Christopher J.; Baum Dan |
11422811 | Restartable cache write-back and invalidation | Gerzon Gideon; Caspi Dror; Aharon Arie; Ouziel Ido |
11422849 | Technology for dynamically grouping threads for energy efficiency | Kirubakaran Deepak Samuel; Dhanraj Vijay; Fenger Russell Jerome; Abu-Salah Hisham; Weissmann Eliezer |
11422867 | Technologies for composing a managed node based on telemetry data | Sen Sujoy; Kumar Mohan J. |
11422896 | Technology to enable secure and resilient recovery of firmware data | Kotary Karunakara; Dardis Sean; Kubacki Michael |
11422939 | Shared read—using a request tracker as a temporary read cache | Diamand Israel; Venkatesan Ravi K.; Shua Shlomi; Shitrit Oz; Behar Michael; Rosner Roni |
11422943 | Efficient address translation | Li Jianhui; Wu Yong; Jin Yihua; Zhong Xueliang; Lin Xiao |
11422944 | Address translation technologies | Guo Kaijie; Li Weigang; Wang Junyuan; Ma Liang; Lukoshkov Maksim; Huo Yao |
11422954 | Techniques for accelerating memory access operations | Pelt Robert; Rahman Arifur; Wang Hong |
11423082 | Methods and apparatus for subgraph matching in big data analysis | Vikhe Nikita M.; Addicam Sanjay V. |
11423145 | Methods and arrangements for multi-layer in-vehicle network intrusion detection and characterization | Gutierrez Christopher N.; Juliato Marcio; Ahmed Shabbir; Wang Qian; Sastry Manoj; Yang Liuyang L.; Liu Xiruo |
11423159 | Technologies for trusted I/O protection of I/O data with header information | Desai Soham Jayesh; Chhabra Siddhartha; Xing Bin; Pappachan Pradeep M.; Lal Reshma |
11423162 | Systems and methods for message assurance in vehicle systems | Juliato Marcio; Ahmed Shabbir; Elli Maria Soledad; Gutierrez Christopher Noe; Lesi Vuk; Sastry Manoj R.; Weast John Charles; Yang Liuyang Lily |
11423171 | Protection of privacy and data on smart edge devices | Booth, Jr. Lawrence A.; Yitbarek Salessawi Ferede; Lal Reshma; Pappachan Pradeep M.; Thomas Brent D. |
11423254 | Technologies for distributing iterative computations in heterogeneous computing environments | Prakash Saurav; Dhakal Sagar; Yona Yair; Himayat Nageen; Talwar Shilpa |
11423332 | Distributed machine learning in an information centric network | Jha Satish Chandra; Alam S M Iftekharul; Smith Ned M. |
11423490 | Socially and contextually appropriate recommendation systems | Savage Norma S.; Nachman Lama; Sahay Saurav; Raffa Giuseppe |
11423507 | Power-based and target-based graphics quality adjustment | Appu Abhishek R.; Baran Stanley J.; Lee Sang-Hee; Mohammed Atthar H.; Oh Jong Dae; Chan Hiu-Fai R.; Boyce Jill M.; Fu Fangwen; Yedidi Satya N.; Mohan Sumit; Holland James M.; Rowe Keith W.; Koker Altug |
11423508 | Method and system of point cloud registration for image processing | Zhang Yimin; Ren Haibing; Hu Wei; Guo Ping |
11423519 | Distortion meshes against chromatic aberrations | Pohl Daniel |
11423570 | Technologies for fusing data from multiple sensors to improve object detection, identification, and localization | Kavulya Soila; Chattopadhyay Rita; Martinez-Canales Monica |
11423904 | Method and system of audio false keyphrase rejection using speaker recognition | Ossowski Jacek; Bocklet Tobias; Lopatka Kuba |
11424160 | Self-aligned local interconnects | Lilak Aaron D.; Mannebach Ehren; Phan Anh; Schenker Richard; Bojarski Stephanie A.; Rachmady Willy; Morrow Patrick; Bielefeld Jeffery; Dewey Gilbert; Jae Yoo Hui; Kabir Nafees |
11424195 | Microelectronic assemblies having front end under embedded radio frequency die | Shaul Eliav; Tsarfati Avi |
11424209 | Wafer level package structure with internal conductive layer | Albers Sven; Reingruber Klaus; Seidemann Georg; Geissler Christian; Patten Richard |
11424239 | Diodes for package substrate electrostatic discharge (ESD) protection | Aleksov Aleksandar; Elsherbini Adel A.; Eid Feras; Strong Veronica Aleman; Swan Johanna M. |
11424245 | Self-aligned gate endcap (SAGE) architecture having gate contacts | Subramanian Sairam; Hafez Walid M. |
11424324 | Multi-spacers for quantum dot device gates | George Hubert C.; Pillarisetty Ravi; Lampert Lester; Clarke James S.; Thomas Nicole K.; Caudillo Roman; Michalak David J.; Roberts Jeanette M. |
11424335 | Group III-V semiconductor devices having dual workfunction gate electrodes | Ma Sean T.; Rachmady Willy; Dewey Gilbert; Huang Cheng-Ying; Basu Dipanjan |
11424354 | Group III-nitride silicon controlled rectifier | Gossner Harald; Baumgartner Peter; Hodel Uwe; Siprak Domagoj; Leuschner Stephan; Geiger Richard |
11424539 | Wireless communication technology, apparatuses, and methods | Alpman Erkan; Amadjikpe Arnaud Lucres; Asaf Omer; Azadet Kameran; Banin Rotem; Baryakh Miroslav; Bazov Anat; Brenna Stefano; Casper Bryan K.; Chakrabarti Anandaroop; Chance Gregory; Choudhury Debabani; Cohen Emanuel; Da Silva Claudio; Dalmia Sidharth; Daneshgar Asl Saeid; Dasgupta Kaushik; Datta Kunal; Davis Brandon; Degani Ofir; Fahim Amr M.; Freiman Amit; Genossar Michael; Gerson Eran; Goldberger Eyal; Gordon Eshel; Gordon Meir; Hagn Josef; Kang Shinwon; Kao Te Yu; Kogan Noam; Komulainen Mikko S.; Kushnir Igal Yehuda; Lahti Saku; Lampinen Mikko M.; Landsberg Naftali; Lee Wook Bong; Levinger Run; Molina Albert; Montoya Moreno Resti; Musah Tawfiq; Narevsky Nathan G.; Nikopour Hosein; Orhan Oner; Palaskas Georgios; Pellerano Stefano; Pongratz Ron; Ravi Ashoke; Ravid Shmuel; Sagazio Peter Andrew; Sasoglu Eren; Shakedd Lior; Shor Gadi; Singh Baljit; Soffer Menashe; Sover Ra'anan; Talwar Shilpa; Tanzi Nebil; Teplitsky Moshe; Thakkar Chintan S.; Thakur Jayprakash; Tsarfati Avi; Tsfati Yossi; Verhelst Marian; Weisman Nir; Yamada Shuhei; Yepes Ana M.; Kitchin Duncan |
11424722 | Ultra compact multi-band transmitter with robust AM-PM distortion self-suppression techniques | Park Jong Seok; Wang Yanjie; Pellerano Stefano; Hull Christopher D. |
11424744 | Multi-purpose interface for configuration data and user fabric data | Clark Kevin; Weber Scott J.; Ball James; Gutala Ravi Prakash; Dasu Aravind Raghavendra |
11424811 | Techniques for analog beamforming | Yu Zhibin; Scherb Ansgar; Janssen Andre; Gunzelmann Bertram; Hwang Yeong-Sun; Neuhaus Holger |
11424901 | Method and apparatus for synchronous signaling between link partners in a high-speed interconnect | Ran Adee Ofir; Lusted Kent C. |
11424907 | Countermeasures for side-channel attacks on protected sign and key exchange operations | Ghosh Santosh; Reinders Andrew H.; Friel Joseph; Varna Avinash Laxmisha; Sastry Manoj |
11425101 | System, apparatus and method for tunneling and/or multiplexing via a multi-drop interconnect | Srivastava Amit Kumar |
11425111 | Attestation token sharing in edge computing environments | Smith Ned M.; Browne John J.; Sood Kapil; Guim Bernat Francesc; Doshi Kshitij Arun; Poornachandran Rajesh; Viswanathan Tarun; Dave Manish |
11429172 | Digital linear regulator clamping method and apparatus | Uan-Zo-Li Alexander; Gorbatov Eugene; Krishnamurthy Harish; Lyakhov Alexander; Leung Patrick; Gunther Stephen; Gihon Arik; Ahmed Khondker; Lehwalder Philip; Shekhar Sameer; Pandit Vishram; Angel Nimrod; Zelikson Michael |
11429173 | Apparatus and method for proactive power management to avoid unintentional processor shutdown | Nge Chee Lim; Jain Amit; Deval Anant; Angel Nimrod; Paillet Fabrice; Zelikson Michael; Rodriguez Sergio Carlo |
11429280 | Computer product, method, and system to dynamically manage storage devices accessed remotely over a network | Freyensee James P.; Minturn Dave B.; Cayton Phil C.; Sternberg Jay E.; Knapp Anthony J. |
11429289 | Memory map protection mechanism | Kotary Karunakara; Rajagopal Pannerkumar; Dureja Sahil; Haniffa Mohamed; Dewan Prashant |
11429297 | Technologies for dividing work across accelerator devices | Balle Susanne M.; Guim Bernat Francesc; Putyrski Slawomir; Grecco Joe; Mitchel Henry; Custodio Evan; Khanna Rahul; Sen Sujoy |
11429385 | Hardware processors and methods for extended microcode patching and reloading | Ghetie Sergiu D. |
11429413 | Method and apparatus to manage counter sets in a network interface controller | Cornett Linden; Sarangam Parthasarathy |
11429469 | Defective bit line management in connection with a memory access | Khakifirooz Ali; Kalavade Pranav; Motwani Ravi H.; Ha Chang Wan |
11429496 | Platform data resiliency mechanism | Kotary Karunakara; Dewan Prashant; Zimmer Vincent; Poornachandran Rajesh |
11429553 | Flit-based packetization | Das Sharma Debendra |
11429580 | Collision-free hashing for accessing cryptographic computing metadata and for cache expansion | Kounavis Michael E.; Ghosh Santosh; Deutsch Sergej; LeMay Michael; Durham David M. |
11429673 | Displaying sort results on a mobile computing device | Benchenaa Hayat; Wilson Darren P.; Bilgen Aras; Hohndel Dirk |
11429732 | Unlocking electronic notepads for writing | Kumar Arvind; Okuley James M. |
11429824 | Method and system of deep supervision object detection for reducing resource usage | Li Jianguo; Li Jiuwei; Li Yuxi |
11429849 | Deep compressed network | Baghsorkhi Sara; Sotoudeh Matthew |
11430082 | Coordination and increased utilization of graphics processors during inference | Appu Abhishek R.; Koker Altug; Weast John C.; Macpherson Mike B.; Hurd Linda L.; Baghsorkhi Sara S.; Gottschlich Justin E.; Surti Prasoonkumar; Sakthivel Chandrasekaran; Ma Liwei; Ould-Ahmed-Vall Elmoustapha; Sinha Kamal; Ray Joydeep; Vembu Balaji; Jahagirdar Sanjeev; Ranganathan Vasanth; Kim Dukhwan |
11430083 | Machine learning sparse computation mechanism | Nurvitadhi Eriko; Vembu Balaji; Lin Tsung-Han; Sinha Kamal; Barik Rajkishore; Galoppo Von Borries Nicolas C. |
11430136 | Methods and apparatus to improve efficiency of object tracking in video frames | Varadarajan Srenivas; Murthy Girish Srinivasa; Bodas Anand; Tickoo Omesh; Somayazulu Vallabhajosyula |
11430724 | Ultra-thin, hyper-density semiconductor packages | Mallik Debendra; Sankman Robert L.; Nickerson Robert; Modi Mitul; Ganesan Sanka; Swaminathan Rajasekaran; Karhade Omkar; Liff Shawna M.; Alur Amruthavalli; Chavali Sri Chaitra J. |
11430740 | Microelectronic device with embedded die substrate on interposer | May Robert Alan; Salama Islam A.; Boyapati Sri Ranga Sai; Li Sheng; Darmawikarta Kristof; Sankman Robert L.; Alur Amruthavalli Pallavi |
11430751 | Microelectronic devices designed with 3D stacked ultra thin package modules for high frequency communications | Aleksov Aleksandar; Dogiamis Georgios C.; Kamgaing Telesphor; Oster Sasha N. |
11430764 | Overhang bridge interconnect | Cheah Bok Eng; Lim Seok Ling; Ong Jenny Shio Yin; Kong Jackson Chung Peng; Ooi Kooi Chi |
11430778 | Differential trench fill for ease of layout design | Lee Chen-Guan |
11430787 | Forming crystalline source/drain contacts on semiconductor devices | Jambunathan Karthik; Maddox Scott J.; Bomberger Cory C.; Murthy Anand S. |
11430814 | Metallization structures for stacked device connectivity and their methods of fabrication | Lilak Aaron D.; Phan Anh; Morrow Patrick; Rachmady Willy; Dewey Gilbert; Torres Jessica M.; Jun Kimin; Tronic Tristan A.; Jezewski Christopher J.; Yoo Hui Jae; Chau Robert S.; Tsang Chi-Hwa |
11430866 | Device contact sizing in integrated circuit structures | Bouche Guillaume; Wei Andy Chih-Hung; Ma Sean T. |
11430868 | Buried etch-stop layer to help control transistor source/drain depth | Mehandru Rishabh; Guha Biswajeet; Bowonder Anupama; Murthy Anand S.; Ghani Tahir; Cea Stephen M. |
11430873 | Self aligned gate connected plates for group III-Nitride devices and methods of fabrication | Hafez Walid; Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko; Fischer Paul |
11430921 | Micro LED apparatus including color conversion structures and methods of manufacturing the same | Ahmed Khaled |
11430942 | Multilayer free magnetic layer structure for spin-based magnetic memory | Oguz Kaan; Gosavi Tanay; Manipatruni Sasikanth; Lin Chia-Ching; Allen Gary |
11430943 | Magnetic tunnel junction (MTJ) devices with a synthetic antiferromagnet (SAF) structure including a magnetic skyrmion | O'Brien Kevin; Oguz Kaan; Sato Noriyuki; Kuo Charles; Doczy Mark |
11430944 | Interconnect structures for logic and memory devices and methods of fabrication | Wiegand Christopher; Malyavanatham Gokul; Golonzka Oleg |
11430948 | Resistive random access memory device with switching multi-layer stack and methods of fabrication | Glassman Timothy; Seghete Dragos; Strutt Nathan; Asuri Namrata S.; Golonzka Oleg; Kothari Hiten; Andrus Matthew J. |
11430949 | Metal filament memory cells | Pillarisetty Ravi; Karpov Elijah V.; Majhi Prashant; Mukherjee Niloy |
11431351 | Selection of data compression technique based on input characteristics | Cassetti David K.; Palermo Stephen T.; Bissessur Sailesh; Fleming Patrick; Mosur Lokpraveen; Kumar Smita; Gudadhe Pradnyesh S.; Lakkakula Naveen; Will Brian; Kwatra Atul |
11431403 | Radio frequency repeater circuitry | Kohavi Barak; Freiman Amit |
11431444 | Communication method and system for joint downlink and uplink transmissions | Yeh Shu-Ping; Wang Ping; Min Alexander W.; Choi Yang-Seok |
11431561 | Internet of things | Smith Ned M.; Nolan Keith; Kelly Mark; Burns Gregory; Nolan Michael; Brady John; Ni Scanaill Cliodhna; Cahill Niall; Macieira Thiago; Zhang Zheng; Anderson Glen J.; Muttik Igor; Carboni Davide; Ryan Eugene; Davies Richard; Kohlenberg Toby M.; Koning Maarten; Wenus Jakub; Poornachandran Rajesh; Deleeuw William C.; Chukka Ravikiran |
11431565 | Dynamic traffic-aware interface queue switching among processor cores | Awal Mohammad Abdul; Singh Jasvinder; Pattan Reshma; Hunt David; Doherty Declan; Macnamara Chris |
11431600 | Technologies for GPU assisted network traffic monitoring and analysis | Min Alexander W.; Tsai Jr-Shian; Tseng Janet; Sood Kapil; Tai Tsung-Yuan C. |
11431646 | Systems and methods for predictive scheduling and rate limiting | Dhanoa Kulwinder Singh |
11431648 | Technologies for providing adaptive utilization of different interconnects for workloads | Guim Bernat Francesc; Doshi Kshitij; Prabhakaran Suraj |
11431655 | Coordinated channel switch timing and transmissions in neighborhood awareness networks | Qi Emily; Oren Elad; Huang Po-Kai |
11431879 | Devices and methods for selective display frame fetch | Tanner Jason; Diefenbaugh Paul; Sinha Vishal; Runyan Arthur; Smith Gary K.; Bui Kathy; Li Yifan; Huang Meterelliyoz Shirley |
11432009 | Techniques for encoding and decoding immersive video | Salahieh Basel; Boyce Jill M. |
11432011 | Size based transform unit context derivation | Zhang Wenhao; Chiu Yi-Jen; Kapsenberg Pieter; Xu Lidong; Han Yu; Deng Zhipin Apple; Cai Xiaoxia |
11432119 | Methods for vehicular communication in next generation vehicle-to-everything (NGV) devices in mobility scenarios | Cariou Laurent; Sadeghi Bahareh; Kenney Thomas J. |
11432162 | Techniques for controlling spectrum usage of a hierarchical communication system | Mueck Markus Dominik; Drewes Christian; Tsagkaris Kostas; Demestichas Panagiotis; Michaloliakos Michalis; Vassaki Stavroula |
11432256 | Base station circuitry for adapting operation of a user equipment between stand-alone and network assisted operation | Mueck Markus Dominik |
11432347 | Peer to peer networking and sharing systems and methods | Gong Michelle X. |
11432405 | Methods for attaching large components in a package substrate for advanced power delivery | Jain Rahul; Chatterjee Prithwish; Lee Kyu-oh |
11432421 | Coupling systems and methods for electronic devices | Alva Samarth; Varadarajan Krishnakumar; Channaiah Yogesh; Pillai Prakash; Pawar Sagar; Tuljapurkar Aneesh; N Raghavendra |
11435429 | Method and system of acoustic angle of arrival detection | Cordourier Maruri Hector; Camacho Perez Jose; Lopez Meyer Paulo; Zamora Esquivel Julio; Huang Jonathan |
11435695 | Deep computational holography | Supikov Alexey; Huang Qiong; Grunnet-Jepsen Anders; Winer Paul; Azuma Ronald T.; Mulla Ofir |
11435809 | Method and apparatus to improve energy efficiency of parallel tasks | Bodas Devadatta V.; Rajappa Muralidhar; Song Justin J.; Hoffman Andy |
11435816 | Processor having accelerated user responsiveness in constrained environment | Rotem Efraim; Rajwan Doron; Weissmann Eliezer; Rosenzweig Nir; Distefano Eric; Santos Ishmael F.; Hermerding, II James G. |
11435819 | Viewing angles influenced by head and body movements | Johnston Robert J.; Avadhanam Satyanarayana; Wang Changliang; Biswal Narayan; Sharma Archie; Hicks Richmond; Ray Joydeep; Appu Abhishek R.; Baran Stanley J.; Lee Sang-Hee; Mohammed Atthar H.; Oh Jong Dae; Chan Hiu-Fai; Mohan Sumit; Boyce Jill M.; Chiu Yi-Jen |
11435909 | Device, system and method to generate link training signals | Morris Tonia G.; Finkelstein Moshe Jacob; Subashchandrabose Ramesh; Yerva Lohit R. |
11435958 | Shared memory mechanism to support fast transport of SQ/CQ pair communication between SSD device driver in virtualization environment and physical SSD | Cao Gang; Yang Ziye; Liu Xiaodong; Liu Changpeng |
11436010 | Method and apparatus for vectorizing indirect update loops | Plotnikov Mikhail; Hughes Christopher J.; Naraikin Andrey |
11436018 | Apparatuses, methods, and systems for instructions to request a history reset of a processor core | Weissmann Eliezer; Charney Mark; Mishaeli Michael; Valentine Robert; Ravid Itai; Brandt Jason W.; Neiger Gilbert; Chaikin Baruch; Rotem Efraim |
11436051 | Technologies for providing attestation of function as a service flavors | Bernat Francesc Guim; Doshi Kshitij; Smith Ned M. |
11436118 | Apparatus and method for adaptively scheduling work on heterogeneous processing resources | Weissmann Eliezer; Barak Omer; Chabukswar Rajshree; Fenger Russell; Gorbatov Eugene; Gupta Monica; Mandelblat Julius; Misgav Nir; Rotem Efraim; Yasin Ahmad |
11436147 | Technologies for predictive file caching and synchronization | Li Hong; Chahal Sudip S.; Ubry Roy J.; Braham Julian; Banerji Preeta |
11436161 | System for address mapping and translation protection | Sahita Ravi L.; Neiger Gilbert; Shanbhogue Vedvyas; Durham David M.; Anderson Andrew V.; Koufaty David A.; Mallick Asit K.; Thiyagarajah Arumugam; Huntley Barry E.; Gupta Deepak K.; Lemay Michael; Cihula Joseph F.; Patel Baiju V. |
11436342 | TDX islands with self-contained scope enabling TDX KeyID scaling | Gerzon Gideon; Khosravi Hormuzd M.; Von Bokern Vincent; Huntley Barry E.; Caspi Dror |
11436399 | Method and apparatus for performing multiplier regularization | Langhammer Martin; Gribok Sergey; Baeckler Gregg William |
11436433 | Malleable fabric attached virtual artificial intelligence (AI) training appliances | Bachmutsky Alexander; Doshi Kshitij A.; Guim Bernat Francesc; Kondapalli Raghu; Prabhakaran Suraj |
11436695 | Coarse grain coherency | Ray Joydeep; Koker Altug; Valerio James A.; Puffer David; Appu Abhishek R.; Junkins Stephen |
11436696 | Apparatus and method for provisioning virtualized multi-tile graphics processing hardware | Shah Ankur N.; Pendluru Nishanth Reddy; Koston Joseph; Ramadoss Murali |
11436785 | Apparatus and method for hierarchical beam tracing and packet compression in a ray tracing system | Wald Ingo; Liktor Gabor; Benthin Carsten; Brownlee Carson; Guenther Johannes; Amstutz Jefferson D. |
11436900 | Apparatus and methods for haptic covert communication | Baron Charles; Baca Jim S.; Williams Kevin W.; Lewis William J.; Moran Michael T. |
11437255 | Epitaxial III-N nanoribbon structures for device fabrication | Dasgupta Sansaptak; Radosavljevic Marko; Then Han Wui; Fischer Paul; Lin Kevin |
11437283 | Backside contacts for semiconductor devices | Lilak Aaron D.; Mannebach Ehren; Phan Anh; Schenker Richard E.; Bojarski Stephanie A.; Rachmady Willy; Morrow Patrick R.; Bielefeld Jeffery D.; Dewey Gilbert; Yoo Hui Jae |
11437285 | Trench plug hardmask for advanced integrated circuit structure fabrication | St. Amour Anthony; Hattendorf Michael L.; Auth Christopher P. |
11437294 | Structures to facilitate heat transfer within package layers to thermal heat sink and motherboard | Shekhar Sameer; Jain Amit Kumar; Radhakrishnan Kaladhar; Douglas Jonathan P.; Kuan Chin Lee |
11437297 | Moderated deformation of a vapor chamber to match a shape of a heat source | Ying Guoliang; Lu Jun; Zhang Guangying; Xu Xinglong; Liao Wei; Zhu Fangbo |
11437346 | Package structure having substrate thermal vent structures for inductor cooling | Hill Michael J.; Wojewoda Leigh E.; Manusharow Mathew; Kulasekaran Siddharth |
11437348 | Microelectronic assemblies with communication networks | Elsherbini Adel A.; Elshazly Amr; Chandrasekhar Arun; Liff Shawna M.; Swan Johanna M. |
11437366 | Tunable passive semiconductor elements | Zhang Zhichao; Aygun Kemal; Mekonnen Yidnekachew S. |
11437405 | Transistors stacked on front-end p-type transistors | Dewey Gilbert; Morrow Patrick; Lilak Aaron; Rachmady Willy; Phan Anh; Mannebach Ehren; Yoo Hui Jae; Sharma Abhishek; Le Van H.; Huang Cheng-Ying |
11437472 | Integrated circuit structures having germanium-based channels | Chouksey Siddharth; Glass Glenn; Murthy Anand; Kennel Harold; Kavalieros Jack T.; Ghani Tahir; Agrawal Ashish; Sung Seung Hoon |
11437483 | Gate-all-around integrated circuit structures having dual nanoribbon channel structures | Trivedi Tanuj; Ramaswamy Rahul; Kim Jeong Dong; Fallahazad Babak; Chang Hsu-Yu; Chang Ting; Nidhi Nidhi; Hafez Walid M. |
11437504 | Complementary group III-nitride transistors with complementary polarization junctions | Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko |
11437514 | Semiconductor device having tipless epitaxial source/drain regions | Bohr Mark T. |
11437567 | Perpendicular spin transfer torque magnetic mechanism | Brockman Justin; Wiegand Christopher; Rahman MD Tofizur; Ouelette Daniel; Smith Angeline; Alzate Vinasco Juan; Kuo Charles; Doczy Mark; Oguz Kaan; O'Brien Kevin; Doyle Brian; Golonzka Oleg; Ghani Tahir |
11437693 | Mmwave waveguides featuring power-over-waveguide technology for automotive applications | Dogiamis Georgios C.; Oster Sasha N.; Kamgaing Telesphor; Shoemaker Kenneth; Ewy Erich N.; Elsherbini Adel A.; Swan Johanna M. |
11437706 | Package with side-radiating wave launcher and waveguide | Dogiamis Georgios; Aleksov Aleksandar; Kamgaing Telesphor; Dewey Gilbert W.; Lee Hyung-Jin |
11437971 | Wideband reconfigurable impedance matching network | Yu Chuanzhao; Eschbaumer Maximilian |
11438090 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11438104 | Extremely high throughput hybrid automatic repeat request | Cariou Laurent; Chen Xiaogang; Huang Po-Kai; Perez-Ramirez Javier; Azizi Shahrnaz; Balakrishnan Ravikumar; Bravo Daniel; Jiang Feng; Kenney Thomas J.; Li Qinghua; Stacey Robert |
11438147 | Technologies for multiple device authentication in a heterogeneous network | Afanasyeva Alexandra; Bezzateev Sergey; Petrov Vitaly; Zhidanov Konstantin; Voloshina Natalia; Zybin Vladimir; Bakunova Anna |
11438172 | Robust state synchronization for stateful hash-based signatures | Sastry Manoj; Misoczki Rafael; Loney Jordan; Wheeler David M. |
11438422 | Establishing cloud-to-cloud access for internet of things (IOT) devices | McCall David J.; Heldt-Sheller Nathan; Smith Ned M. |
11438566 | Three dimensional glasses free light field display using eye location | Li Tuotuo; Ratcliff Joshua J.; Huang Qiong; Supikov Alexey M.; Azuma Ronald T. |
11438569 | Online compensation of thermal distortions in a stereo depth camera | Zabatani Aviad; Bareket Sagy; Menashe Ohad; Sperling Erez; Bronstein Alex; Bronstein Michael; Kimmel Ron; Surazhsky Vitaly |
11438632 | Method and system of neural network loop filtering for video coding | Yin Hujun; Ma Shoujiang; Fang Xiaoran; Yang Rongzhen |
11438722 | Augmented reality virtual reality ray tracing sensory enhancement system, apparatus and method | Ray Joydeep; Schluessler Travis T.; Surti Prasoonkumar; Feit John H.; Kaburlasos Nikos; Kwiatkowski Jacek; Appu Abhishek R.; Holland James M.; Boles Jeffery S.; Kennedy Jonathan; Feng Louis; Kuwahara Atsuo; Das Barnan; Biswal Narayan; Baran Stanley J.; Cilingir Gokcen; Shah Nilesh V.; Sharma Archie; Varerkar Mayuresh M. |
11438729 | Report identification and power control for ranging | Das Dibakar; Segev Jonathan; Ghosh Chittabrata; Venkatesan Ganesh; Jiang Feng; Li Qinghua; Chen Xiaogang; Segev Eran; Niu Huaning; Vituri Shlomi; Oren Elad; Kojokaro Chen |
11438774 | Device and method for CSI processing based on multi-service priority queue | Hwang Yeong-Sun; Ju Ziyang; Malhotra Anchit |
11438872 | Narrowband internet of things devices and method of operation thereof | Kumar Utsaw; Chatterjee Debdeep; Han Seunghee; Bendlin Ralf Matthias |
11438907 | Apparatus, system and method of beamforming and beam tracking | Da Silva Claudio; Chen Cheng; Lomayev Artyom; Cordeiro Carlos |
11438968 | Non-contiguous resource units for wireless local-area networks (WLANs) | Chen Xiaogang; Li Qinghua; Jiang Feng; Kenney Thomas J.; Kojokaro Chen; Avital Ziv |
11439037 | Jet vectoring fluid impingement cooling using pivoting nozzles | Subrahmanyam Prabhakar; Krishnamoorthy Arun |
11442103 | Multibit vectored sequential with scan | Agarwal Amit; Krishnamurthy Ram; Damaraju Satish; Hsu Steven; Realov Simeon |
11442492 | Clock glitch mitigation apparatus and method | Abdelmoneum Mohamed A.; Kurd Nasser A.; Hegde Thripthi |
11442529 | System, apparatus and method for dynamically controlling current consumption of processing circuits of a processor | Ananthakrishnan Avinash N.; Ambardekar Ameya; Varma Ankush; Angel Nimrod; Rosenzweig Nir; Gihon Arik; Gendler Alexander; Rayess Rachid E.; Salus Tamir |
11442713 | Methods and apparatus to improve optimizing loops with predictable recurring memory reads | Caballero de Gea Diego Luis; Ido Hideki; Garcia Eric N. |
11442734 | Packed data element predication processors, methods, systems, and instructions | Toll Bret L.; Guy Buford M.; Singhal Ronak; Naik Mishali |
11442760 | Aperture access processors, methods, systems, and instructions | Huntley Barry E.; Tsai Jr-Shian; Neiger Gilbert; Sankaran Rajesh M.; Ergin Mesut A.; Sahita Ravi L.; Herdrich Andrew J.; Wang Wei |
11442876 | System, apparatus and method for synchronizing multiple virtual link states over a package interconnect | Hor Joon Teik; Song Ting Lok; Wagh Mahesh; Lim Su Wei |
11442889 | Dynamic deep learning processor architecture | Nurvitadhi Eriko; Weber Scott J.; Gutala Ravi Prakash; Dasu Aravind Raghavendra |
11442910 | Multiple order delta compression | Veernapu Kiran C.; Appu Abhishek R.; Surti Prasoonkumar |
11443073 | Techniques for preventing voltage tampering of security control circuits | Koay Wei Yee; Lu Ting; Hor Ching Kooi; Ch'ng Chin Ghee |
11443138 | Systems and methods for virtual camera configuration | Yeung Fai; Jangala Naga Vasanthi; Goncalves de Lima Gilson; Shon Patrick Youngung; Krishnan Yogeshwara |
11443406 | High-speed resume for GPU applications | Schluessler Travis; Waters Zack; Moidel Charles; Apodaca Michael; Ramadoss Murali |
11443407 | Sparse matrix optimization mechanism | Sharma Namita; Pal Supratim; Simon Biju P.; Vivek Tovinakere D. |
11443793 | Battery life based on inhibited memory refreshes | Jayaraman Ramkumar; H Krishnaprasad; Ghosh Kausik |
11443885 | Thin film barrier seed metallization in magnetic-plugged through hole inductor | Darmawikarta Kristof; Pietambaram Srinivas; Gaan Sandeep; Boyapati Sri Ranga Sai; Chatterjee Prithwish; Paital Sameer; Jain Rahul; Zhao Junnan |
11443892 | Substrate assembly with encapsulated magnetic feature | Lee Kyu-Oh; Jain Rahul; Vadlamani Sai; Xu Cheng; Park Ji Yong; Zhao Junnan; Kim Seo Young |
11443970 | Methods of forming a package substrate | Konchady Manohar S.; Wu Tao; Roy Mihir K.; Jen Wei-Lun K.; Li Yi |
11443983 | Void-free high aspect ratio metal alloy interconnects and method of manufacture using a solvent-based etchant | Chowdhury Shaestagir; Surawanvijit Sirikarn; Saha Biswadeep; Thompson Erica J. |
11444003 | Integrated heat spreader with multiple channels for multichip packages | Wan Zhimin; Chiu Chia-Pin; Mohan Jha Chandra; Tang Weihua; Devasenathipathy Shankar |
11444024 | Subtractively patterned interconnect structures for integrated circuits | Lin Kevin; Sato Noriyuki; Tronic Tristan; Christenson Michael; Jezewski Christopher; Chen Jiun-Ruey; Blackwell James M.; Metz Matthew; Reshotko Miriam; Kabir Nafees; Bielefeld Jeffery; Chandhok Manish; Yoo Hui Jae; Karpov Elijah; Naylor Carl; Chebiam Ramanan |
11444033 | Hybrid microelectronic substrate and methods for fabricating the same | Starkston Robert; Sankman Robert L.; Mokler Scott M.; Stamey Richard C. |
11444042 | Magnetic structures in integrated circuit packages | Brown Andrew James; Wang Ying; Zhang Chong; Link Lauren Ashley; Deng Yikang |
11444148 | Recoiled metal thin film for 3D inductor with tunable core | Kim Gwang-Soo; Lilak Aaron D.; Byon Kumhyo; Ingerly Doug |
11444159 | Field effect transistors with wide bandgap materials | Ma Sean T.; Dewey Gilbert; Rachmady Willy; Metz Matthew V.; Huang Cheng-Ying; Kennel Harold W.; Kavalieros Jack T.; Murthy Anand S.; Ghani Tahir |
11444166 | Backside source/drain replacement for semiconductor devices with metallization on both sides | Glass Glenn A.; Jambunathan Karthik; Murthy Anand S.; Mohapatra Chandra S.; Morrow Patrick; Kobrinsky Mauro J. |
11444171 | Self-aligned gate endcap (SAGE) architecture having gate or contact plugs | Subramanian Sairam; Hafez Walid M. |
11444188 | Quantum dot devices | Pillarisetty Ravi; Thomas Nicole K.; George Hubert C.; Roberts Jeanette M.; Amin Payam; Yoscovits Zachary R.; Caudillo Roman; Clarke James S. |
11444204 | Transistor device with channel recess structure and method of providing same | Sharma Abhishek A.; Le Van H.; Ma Sean T.; Kavalieros Jack; Chu-Kung Benjamin |
11444237 | Spin orbit torque (SOT) memory devices and methods of fabrication | Sato Noriyuki; Gosavi Tanay; Allen Gary; Manipatruni Sasikanth; Oguz Kaan; O'Brien Kevin; Wiegand Christopher; Smith Angeline; Rahman Tofizur; Young Ian; Buford Ben |
11444445 | Driver assisted ESD protection apparatus and method | Dua Raj Singh; Joshi Sanjay; Muljono Harry; Gill Balkaran |
11444532 | Non-linear clamp strength tuning method and apparatus | Liu Xiaosen; Ravichandran Krishnan; Krishnamurthy Harish; De Vivek |
11444624 | Power management for multi-dimensional programmable logic devices | Chandrasekar Karthik; Chen Guang; Beyene Wendemagegnehu T.; Gutala Ravi Prakash |
11444668 | Methods and devices for wireless communications in device-to-device networks | Badic Biljana; Kiilerich Pratas Nuno Manuel; Mueck Markus Dominik; Yu Zhibin |
11444748 | Ultra-low latency advanced encryption standard | Kounavis Michael |
11444811 | Interference mitigation | Arambepola Bernard; Hewavithana Thushara |
11444829 | Link layer communication by multiple link layer encodings for computer buses | Das Sharma Debendra |
11444846 | Technologies for accelerated orchestration and attestation with edge device trust chains | Bernat Francesc Guim; Sood Kapil; Viswanathan Tarun; Doshi Kshitij; Verrall Timothy; Smith Ned M.; Dave Manish; Vul Alex |
11444866 | Methods and apparatus for composite node creation and management through SDI partitions | Rivas Barragan Daniel; Guim Bernat Francesc; Balle Susanne M.; Leung John Chun Kwok; Prabhakaran Suraj; Nachimuthu Murugasamy K.; Putyrski Slawomir |
11444961 | Active attack detection in autonomous vehicle networks | Juliato Marcio; Lesi Vuk; Ahmed Shabbir; Gutierrez Christopher; Sastry Manoj; Yang Liuyang; Liu Xiruo |
11445220 | Loop restoration filtering for super resolution video coding | Zhang Ximin; Lee Sang-Hee; Rowe Keith W. |
11445346 | Autonomous sharing of data between geographically proximate nodes | Booth Cory J.; Jordan Adam; Payne Michael; Zafiroglu Alexandra C.; Ekandem Joshua; Chhabra Jasmeet |
11445362 | Security certificate management and misbehavior vehicle reporting in vehicle-to-everything (V2X) communication | Liu Xiruo; Yang Liuyang; Gomes Baltar Leonardo; Ambrosin Moreno; Sastry Manoj R. |
11445520 | Apparatus, system and method of beamforming and beam tracking | Da Silva Claudio; Chen Cheng; Lomayev Artyom; Cordeiro Carlos |
11445608 | Chassis interconnect for an electronic device | Lim Chee How; Goh Eng Huat; Lim Jon Sern; See Khai Ern; Lim Min Suet; Chuah Tin Poay; Lim Yew San |
11445616 | Interfacial layer for high resolution lithography (HRL) and high speed input/output (IO or I/O) architectures | Nad Suddhasattwa; Manepalli Rahul; Wall Marcel |
11446571 | Cloud gaming adaptive synchronization mechanism | Sameer Kalathil Perazhi |
11448722 | Apparatus, system and method of communicating radar signals | Dvorecki Nir; Amizur Yuval; Banin Leor |
11449111 | Scalable, high load, low stiffness, and small footprint loading mechanism | Buddrius Eric W.; Miele Ralph V.; Prabhugoud Mohanraj; Shia David; Smalley Jeffory L. |
11449127 | Peak power determination for an integrated circuit device | Gorbatov Eugene; Uan-Zo-Li Alexander; Nge Chee Lim; Hermerding, II James; Wang Zhongsheng |
11449247 | Periphery shoreline augmentation for integrated circuits | Teh Chee Hak; Wortman Curtis; Schulz Jeffrey Erik |
11449396 | Failover support within a SoC via standby domain | Cormack Christopher; Cowperthwaite David J.; Curfman Matthew |
11449592 | Gesture matching mechanism | Li Wenlong; Shen Xiaolu; Zhang Lidan; Lorenzo Jose E.; Li Qiang; Holmes Steven; Tong Xiaofeng; Du Yangzhou; Smiley Mary; Mishra Alok |
11449786 | Methods and apparatus to generate anomaly detection datasets | Gottschlich Justin E.; Farooqui Naila |
11449803 | Data class analysis method and apparatus | Iyer Darshan; Jain Nilesh K. |
11450009 | Object detection with modified image background | Li Yuming; Zhou Zhen; Wang Xiaodong; Yin Quan |
11450123 | Analytic image format for visual computing | Strong Christina R.; Gupta Vishakha; Remis Luis Carlos Maria; Datta Kushal; Raghunath Arun |
11450471 | Methods to selectively embed magnetic materials in substrate and corresponding structures | Xu Cheng; Lee Kyu-Oh; Zhao Junnan; Jain Rahul; Park Ji Yong; Vadlamani Sai; Kim Seo Young |
11450527 | Engineering tensile strain buffer in art for high quality Ge channel | Le Van H.; Chu-Kung Benjamin; Rachmady Willy; French Marc C.; Sung Seung Hoon; Kavalieros Jack T.; Metz Matthew V.; Agrawal Ashish |
11450560 | Microelectronic assemblies having magnetic core inductors | Bharath Krishna; Elsherbini Adel A.; Liff Shawna M.; Radhakrishnan Kaladhar; Qian Zhiguo; Swan Johanna M. |
11450613 | Integrated circuit package with test circuitry for testing a channel between dies | Xie Mayue; Guo Jong-Ru; Qian Zhiguo; Wu Zuoguo |
11450617 | Transmission line structures for III-N devices | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak; Nidhi Nidhi; Fischer Paul B.; Ramaswamy Rahul; Hafez Walid M.; Rode Johann Christian |
11450620 | Innovative fan-out panel level package (FOPLP) warpage control | Chung Eunyong; Jang Moon Young |
11450629 | Intra-semiconductor die communication via waveguide in a multi-die semiconductor package | Aygun Kemal; Qian Zhi Guo; Xie Jian Yong |
11450669 | Stacked thin-film transistor based embedded dynamic random-access memory | Sharma Abhishek A.; Alzate-Vinasco Juan G.; Hamzaoglu Fatih; Sell Bernhard; Wang Pei-hua; Le Van H.; Kavalieros Jack T.; Ghani Tahir; Arslan Umut; Lajoie Travis W.; Ku Chieh-jen |
11450672 | Ultra-deep compute static random access memory with high compute throughput and multi-directional data propagation | Augustine Charles; Paul Somnath; Khellah Muhammad M.; Koren Chen |
11450675 | One transistor and one ferroelectric capacitor memory cells in diagonal arrangements | Morris Daniel H.; Avci Uygar E.; Young Ian A. |
11450736 | Source/drain regions in integrated circuit structures | Ma Sean T.; Wei Andy Chih-Hung; Bouche Guillaume |
11450738 | Source/drain regions in integrated circuit structures | Ma Sean T.; Murthy Anand S.; Glass Glenn A.; Guha Biswajeet |
11450739 | Germanium-rich nanowire transistor with relaxed buffer layer | Glass Glenn; Murthy Anand; Bomberger Cory; Ghani Tahir; Kavalieros Jack; Chouksey Siddharth; Sung Seung Hoon; Guha Biswajeet; Agrawal Ashish |
11450750 | Thin-film transistors with vertical channels | Haratipour Nazila; Ghani Tahir; Kavalieros Jack T.; Dewey Gilbert; Chu-Kung Benjamin; Sung Seung Hoon; Le Van H.; Shivaraman Shriram; Sharma Abhishek |
11450765 | Quantum dot devices with diodes for electrostatic discharge protection | George Hubert C.; Pillarisetty Ravi; Lampert Lester; Clarke James S.; Thomas Nicole K.; Caudillo Roman; Singh Kanwaljit; Michalak David J.; Roberts Jeanette M. |
11450798 | Interconnects for quantum dot devices | Roberts Jeanette M.; Pillarisetty Ravi; Michalak David J.; Yoscovits Zachary R.; Clarke James S. |
11450936 | Transmission of data over conducting wires | Parthasarathy Ramaswamy; Rathod Punit Ashok; Thakur Jayprakash; Sundaram Arvind; Sharma Ajay; Ambasana Nikita Bipin; Ramachandra Satish; Pandit Vishram Shriram |
11451067 | Method, apparatus and system to enhance a device policy manager to manage devices based on battery condition | Regupathy Rajaram; Bailur Nirmala; Muralidhar Rajeev |
11451260 | Methods and devices for device-to-device communications | Scholand Tobias; Ellenbeck Jan; Badic Biljana; Barbu Oana-Elena; Reichelmeir Thomas Hans-Joerg; Goris Norman; Castel Lea; Kiilerich Pratas Nuno Manuel; Drewes Christian; Brendel Johannes |
11451322 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11451435 | Technologies for providing multi-tenant support using one or more edge channels | Bernat Francesc Guim; Kumar Karthik; Graniello Benjamin; Verrall Timothy; Herdrich Andrew J.; Patel Rashmin; Kenguva Monica; Ganesh Brinda; Vul Alexander; Smith Ned M.; Prabhakaran Suraj |
11451455 | Technologies for latency based service level agreement management in remote direct memory access networks | Ganguli Mrittika; Srinivasan Arvind; Putyrski Slawomir; Wood Donald E. |
11451470 | Systems and methods for data service request classification and routing | Power Damien; MacNamara Chris; Varlese Marco |
11451609 | Technologies for accelerated HTTP processing with hardware acceleration | Sarangam Parthasarathy; Deval Manasi; Bowers Gregory |
11451757 | Automated generation of camera paths | Li Qiang; Li Wenlong; Houminer Doron T; Ling Chen; Prilusky Diego |
11452032 | Methods and devices for radio communications | Gupta Ajay; Schneider Reinhold |
11452080 | Probes with short service set identifier | Min Alexander; Cariou Laurent; Azizi Shahrnaz; Vannithamby Rath; Cordeiro Carlos; Kenney Thomas; Balakrishnan Ravikumar; Perez-Ramirez Javier |
11452175 | Management frames for rate adaptation by enhanced directional multi-gigabit (EDMG) stations in millimeter wave (mmWave) networks | Chen Cheng; Cordeiro Carlos; Kedem Oren |
11455016 | Physical keyboards for multi-display computing devices | Ku Jeff; Liu Tim; Lai Yihua; Lin Lance; Sung Gavin |
11455167 | Efficient implementation of complex vector fused multiply add and complex vector multiply | Sade Raanan; Pons Thierry; Gradstein Amit; Sperber Zeev; Charney Mark J.; Valentine Robert; Oz-Sinay Eyal |
11455257 | Ultra-secure accelerators | Gopal Vinodh; Feghali Wajdi; Makaram Raghunandan |
11455261 | First boot with one memory channel | Li Kevin Yufu; Yin Donggui; You Zijian; Li Shihui; Wu Dujian |
11455392 | Methods and apparatus of anomalous memory access pattern detection for translational lookaside buffers | Basak Abhishek; Chen Li; Sultana Salmin; Trikalinou Anna; Aktas Erdem; Komijani Saeedeh |
11455431 | Secure PUF-based device authentication using adversarial challenge selection | Suresh Vikram; Kumar Raghavan; Mathew Sanu |
11455770 | Method and apparatus for encoding based on shading rates | Guo Yejun |
11455793 | Robust object detection and classification using static-based cameras and events-based cameras | Campos Macias Leobardo; De La Guardia Gonzalez Rafael; Gomez Gutierrez David; Guzman Leguel Anthony Kyung; Parra Vilchis Jose Ignacio |
11456116 | Magnetic coils in locally thinned silicon bridges and methods of assembling same | Augustin Andreas; Waidhas Bernd; Koller Sonja; Mahnkopf Reinhard; Seidemann Georg |
11456232 | Thermal assemblies for multi-chip packages | Wan Zhimin; Chang Je-Young; Chiu Chia-Pin; Devasenathipathy Shankar; Gebrehiwot Betsegaw Kebede; Jha Chandra Mohan |
11456248 | Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom | Gstrein Florian; Tan Cen; Hourani Rami |
11456281 | Architecture and processes to enable high capacity memory packages through memory die stacking | Li Yí; Qian Zhiguo; Ramanathan Prasad; Jayaraman Saikumar; Aygun Kemal; Amador Hector; Collins Andrew; Xie Jianyong; Tomishima Shigeki |
11456357 | Self-aligned gate edge architecture with alternate channel material | Guha Biswajeet; Bowonder Anupama; Hsu William; Liao Szuya S.; Baykan Mehmet Onur; Ghani Tahir |
11456372 | Multi-height finfet device by selective oxidation | Kim Seiyon; Bhimarasetti Gopinath; Rios Rafael; Kavalieros Jack T.; Ghani Tahir; Murthy Anand S.; Mehandru Rishabh |
11456516 | Low loss high-speed interconnects | Ong Ling Li; Lee Kin Wai; Cheah Bok Eng; Poh Yang Liang; Soon Yean Ling |
11456615 | Battery backup system redundancy | Song Chuan; Liang Xiaoguo; Jiang Feng |
11456721 | RF front end module including hybrid filter and active circuits in a single package | Eid Feras; Kamgaing Telesphor; Dogiamis Georgios C.; Nair Vijay K.; Swan Johanna M. |
11456877 | Unified accelerator for classical and post-quantum digital signature schemes in computing environments | Mathew Sanu; Sastry Manoj; Ghosh Santosh; Suresh Vikram; Reinders Andrew H.; Kumar Raghavan; Misoczki Rafael |
11456966 | Scalable edge computing | Guim Bernat Francesc; Kumar Karthik; Willhalm Thomas; Schmisseur Mark A.; Verrall Timothy |
11456972 | Methods and arrangements to accelerate array searches | Underwood Keith; Brummel Karl; Greth John |
11457193 | Pre-stitching tuning automation for panoramic VR applications | Yeung Fai |
11457347 | Techniques to manage service requests in a wireless network | Gupta Vivek |
11457511 | Enhanced downlink semi-persistent scheduling (SPS) | Panteleev Sergey; Chatterjee Debdeep; Hamidi-Sepehr Fatemeh; Islam Toufiqul |
11460499 | Dual sided thermal management solutions for integrated circuit packages | Braunisch Henning; Aleksov Aleksandar; Strong Veronica; Rawlings Brandon; Swan Johanna; Liff Shawna |
11460847 | Controller for an autonomous vehicle, and network component | Rosales Rafael; Geissler Florian; Alvarez Ignacio; Kose Cihangir Neslihan |
11461036 | Technologies for logging and visualizing storage events | Trika Sanjeev |
11461098 | Apparatuses, methods, and systems for instructions for operating system transparent instruction state management of new instructions for application threads | Opferman Toby; Sethi Prashant; Varde Abhimanyu K.; Huntley Barry E.; Chynoweth Michael W.; Brandt Jason W. |
11461099 | System, apparatus and method for fine-grain address space selection in a processor | Kakaiya Utkarsh Y.; Sankaran Rajesh; Neiger Gilbert; Lantz Philip; Kumar Sanjay K. |
11461100 | Process address space identifier virtualization using hardware paging hint | Tian Kun; Kumar Sanjay; Raj Ashok; Liu Yi; Sankaran Rajesh M.; Lantz Philip R. |
11461105 | Methods and apparatus for deep learning network execution pipeline on multi-processor platform | Yang Liu; Yao Anbang |
11461107 | Compute unit having independent data paths | Ould-Ahmed-Vall Elmoustapha; Lakshmanan Barath; Shpeisman Tatiana; Ray Joydeep; Tang Ping T.; Strickland Michael; Chen Xiaoming; Yao Anbang; Ashbaugh Ben J.; Hurd Linda L.; Ma Liwei |
11461220 | Techniques to identify improper information in call stacks | Slobodskoy Vitaly; Isakov Andrey |
11461244 | Co-existence of trust domain architecture with multi-key total memory encryption technology in servers | Ouziel Ido; Aharon Arie; Caspi Dror; Chaikin Baruch; Doweck Jacob; Gerzon Gideon; Huntley Barry E.; McKeen Francis X.; Neiger Gilbert; Rozas Carlos V.; Sahita Ravi L.; Shanbhogue Vedvyas; Zaltsman Assaf; Khosravi Hormuzd M. |
11461483 | Protection of communications between trusted execution environment and hardware accelerator utilizing enhanced end-to-end encryption and inter-context security | Yitbarek Salessawi Ferede; Booth, Jr. Lawrence A.; Thomas Brent D.; Lal Reshma; Pappachan Pradeep M.; Kadam Akshay |
11461491 | Unifying interface for cloud content sharing services | Birkel Steven J.; Wouhaybi Rita H.; Verrall Timothy; Shekhar Mrigank |
11461504 | Apparatus for autonomous security and functional safety of clock and voltages including adjustment of a divider ratio | Kurd Nasser; Mosalikanti Praveen; Hegde Thripthi; Neidengard Mark; Grossnickle Vaughn; Wang Qi S.; Ramesh Kandadai |
11461954 | Dynamic constant update mechanism | Apodaca Michael; Feit John; Cimini David; Raoux Thomas; Levit-Gurevich Konstantin |
11461959 | Positional only shading pipeline (POSH) geometry data processing with coarse Z buffer | Surti Prasoonkumar; Vaidyanathan Karthik; Kuwahara Atsuo; Labbe Hugues; Kp Sameer; Kennedy Jonathan; Appu Abhishek R.; Boles Jeffery S.; Vembu Balaji; Apodaca Michael; Grajewski Slawomir; Liktor Gabor; Cimini David M.; Lauritzen Andrew T.; Schluessler Travis T.; Ramadoss Murali; Venkatesh Abhishek; Ray Joydeep; Xiao Kai; Shah Ankur N.; Koker Altug |
11462036 | Automated semantic inference of visual features and scenes | Smith Ned M.; Bartfai-Walcott Katalin Klara; Schooler Eve M.; Yang Shao-Wen |
11462273 | SSD with reduced secure erase time and endurance stress | Doller Joseph; Gaewsky Kristopher; Cho Byeongkyu |
11462432 | Dual side de-bonding in component carriers using photoablation | Truong Frank; Akkinepally Praneeth; Groves Chelsea M.; Bryks Whitney M.; Gamba Jason M.; Marin Brandon C. |
11462436 | Continuous gate and fin spacer for advanced integrated circuit structure fabrication | Meyer Heidi M.; Tura Ahmet; Ho Byron; Joshi Subhash; Hattendorf Michael L.; Auth Christopher P. |
11462457 | Using a thermoelectric cooler to reduce heat transfer between heat-conducting plates | Valavala Krishna Vasanth; Lofgreen Kelly; Jha Chandra-Mohan |
11462463 | Microelectronic assemblies having an integrated voltage regulator chiplet | Elsherbini Adel A.; Radhakrishnan Kaladhar; Bharath Krishna; Liff Shawna M.; Swan Johanna M. |
11462468 | Semiconductor package, semiconductor system, and method of forming semiconductor package | Cheah Bok Eng; Kong Jackson Chung Peng |
11462469 | Single mask lithography line end enhancement | Lin Kevin L.; Kabir Nafees A.; Schenker Richard |
11462480 | Microelectronic assemblies having interposers | Aleksov Aleksandar; Swan Johanna M. |
11462488 | Substrate cores for warpage control | Lim Seok Ling; Cheah Bok Eng; Kong Jackson Chung Peng; Ong Jenny Shio Yin |
11462521 | Multilevel die complex with integrated discrete passive components | Collins Andrew P.; Xie Jianyong; Sharan Sujit |
11462527 | Micro-trenching mold interface in a pop package | Singh Kumar Abhishek; Li Zhaozhi; Debonis Thomas J.; Nickerson Robert; Winters Rees |
11462536 | Integrated circuit structures having asymmetric source and drain structures | Bowonder Anupama; Mehandru Rishabh; Bohr Mark; Ghani Tahir |
11462540 | Floating body memory cell having gates favoring different conductivity type regions | Chang Peter L. D.; Avci Uygar E.; Kencke David; Ban Ibrahim |
11462541 | Memory cells based on vertical thin-film transistors | Alzate Vinasco Juan G.; Sharma Abhishek A.; Hamzaoglu Fatih; Sell Bernhard; Wang Pei-Hua; Le Van H.; Kavalieros Jack T.; Ghani Tahir; Ku Chieh-Jen; Lajoie Travis W.; Arslan Umut |
11462568 | Stacked thin film transistors | Lilak Aaron; Weber Justin; Kennel Harold; Rachmady Willy; Dewey Gilbert; Le Van H.; Sharma Abhishek; Morrow Patrick; Agrawal Ashish |
11462678 | Perpendicular spin transfer torque memory (pSTTM) devices with enhanced thermal stability and methods to form the same | O'Brien Kevin; Oguz Kaan; Kuo Charles; Doczy Mark; Sato Noriyuki |
11462684 | Retention improvement by high-k encapsulation of RRAM devices | Chen Albert; Strutt Nathan; Golonzka Oleg; Quintero Pedro; Jezewski Christopher J.; Karpov Elijah V. |
11462810 | Multiplexer and combiner structures embedded in a mmwave connector interface | Kamgaing Telesphor; Oster Sasha; Dogiamis Georgios; Swan Johanna |
11463048 | Distributed feed-forward envelope tracking system | Henzler Stephan; Langer Andreas; Raaf Bernhard |
11463126 | Signaling method for interference group discovery in cable modems | Arambepola Bernard; Hewavithana Thushara; Tal Noam; Shulman Shaul |
11463262 | Voltage encoded MAC and bus scrambling | Juliato Marcio; Ahmed Shabbir; Gutierrez Christopher; Liu Xiruo; Sastry Manoj; Yang Liuyang |
11463273 | Universal interface for sensor devices | Li Tianyou; Elford Christopher; Zhang Qi; Ding Junyong; Xu Shu; Shen Gang; Moustafa Hassnaa |
11463333 | Distributed assignment of video analytics tasks in cloud computing environments to reduce bandwidth utilization | Chu Hong-Min; Yang Shao-Wen; Chen Yen-Kuang |
11463526 | Future proofing and prototyping an internet of things network | Justin Jerin C.; Balasubramanian Kumar |
11463678 | System for and method of social interaction using user-selectable novel views | Haimovitch-Yogev Oren; Shapira Matteo; Shapira Aviv; Prilusky Diego; Ben Zvi Yaniv; Gilat Adi |
11463757 | Media streaming for receiver-enabled resolution | Shen Gang; Tian Jun |
11463962 | Transmission power control based on relative durations of transmission sections | Sutskover Ilan; Amel Roy; Vaysman Slava; Gross Shahar; D'Angelo Wilfrid |
11464139 | Conformable heat sink interface with a high thermal conductivity | Lofgreen Kelly; Petrini Joseph; Coons Todd; Ackerman Christopher Wade; Cetegen Edvin; Jiao Yang; Rutigliano Michael; Liu Kuang |
11467247 | Vision and radio fusion based precise indoor localization | Park Mi S.; Yang Lei; Yang Shao-Wen; Hwangbo Myung; Shahidzadeh Shahrokh |
11467648 | Methods and apparatus to reduce power consumption and improve battery life of display systems using adaptive sync | Ansari Nausheen; Krueger Kevin W.; Sinha Vishal R.; Kwa Seh |
11467740 | Method, apparatus, and system for energy efficiency and energy conservation including autonomous hardware-based deep power down in devices | Sodhi Inder M.; Naveh Alon; Rajwan Doron; Wells Ryan D.; Samson Eric C. |
11467804 | Geometric synthesis | Gribok Sergey Vladimirovich; Baeckler Gregg William; Langhammer Martin |
11467839 | Unified register file for supporting speculative architectural states | Abdallah Mohammad |
11467873 | Technologies for RDMA queue pair QOS management | Ganguli Mrittika; Parikh Neerav; Sharp Robert; Sen Sujoy |
11467885 | Technologies for managing a latency-efficient pipeline through a network interface controller | Hyatt Ronen; Debbage Mark |
11467888 | Microservices architecture | Sukhomlinov Vadim; Doshi Kshitij A. |
11467981 | Memory initialization in a protected region | Leslie-Hurd Rebekah M.; McKeen Francis X.; Rozas Carlos V.; Zmudzinski Krystof C. |
11467982 | Virtualization-based platform protection technology | Banginwar Rajesh P.; Naropanth Sumanth; Prabhakara Sunil K. Notalapati; Singh Surendra K.; Mohan Arvind; Sahita Ravi L.; Malhotra Rahil; Bakshi Aman; Kamma Vasudevarao; Nayak Jyothi; Thakkar Vivek; Pinto Royston A. |
11467999 | Negotiating asymmetric link widths dynamically in a multi-lane link | Das Sharma Debendra |
11468170 | Techniques for processor boot-up | Ghetie Sergiu D.; Powiertowski Wojciech; Guillory Jeanne; Upasani Neeraj S.; Narayanan Srihari; Kumar Mohan J.; Dalvi Sagar V.; Arbildo Francisco Orlando C. |
11468303 | Scaling half-precision floating point tensors for training deep neural networks | Mellempudi Naveen; Das Dipankar |
11468329 | Techniques to manage training or trained models for deep learning applications | Guim Bernat Francesc; Prabhakaran Suraj; Doshi Kshitij A.; Chiang Da-Ming |
11468541 | Compute optimizations for low precision machine learning operations | Ould-Ahmed-Vall Elmoustapha; Baghsorkhi Sara S.; Yao Anhang; Nealis Kevin; Chen Xiaoming; Koker Altug; Appu Abhishek R.; Weast John C.; Macpherson Mike B.; Kim Dukhwan; Hurd Linda L.; Ashbaugh Ben J.; Lakshmanan Barath; Ma Liwei; Ray Joydeep; Tang Ping T.; Strickland Michael S. |
11468621 | Progressive multisample anti-aliasing | Appu Abhishek R.; Surti Prasoonkumar; Ray Joydeep; Norris Michael J. |
11468645 | Optimizing head mounted displays for augmented reality | Petrovskaya Anna; Varvak Peter |
11468656 | Hierarchical graph-based domain selection algorithm to improve diversity | Baidya Bikram; Atkar Prasad N.; Singh Vivek K.; Alam Md Ashraful |
11469185 | Standoff members for semiconductor package | Chang Je-Young; Sahasrabudhe Shubhada H.; Harirchian Tannaz |
11469189 | Inductor and transmission line with air gap | Lin Kevin |
11469190 | Parasitic-aware integrated substrate balanced filter and apparatus to achieve transmission zeros | Dalmia Sidharth |
11469206 | Microelectronic assemblies | Elsherbini Adel A.; Eid Feras; Swan Johanna M.; Lift Shawna M. |
11469209 | Microelectronic assemblies | Liff Shawna M.; Elsherbini Adel A.; Swan Johanna M. |
11469213 | Systems, methods, and apparatuses for implementing reduced height semiconductor packages for mobile electronics | Seidemann Georg; Wagner Thomas; Reingruber Klaus; Waidhas Bernd; Wolter Andreas |
11469268 | Damascene-based approaches for embedding spin hall MTJ devices into a logic processor and the resulting structures | Lee Kevin J.; Wang Yih |
11469299 | Gate-all-around integrated circuit structures having underlying dopant-diffusion blocking layers | Glass Glenn; Murthy Anand; Guha Biswajeet; Crum Dax; Keys Patrick; Ghani Tahir; Ghose Susmita; Cook, Jr. Ted |
11469323 | Ferroelectric gate stack for band-to-band tunneling reduction | Dewey Gilbert; Rachmady Willy; Kavalieros Jack T.; Huang Cheng-Ying; Metz Matthew V.; Ma Sean T.; Kennel Harold; Ghani Tahir |
11469709 | Biasing scheme for constant regulated local oscillator in mm-wave tripler | Agrawal Abhishek; Pellerano Stefano; Hull Christopher |
11469766 | Digital-to-analog converters having multiple-gate transistor-like structure | Sharma Abhishek A.; Pillarisetty Ravi; Kuo Charles; Rachmady Willy |
11469794 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Da Silva Claudio; Genossar Michael; Maltsev Alexander; Cordeiro Carlos |
11469902 | Systems and methods of using cryptographic primitives for error location, correction, and device recovery | Kounavis Michael; Deutsch Sergej; Durham David; Grewal Karanvir |
11469915 | Technologies for sharing packet replication resources in a switching system | Jereczek Grzegorz; Parameshwarappa Amruth Gouda; Edmiston Christopher; Koprowski Maciej Andrzej |
11469953 | Interworking of legacy appliances in virtualized networks | Browne John J.; Verrall Timothy; Tahhan Maryam; McGrath Michael J.; Harte Sean; Devey Kevin; Kenny Jonathan; MacNamara Christopher |
11470185 | Information centric network packet transmission control | McCarthy Jessica C.; Kuppuudaiyar Perumal; Loomba Radhika; Sherin Lisa; Gupta Hyde Maruti; Schooler Eve M.; Srikanteswara Srikathyayani |
11470343 | Apparatus and method for feature point tracking using inter-frame prediction | Liu Hao |
11470634 | Apparatuses, devices and methods for a wireless network access device, a network gateway device, a wireless communication device and for a network device | Zaks Artur; Hermesh Barak; Alpert Yaron |
11472414 | Safety system for a vehicle | González Aguirre David Israel; Alvarez Ignacio; Elli Maria Soledad; Felip Leon Javier; Turek Javier |
11472440 | Methods and apparatus to provide accident avoidance information to passengers of autonomous vehicles | Yurdana Matt; Weast John; Alvarez Ignacio |
11474202 | Compensating for a sensor deficiency in a heterogeneous sensor array | Alvarez Ignacio; Arditti Ilitzky David; Mead Patrick Andrew; Felip Leon Javier; Gonzalez Aguirre David |
11474298 | 2×2 optical unitary matrix multiplier | Lin Wenhua |
11474547 | Apparatus and method of balancing input power from multiple sources | Tschirhart Darryl; Wu Alan; Lee Pack Jason; Large Yvan; Jahagirdar Sanjeev |
11474560 | Hinge configuration for an electronic device | Okuley James M.; Jensen Kimi |
11474568 | Offset hinge assembly for mobile compute devices | Singh Bijendra; Raju Prakash Kurma; Pichumani Prasanna; D Kathiravan |
11474579 | Verified high-power transition and fast charging with pre-boot scaling | Regupathy Rajaram; Ewert Peter; Banik Subrata; P Vagdevi; Sowmya V |
11474589 | Power saving for type-C connectors | Koki Tarakesava Reddy; Alaparthi Phani K; Kss Ranganadh; Chahar Shobhit |
11474700 | Technologies for compressing communication for accelerator devices | Balle Susanne M.; Custodio Evan; Bernat Francesc Guim |
11474736 | Network interface controller with non-volatile random access memory write packet log | Zhang Zhiyuan; Wu Xiangbin; Zhu Qianying; Zhang Xinxin; Shen Yingzhe; Ji Haitao |
11474768 | Fixed foveated compression for streaming to head mounted displays | Pohl Daniel |
11474776 | Display-based audio splitting in media environments | Bi Hang |
11474825 | Apparatus and method for controlling complex multiply-accumulate circuitry | Zivkovic Zoran |
11474878 | Extending berkeley packet filter semantics for hardware offloads | Tamir Eliezer; Berg Johannes; Cunningham Andrew; Waskiewicz, Jr. Peter; Chilikin Andrey |
11474879 | Extending Berkeley Packet Filter semantics for hardware offloads | Tamir Eliezer; Berg Johannes; Cunningham Andrew; Waskiewicz, Jr. Peter; Chilikin Andrey |
11474916 | Failover of virtual devices in a scalable input/output (I/O) virtualization (S-IOV) architecture | Aggarwal Mitu; Jani Nrupal; Deval Manasi; Patil Kiran; Sarangam Parthasarathy; Sankaran Rajesh M.; Kumar Sanjay K.; Kakaiya Utkarsh Y.; Lantz Philip; Tian Kun |
11474960 | Technologies for partial link width states for multilane links | Das Sharma Debendra |
11474967 | Systems, methods, and devices for dynamic high speed lane direction switching for asymmetrical interfaces | Kopzon Vladislav; Rozic Reuven |
11474994 | Distributed blockchain oracle | Middleton Daniel; Amundson Shawn |
11475145 | Methods and apparatus for implementing a secure database using programmable integrated circuits with dynamic partial reconfigurability | Hu Kekai; Das Amitabh |
11475268 | Apparatus and methods for generating context-aware artificial intelligence characters | Garcia Jason |
11475286 | Specialized fixed function hardware for efficient convolution | Barik Rajkishore; Ould-Ahmed-Vall Elmoustapha; Chen Xiaoming; Srivastava Dhawal; Yao Anbang; Nealis Kevin; Nurvitadhi Eriko; Baghsorkhi Sara S.; Vembu Balaji; Shpeisman Tatiana; Tang Ping T. |
11475369 | Methods and apparatus to provide machine assisted programming | Carranza Marcos Emanuel; Martinez-Spessot Cesar; Agerstam Mats; Ramirez Loaiza Maria; Heinecke Alexander; Gottschlich Justin |
11475623 | Apparatus and method for efficient graphics virtualization | Ray Joydeep; Appu Abhishek R.; K Pattabhiraman; Vembu Balaji; Koker Altug; Cooray Niranjan L.; Mastronarde Josh B. |
11476120 | Method of sample preparation using dual ion beam trenching | Muthur Srinath Purushotham Kaushik; Brewer Richard Kenneth; Goyal Deepak |
11476164 | Integrated circuit structures having differentiated workfunction layers | Pang Ying; Gstrein Florian; Lavric Dan S.; Agrawal Ashish; Niffenegger Robert; Sadhukhan Padmanava; Heussner Robert W.; Gregie Joel M. |
11476168 | Die stack override for die testing | Tan Terrence Huat Hin; Sheikh Rehan; Klinglesmith Michael T.; Takhar Sukhbinder; Chong Shi Hou; Oon Kok Hin; Yip Wai Loon; Kundu Yudhishthira; Tanna Deepak R. |
11476174 | Solder mask design for delamination prevention | Zhang James; Xu Yi; Cai Yuhong |
11476185 | Innovative way to design silicon to overcome reticle limit | Hossain MD Altaf; Somasekhar Dinesh; Subbareddy Dheeraj |
11476190 | Fuse lines and plugs for semiconductor devices | Bains Balijeet S.; Wallace Charles H.; Chen Zhanping |
11476198 | Multi-level components for integrated-circuit packages | Cheah Bok Eng; Kong Jackson Chung Peng; Ong Jenny Shio Yin; Lim Seok Ling; Wan Kok Keng |
11476334 | Silicide structure of an integrated transistor device and method of providing same | Acton Orb; Steigerwald Joseph; Murthy Anand; Maddox Scott; Hu Jenny |
11476338 | Aluminum indium phosphide subfin germanium channel transistors | Metz Matthew V.; Rachmady Willy; Kennel Harold W.; Le Van H.; Chu-Kung Benjamin; Kavalieros Jack T.; Dewey Gilbert |
11476345 | Ferroelectric-based field-effect transistor with threshold voltage switching for enhanced on-state and off-state performance | Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko |
11476366 | Transistor including wrap around source and drain contacts | Ma Sean; Sharma Abhishek; Dewey Gilbert; Kavalieros Jack T.; Le Van H. |
11476408 | Spin orbit torque (SOT) memory devices with enhanced magnetic anisotropy and methods of fabrication | Smith Angeline; Manipatruni Sasikanth; Wiegand Christopher; Rahman Tofizur; Sato Noriyuki; Buford Benjamin |
11476412 | Perpendicular exchange bias with antiferromagnet for spin orbit coupling based memory | Gosavi Tanay; Manipatruni Sasikanth; Oguz Kaan; Sato Noriyuki; O'Brien Kevin; Buford Benjamin; Wiegand Christopher; Smith Angeline; Rahman Tofizur; Young Ian |
11476554 | Mmwave waveguide to waveguide connectors for automotive applications | Dogiamis Georgios; Oster Sasha; Kamgaing Telesphor; Ewy Erich; Elsherbini Adel; Swan Johanna |
11476692 | Turbo support for systems with limited battery power | Baby Anil; Uan-Zo-li Alexander B.; Nge Chee Lim; Srighakollapu N V S Kumar |
11476817 | Low-power and area-efficient gain-bandwidth tripler amplifier | Anmadwar Saurabh; Shetty Dheeraj; Kishor Madhuban |
11477122 | Technologies for selecting non-minimal paths and throttling port speeds to increase throughput in a network | Flajslik Mario; Borch Eric R.; Schneider Timo; Parker Michael A. |
11477125 | Overload protection engine | Browne John J.; MacNamara Chris; Chayat Ronen |
11477257 | Link-aware streaming adaptation | Ramamurthi Vishwanath; Oyman Ozgur; Rehan Mohamed M.; Ragab Ahmed N. |
11477625 | System, apparatus and method for scalable internet of things (IoT) device on-boarding with quarantine capabilities | Smith Ned M.; Subramaniam Ravi S.; Grawrock David W. |
11477685 | System and method for beam reporting content | Zhang Yushu; Wang Guotong; Davydov Alexei; Xiong Gang |
11477807 | Enhanced signal detection for wireless communications | Chen Xiaogang; Stacey Robert; Niu Huaning; Cariou Laurent; Li Qinghua; Jiang Feng |
11480440 | Travel assistance | Mendels Omri; Mayer Rami; Soffer Ronen |
11480668 | Methods of ranging for next generation vehicle-to-everything (NGV) systems | Jiang Feng; Li Qinghua; Segev Jonathan; Sadeghi Bahareh; Kenney Thomas J.; Chen Xiaogang; Shor Gadi; Huang Po-Kai; Stacey Robert J.; Cariou Laurent |
11481001 | System for dual displays | Yee Chee Chun; Chuah Tin Poay; Lim Yew San; Lim Min Suet; Ku Jeff |
11481013 | Multi-level loops for computer processor control | Rajwan Doron; Rotem Efraim; Weissmann Eliezer; Ananthakrishnan Avinash N.; Shapira Dorit |
11481218 | System and method enabling one-hot neural networks on a machine learning compute platform | Li Jianguo; Chen Yurong |
11481294 | Runtime cell row replacement in a memory | Muthiyalu Satish; Chen Yingwen; Yu Yu; Xu Tao |
11481337 | Securing data direct I/O for a secure accelerator interface | Chhabra Siddhartha; Dewan Prashant; Basak Abhishek; Durham David M. |
11481352 | Method for interface initialization using bus turn-around | Zhu Zhenyu; Suzuki Nobuyuki; Mukker Anoop; Nemiroff Daniel; Vogel David W. |
11481583 | Algorithm management blockchain | Smith Ned M. |
11481864 | Workload scheduling and distribution on a distributed graphics device | Vembu Balaji; Fliflet Brandon; Valerio James; Apodaca Michael; Ashbaugh Ben; Nalluri Hema; Shah Ankur; Ramadoss Murali; Puffer David; Koker Altug; Navale Aditya; Appu Abhishek R.; Ray Joydeep; Schluessler Travis |
11482011 | Acceleration of data processing for object detection | Chattopadhyay Rita; Martinez-Canales Monica Lucia; Wolak Tomasz J. |
11482433 | Stacked thermal processing chamber modules for remote radiative heating in semiconductor device manufacture | Sagar Ashutosh; Liang Chao-Kai; Hopkins Miye; Han Weimin; James Robert |
11482457 | Substrate defect blocking layers for strained channel semiconductor devices | Jambunathan Karthik; Bomberger Cory C.; Murthy Anand S. |
11482471 | Thermal management solutions for integrated circuit packages | Xu Cheng; Zhao Junnan; Wan Zhimin; Wang Ying; Deng Yikang; Zhang Chong; Sun Jiwei; Jiang Zhenguo; Lee Kyu-Oh |
11482472 | Thermal management solutions for stacked integrated circuit devices | Eid Feras; Elsherbini Adel; Swan Johanna |
11482481 | Semiconductor device and system | Cheah Bok Eng; Kong Jackson Chung Peng; Ooi Kooi Chi; Yap Lee Fueng; Lee Chan Kim |
11482524 | Gate spacing in integrated circuit structures | Bouche Guillaume; Wei Andy Chih-Hung; Ma Sean T. |
11482611 | Replacement gate structures for advanced integrated circuit structure fabrication | Ho Byron; Jaloviar Steven; Leib Jeffrey S.; Hattendorf Michael L.; Auth Christopher P. |
11482614 | Quantum dot devices | Pillarisetty Ravi; Rachmady Willy; Singh Kanwaljit; Thomas Nicole K.; George Hubert C.; Yoscovits Zachary R.; Caudillo Roman; Amin Payam; Roberts Jeanette M.; Clarke James S. |
11482621 | Vertically stacked CMOS with upfront M0 interconnect | Rachmady Willy; Morrow Patrick; Lilak Aaron; Mehandru Rishabh; Huang Cheng-Ying; Dewey Gilbert; Jun Kimin; Keech Ryan; Phan Anh; Mannebach Ehren |
11482622 | Adhesion structure for thin film transistor | Lin Kevin; Sharma Abhishek; Naylor Carl; Alaan Urusa; Jezewski Christopher; Agrawal Ashish |
11482644 | Nanowire light emitting diodes with high extraction efficiency for micro LED displays | Ahmed Khaled; Dasgupta Sansaptak; Robin Ivan-Christophe |
11483006 | Multi-phase fractional divider | Huang Mingwei |
11483009 | Self-checking compression | Gopal Vinodh |
11483034 | Methods and apparatus for multi-destination wireless transmissions | Cariou Laurent; Cordeiro Carlos; Kasher Assaf; Trainin Solomon; Ghosh Chittabrata |
11483167 | Method and apparatus to provide memory based physically unclonable functions | Suresh Vikram B.; Sachdev Manoj; Mathew Sanu K.; Satpathy Sudhir K. |
11483184 | Multi pulse amplitude modulation signaling decision feedback equalizer having power differentiating modes and tap-weight re-configuration | Dong Yikui; Li Shenggao |
11483186 | Ultra-high data rate digital mm-wave transmitter with energy efficient spectral filtering | Casper Bryan; Jaussi James; Thakkar Chintan; Shopov Stefan |
11483191 | Methods and devices for communications in device-to-device networks | Hellfajer Roland; Speth Michael; Ascheuer Peter; Huertgen Frank; Scholand Tobias; Ellenbeck Jan; Burnic Admir; Lipuma Giuseppe; Dortmund Sven; Esch Thomas; Lopez Soto Romeo; Schlamann Markus |
11483225 | Technologies for out-of-order network packet management and selective data flow splitting | Salem Ahmed Soud; Parron Jerome |
11483245 | Technologies for filtering network traffic on ingress | Chilikin Andrey; Hyatt Ronen Aharon; Sukhomlinov Vadim |
11483300 | Technologies for providing secure utilization of tenant keys | Sood Kapil; O'Riordain Seosamh; Smith Ned M.; Viswanathan Tarun |
11483313 | Technologies for updating an access control list table without causing disruption | Valiquette Real; Geoffrion Carl; Sylvain Andre; Jereczek Grzegorz |
11483389 | Methods and apparatus to adaptively manage data collection devices in distributed computing systems | Zhong Tao; Deng Gang; Lu Zhongyan; Doshi Kshitij |
11483418 | Plugin management for internet of things (IoT) network optimization | Agerstam Mats Gustav; Kesavan Vijay Sarathi |
11483543 | Hybrid stereo rendering for depth extension in dynamic light field displays | Ratcliff Joshua J.; Li Tuotuo |
11483650 | Microphone system for notebook computer | Jacobs Robert |
11483838 | Increased utilization of wireless frequency channels partially occupied by incumbent systems | Cariou Laurent; Chen Xiaogang; Li Qinghua; Stacey Robert J.; Niu Huaning |
11486923 | Apparatuses and methods for mitigating sticking of units-under-test | Shakya Jagat; Caughey Ethan; Streifer Jeremy Alan |
11486942 | Processor and chipset continuity testing of package interconnect for functional safety applications | Lee Matthew; Ofuonye Benedict C.; Ewy Erich; Willcoxon Jeffrey |
11486978 | Technology to support the coexistence of multiple independent lidar sensors | Merritt Alexander Marshall; Gonzalez Aguirre David I.; Sivanesan Kathiravetpillai; Alvarez Ignacio; Sharma Sridhar |
11487326 | Elevated docking station for different mobile devices | Ku Jeff; Chuah Tin Poay; Lim Yew San; Lim Min Suet; Yee Chee Chun |
11487445 | Programmable integrated circuit with stacked memory die for storing configuration data | Dasu Aravind; Weber Scott; Tan Jun Pin; Rahman Arifur |
11487471 | Storage device with client reconfigurable protocol | Greer Teddy; Tarango Joseph |
11487517 | Techniques for distributed operation of secure controllers | Sun Mingqiu; Poornachandran Rajesh; Zimmer Vincent J.; Smith Ned M.; Selvaraje Gopinatth |
11487541 | Systems, apparatuses, and methods for chained fused multiply add | Corbal Jesus; Valentine Robert; Dubtsov Roman S.; Shustrov Nikita A.; Charney Mark J.; Bradford Dennis R.; Girkar Milind B.; Grochowski Edward T.; Fletcher Thomas D.; Ferguson Warren E. |
11487567 | Techniques for network packet classification, transmission and receipt | Loftus Ciara; Kar Subarna; Venkatesan Namakkal; Gray Mark D. |
11487688 | Technologies for fast MAUSB enumeration | Levy Elad; Glik Michael; Davidson Tal; Cohn Daniel |
11487811 | Recognition, reidentification and security enhancements using autonomous machines | Das Barnan; Varerkar Mayuresh M.; Biswal Narayan; Baran Stanley J.; Cilingir Gokcen; Shah Nilesh V.; Sharma Archie; Abdelhak Sherine; Kotha Praneetha; Pandit Neelay; Weast John C.; MacPherson Mike B.; Kim Dukhwan; Hurd Linda L.; Appu Abhishek R.; Koker Altug; Ray Joydeep |
11488005 | Smart autonomous machines utilizing cloud, error corrections, and predictions | Lewis Brian T.; Chen Feng; Jackson Jeffrey R.; Gottschlich Justin E.; Barik Rajkishore; Chen Xiaoming; Surti Prasoonkumar; Macpherson Mike B.; Sundaresan Murali |
11488008 | Hardware implemented point to point communication primitives for machine learning | Sridharan Srinivas; Vaidyanathan Karthikeyan; Das Dipankar |
11488465 | Methods and arrangements for sensors | Frohlick Eric; Chen Xiaofan; Eerpina Srinivas; Guarin Ronaldo J.; Angell William D. |
11488839 | Reflowable grid array as standby heater for reliability | Thibado Jonathan W.; Smalley Jeffory L.; Gulick John C.; Thanh Phi |
11488880 | Enclosure for an electronic component | Nair Vijay K.; Raorane Digvijay A. |
11488918 | Surface finishes with low rBTV for fine and mixed bump pitch architectures | Darmawaikarta Kristof; May Robert; Kandanur Sashi; Boyapati Sri Ranga Sai; Pietambaram Srinivas; Cho Steve; Han Jung Kyu; Heaton Thomas; Lehaf Ali; Eluri Ravindranadh; Tanaka Hiroki; Aleksov Aleksandar; Seneviratne Dilan |
11488978 | Ferroelectric gate oxide based tunnel feFET memory | Majhi Prashant; Doyle Brian; Pillarisetty Ravi; Sharma Abhishek; Karpov Elijah |
11489061 | Integrated programmable gate radio frequency (RF) switch | Then Han Wui; Radosavljevic Marko; Dusgupta Sansaptak; Fischer Paul; Hafez Walid |
11489112 | Resistive random access memory device and methods of fabrication | Asuri Namrata S.; Golonzka Oleg; Strutt Nathan; Hentges Patrick J.; Van Trinh T.; Kothari Hiten; Chaudhari Ameya S.; Andrus Matthew J.; Glassman Timothy E.; Seghete Dragos; Wiegand Christopher J.; Ouellette Daniel G. |
11489257 | High performance lens antenna systems | Sadri Ali; Choudhury Debabani; Jackson Bradley; Xu Shengbo; Yang Tae Young; Zhou Zhen; Chin Cheng-Yuan |
11489526 | Current steering level-shifter | Mora Andres Malavasi; Kulkarni Jaydeep; Thaploo Anupama; Khellah Muhammad |
11489527 | Three dimensional programmable logic circuit systems and methods | Weber Scott; Dasu Aravind; Gutala Ravi; Iyer Mahesh; Nurvitadhi Eriko; Srinivasan Archanna; Atsatt Sean; Ball James |
11489536 | Input circuitry for an analog-to-digital converter, receiver, base station and method for operating an input circuitry for an analog-to-digital converter | Clara Martin; Gruber Daniel; Cascio Giacomo; Molina Albert |
11489538 | Analog-to-digital conversion | Thaller Edwin; Lindholm Christian |
11489661 | High throughput post quantum AES-GCM engine for TLS packet encryption and decryption | Ghosh Santosh; Sastry Manoj |
11489678 | Platform attestation and registration for servers | Scarlata Vincent R.; McKeen Francis X.; Rozas Carlos V.; Johnson Simon P.; Zhang Bo; Beaney, Jr. James D.; Zmijewski Piotr; Smith Wesley Hamilton; Cabre Eduardo; Savagaonkar Uday R. |
11489789 | Technologies for adaptive network packet egress scheduling | Geoffrion Carl; Southworth Robert; Atkin Charles; Jain Sanjeev |
11489791 | Virtual switch scaling for networking applications | McDonnell Niall D.; Richardson Bruce; Mangan John; Van Haaren Harry; Loftus Ciara; Keating Brian A. |
11489822 | Cloud key management for AFU security | Thomas Brent D.; Innis Eric; Makaram Raghunandan |
11490242 | Enhanced Bluetooth mechanism for triggering Wi-Fi radios | Qi Emily H.; Cordeiro Carlos; Hughes Robert D.; Oren Elad; Reshef Ehud |
11490249 | Securing vehicle privacy in a driving infrastructure | Rosales Rafael; Yang Liuyang Lily; Liu Xiruo |
11490331 | Methods and apparatus to facilitate target wake time management between access points and devices | Cariou Laurent; Alpert Yaron; Kaidar Oren; Rom Leor |
11493914 | Technology to handle ambiguity in automated control systems | Alvarez Ignacio; Anderson Todd; Vo Vy; Felip Leon Javier; Perez-Ramirez Javier |
11493968 | Reverse bandgap reference circuit with bulk diode, and switch capacitor temperature sensor with duty-cycle output | Eberlein Matthias |
11493974 | Dynamic power budget allocation in multi-processor system | Kaburlasos Nikos; Rajwani Iqbal; Borole Bhushan; Sinha Kamal; Jahagirdar Sanjeev |
11493975 | System, apparatus and method for providing power monitoring isolation in a processor | Gras Benjamin; Roggel Neer |
11494163 | Conversion hardware mechanism | Mellempudi Naveen; Das Dipankar; Mei Chunhui; Wong Kristopher; Kalamkar Dhiraj D.; Jiang Hong H.; Maiyuran Subramaniam; George Varghese |
11494186 | FPGA specialist processing block for machine learning | Langhammer Martin; Chen Dongdong; Bergendahl Jason R. |
11494187 | Message based general register file assembly | Appu Abhishek R.; Koker Altug; Ray Joydeep; Ravikumar Ramkumar; Veernapu Kiran C.; Surti Prasoonkumar; Ranganathan Vasanth |
11494194 | Processor having multiple cores, shared core extension logic, and shared core extension utilization instructions | Shifer Eran; Hagog Mostafa; Turiel Eliyahu |
11494212 | Technologies for adaptive platform resource assignment | Sunku Ranganath; Kumar Dinesh; Liew Irene; Deegala Kavindya; Tangeda Sravanthi |
11494215 | Techniques to decrease a live migration time for a virtual machine | Huang Peng; Li Liang; Huang Xiaofeng |
11494220 | Scalable techniques for data transfer between virtual machines | Friedman Ben-Zion; Tamir Eliezer |
11494232 | Memory-based software barriers | Koker Altug; Ray Joydeep; Vembu Balaji; Valerio James A.; Appu Abhishek R. |
11494320 | Delayed link compression scheme | Peffers Simon N; Yap Kirk S; Gulley Sean; Gopal Vinodh; Feghali Wajdi |
11494330 | Fuse recipe update mechanism | Pillilli Bharat; Ramanathan Saravana Priya; Lal Reshma |
11494519 | Hardware-assisted privacy protection using a secure user interface with multi-level access control of sensor data | Divakaran Sudeep; Narjala Ranjit Sivaram; Dewan Prashant |
11494520 | Reconfigurable device bitstream key authentication | Wei Changzheng; Li Weigang; Liang Cunming |
11494523 | Direct memory access mechanism | Basak Abhishek; Pappachan Pradeep; Chhabra Siddhartha; Narendra Trivedi Alpa; Aktas Erdem; Sahita Ravi |
11494608 | Methods and apparatus to tile walk a tensor for convolution operations | Fais Yaniv; Maor Moshe |
11494633 | Techniques to manage training or trained models for deep learning applications | Guim Bernat Francesc; Prabhakaran Suraj; Doshi Kshitij A.; Chiang Da-Ming |
11494641 | Reinforcement learning for human robot interaction | Chen Hu Tiger; Liu Zhongxuan; Zhang Yimin; Ren Haibing; Hu Jiankun |
11494682 | Quantum computing assemblies | Elsherbini Adel A.; Liff Shawna M.; Roberts Jeanette M.; Clarke James S. |
11494867 | Asynchronous execution mechanism | Sharma Saurabh; Apodaca Michael; Navale Aditya; Schluessler Travis; Chivukula Vamsee Vardhan; Venkatesh Abhishek; Maiyuran Subramaniam |
11494868 | Contextual configuration adjuster for graphics | Ray Joydeep; Shah Ankur N.; Appu Abhishek R.; Vembar Deepak S.; Ould-Ahmed-Vall ElMoustapha; Kuwahara Atsuo; Schluessler Travis T.; Hurd Linda L.; Mastronarde Josh B.; Ranganathan Vasanth |
11494967 | Scatter gather engine | Vembu Balaji; Ramadoss Murali; Standring David I.; Sethi Shruti A.; Frizzell Jeffrey S.; Curtis Alan M.; Appu Abhishek R.; Ray Joydeep; Koker Altug |
11494968 | Dedicated fixed point blending for energy efficiency | Appu Abhishek R.; Surti Prasoonkumar; Mysore Srivallaba; Dasgupta Subhajit; Akiba Hiroshi; Hoekstra Eric J.; Hurd Linda L.; Schluessler Travis T.; Schmidt Daren J. |
11495028 | Obstacle analyzer, vehicle control system, and methods thereof | Baker Jeffrey; Pohl Daniel |
11495382 | High Q-factor inductor | Elzinga Mark |
11495496 | Gate aligned contact and method to fabricate same | Golonzka Oleg; Sivakumar Swaminathan; Wallace Charles H.; Ghani Tahir |
11495514 | Bathtub integrated heat spreader with multiple thermal-interface material for integrated-circuit packages | Lim Chew Ching; Sim Chun Howe |
11495518 | Multi-surface heat sink suitable for multi-chip packages | Kothari Shrenik; Ahuja Sandeep; Smith Susan; Smalley Jeffory; Lozano Sanchez Francisco Gabriel; Belmont Velazquez Maria de la Luz; Chang Je-Young; Contreras Perez Jorge; Geng Phil; Ramirez Macias Andres; Rayas Paredes Gilberto |
11495547 | Fiber reinforced stiffener | Pon Florence; Leuten Tyler; Ramiso Maria Angela Damille |
11495552 | Substrate integrated thin film capacitors using amorphous high-k dielectrics | Aleksov Aleksandar; Sounart Thomas; Darmawikarta Kristof; Braunisch Henning; Chatterjee Prithwish; Brown Andrew J. |
11495555 | Magnetic bilayer structure for a cored or coreless semiconductor package | Deng Yikang; Rosch Jonathan; Brown Andrew; Zhao Junnan |
11495596 | Logic-embedded diode/tunnel diode coupled to floating gate with I-V characteristics suitable for logic state retention | Avci Uygar E.; Morris Daniel H.; Young Ian A. |
11495672 | Increased transistor source/drain contact area using sacrificial source/drain layer | Crum Dax M.; Guha Biswajeet; Hsu William; Cea Stephen M.; Ghani Tahir |
11495683 | Multiple strain states in epitaxial transistor channel through the incorporation of stress-relief defects within an underlying seed material | Lilak Aaron; Keys Patrick; Hasan Sayed; Cea Stephen; Bowonder Anupama |
11495978 | Balanced charge and discharge control for asymmetric dual battery system | Baby Anil; Parchuru Anoop; Chahar Shobhit; G. Govindaraj; Chandrasekhara Vinaya Kumar |
11496252 | UE configured for type-2 HARQ-ACK codebook grouping and HARQ-ACK retransmission | Li Yingyang; Kwak Yongjun |
11496303 | Technologies for collective authorization with hierarchical group keys | Smith Ned M.; Ben-Shalom Omer; Nayshtut Alex |
11496314 | Integrity protected command buffer execution | Pappachan Pradeep M.; Lal Reshma |
11496419 | Reliable transport offloaded to network devices | He Shaopeng; Liang Cunming; Yu Jiang; Yang Ziye; Yu Ping; Cui Bo; Wu Jingjing; Ma Liang; Ni Hongjun; Wen Zhiguo; Liu Changpeng; Jain Anjali Singhai; Daly Daniel; Li Yadong |
11496486 | Methods and apparatus to support reliable digital communications without integrity metadata | Kounavis Michael; Das Amitabh; Deutsch Sergej; Grewal Karanvir S.; Durham David M. |
11500412 | Techniques for clock signal transmission in integrated circuits and interposers | Chromczak Jeffrey; Lim Chooi Pei; Tang Lai Guan; Teh Chee Hak; Hossain MD Altaf; Subbareddy Dheeraj; Nalamalpu Ankireddy |
11500440 | Transferring network input/output (I/O) device control ownership between heterogeneous computing entities | Ong Boon Leong; Shirasat Girish J.; Gajendra Suraj A.; Anand Alok |
11500444 | Intelligent prediction of processor idle time apparatus and method | Aqrabawi Leo; Kuo Chia-hung S.; Hermerding, II James G.; Sakarda Premanand; Arbab Bijan; Silvester Kelan |
11500446 | Reducing power consumption in nonvolatile memory due to standby leakage current | Fastow Richard; Natarajan Shankar; Ha Chang Wan; Law Chee; Hasnat Khaled; Lin Chuan; Ahmed Shafqat |
11500630 | Apparatus and method for converting a floating-point value from half precision to single precision | Valentine Robert; Charney Mark; Sade Raanan; Ould-Ahmed-Vall Elmoustapha; Corbal Jesus |
11500633 | Apparatus and method for configuring sets of interrupts | Deshpande Yogesh; Deshpande Pandurang V. |
11500636 | Spatial and temporal merging of remote atomic operations | Hughes Christopher J.; Nuzman Joseph; Svennebring Jonas; Jayasimha Doddaballapur N.; Sury Samantika S.; Koufaty David A.; McDonnell Niall D.; Liu Yen-Cheng; Van Doren Stephen R.; Robinson Stephen J. |
11500674 | Circuitry with adaptive memory assistance capabilities | Pelt Robert L.; Wang Hong; Rahman Arifur |
11500681 | Technologies for managing quality of service platform interconnects | Bernat Francesc Guim; Doshi Kshitij A.; Herdrich Andrew J.; Verplanke Edwin; Barragan Daniel Rivas |
11500795 | Load reduced nonvolatile memory interface | Chung Emily P.; Hady Frank T.; Vergis George |
11500825 | Techniques for dynamic database access modes | Wang Ren; Richardson Bruce; Tai Tsung-Yuan; Wang Yipeng; De Lara Guarch Pablo |
11500887 | Technologies for tuning performance and/or accuracy of similarity search using stochastic associative memories | Dongaonkar Sourabh; Khan Jawad B.; Chauhan Chetan; Sengupta Dipanjan; Tepper Mariano; Willke Theodore; Coulson Richard L. |
11501001 | Techniques to detect perturbation attacks with an actor-critic framework | Wang Shih-Han; Huang Yonghong; Sheller Micah; Cornelius Cory |
11501139 | Scaling half-precision floating point tensors for training deep neural networks | Mellempudi Naveen; Das Dipankar |
11501152 | Efficient learning and using of topologies of neural networks in machine learning | Yehezkel Rohekar Raanan Yonatan; Koren Guy; Nisimov Shami; Novik Gal |
11501153 | Methods and apparatus for training a neural network | Kong LayWai; Nakazawa Takeshi; Hansen-Musakwa Anne |
11501484 | Apparatus and method for acceleration data structure refit | Apodaca Michael; Benthin Carsten; Xiao Kai; Brownlee Carson; Rowley Timothy; Barczak Joshua; Schluessler Travis |
11501584 | In-cabin acoustic-based passenger occupancy and situation state assessment | Zamora Esquivel Julio; Alvarez Ignacio J.; Cordourier Maruri Hector; Cruz Vargas Jesus; Gonzalez Aguirre David I.; Ibarra von borstel Alejandro; Lopez Meyer Paulo; Camacho Perez Jose Rodrigo |
11501733 | Panel self-refresh (PSR) transmission of bulk data | Qiu Junhai; Joshi Ajit; Ranganathan Ravi; Sameer Kalathil Perazhi; Jiang Jun; Rajagopalan Geethacharan; Mahendran Nandini; Smith Gary |
11501967 | Selective metal deposition by patterning direct electroless metal plating | Nad Suddhasattwa; Dittler Roy; Grujicic Darko; Wall Marcel; Manepalli Rahul |
11502008 | Dual strip backside metallization for improved alt-FLI plating, KOZ minimization, test enhancement and warpage control | Haehn Nicholas S.; Cetegen Edvin; Devasenathipathy Shankar |
11502010 | Module installation on printed circuit boards with embedded trace technology | Qi Quan; Hanna Carlton E. |
11502017 | Effective heat conduction from hotspot to heat spreader through package substrate | Xu Cheng; Wan Zhimin; Liu Lingtao; Deng Yikang; Zhao Junnan; Jha Chandra Mohan; Lee Kyu-oh |
11502031 | Multiple layer metal-insulator-metal (MIM) structure | Lin Kevin |
11502037 | Zero-misalignment two-via structures using photoimageable dielectric, buildup film, and electrolytic plating | Aleksov Aleksandar; Strong Veronica; Rawlings Brandon |
11502071 | Semiconductor device having voltage regulators embedded in layered package | Burton Edward A. |
11502103 | Memory cell with a ferroelectric capacitor integrated with a transtor gate | Morris Daniel H.; Kim Seiyon; Avci Uygar E.; Young Ian A. |
11502124 | Filter-centric III-N films enabling RF filter integration with III-N transistors | Then Han Wui; Fischer Paul B.; Boos Zdravko; Radosavljevic Marko; Dasgupta Sansaptak |
11502188 | Apparatus and method for boosting signal in magnetoelectric spin orbit logic | Lin Chia-Ching; Manipatruni Sasikanth; Nikonov Dmitri; Young Ian A.; Buford Benjamin; Gosavi Tanay; Oguz Kaan; Plombon John J. |
11502191 | Transistors with backside field plate structures | Rode Johann Christian; Nidhi Nidhi; Ramaswamy Rahul; Then Han Wui; Hafez Walid M. |
11502254 | Resistive random access memory device and methods of fabrication | Strutt Nathan; Chen Albert; Golonzka Oleg |
11502603 | Magnetic sensing scheme for voltage regulator circuit | Jain Amit Kumar; Kuan Chin Lee; Shekhar Sameer |
11502696 | In-memory analog neural cache | Mathuriya Amrita; Manipatruni Sasikanth; Lee Victor; Sumbul Huseyin; Chen Gregory; Kumar Raghavan; Knag Phil; Krishnamurthy Ram; Young Ian; Sharma Abhishek |
11502731 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Da Silva Claudio; Genossar Michael; Maltsev Alexander; Cordeiro Carlos |
11502774 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11502952 | Reorder resilient transport | Vasudevan Anil; Sarangam Parthasarathy; Mann Eric; Cohn Daniel |
11503000 | Technologies for establishing secure channel between I/O subsystem and trusted application for secure I/O data transfer | Lal Reshma; Kida Luis S.; Desai Soham Jayesh |
11503605 | Extreme high throughput physical layer data rate | Huang Po-Kai; Bravo Daniel F.; Stacey Robert J.; Cariou Laurent; Klein Arik |
11506482 | Determining device curvature in smart bendable systems | Krimon Yuri I.; Poisner David I.; Steffens Reinhard R. |
11506702 | Scalable infield scan coverage for multi-chip module for functional safety mission application | Azam Asad; Srivastava Amit Kumar; Carrieri Enrico; Bhaskar Rajesh |
11506709 | X-ray filter | Pacheco Mario; Goyal Deepak |
11506900 | Thin, multi-focal plane, augmented reality eyewear | Roessel Sabine; Azuma Ronald; Palumbo Mario |
11506982 | Prism-mask for angled patterning applications | Parichehreh Vahidreza; Martin Keith J.; Liu Changhua; Arana Leonel |
11507084 | Collaborative 3-D environment map for computer-assisted or autonomous driving vehicles | Sharma Sridhar G.; Alam S M Iftekharul; Ahuja Nilesh; Kumar Avinash; Martin Jason; Alvarez Ignacio J. |
11507368 | Spoofing a processor identification instruction | Opferman Toby; Arnold Russell C.; Shanbhogue Vedvyas |
11507369 | Systems, apparatuses, and methods for fused multiply add | Valentine Robert; Ryvchin Galina; Majcher Piotr; Charney Mark J.; Ould-Ahmed-Vall Elmoustapha; Corbal Jesus; Girkar Milind B.; Sperber Zeev; Rubanovich Simon; Gradstein Amit |
11507375 | Hierarchical general register file (GRF) for execution block | Appu Abhishek R.; Koker Altug; Ray Joydeep; Sinha Kamal; Veernapu Kiran C.; Maiyuran Subramaniam; Surti Prasoonkumar; Lueh Guei-Yuan; Puffer David; Pal Supratim; Hoekstra Eric J.; Schluessler Travis T.; Hurd Linda L. |
11507376 | Systems for performing instructions for fast element unpacking into 2-dimensional registers | Toll Bret; Heinecke Alexander F.; Hughes Christopher J.; Zohar Ronen; Espig Michael; Baum Dan; Sade Raanan; Valentine Robert; Charney Mark J.; Ould-Ahmed-Vall Elmoustapha |
11507404 | Virtualized remote direct memory access | Yang Ziye |
11507412 | Memory management method and apparatus | Wu Keqiang; Lu Jiwei; Yamada Koichi; Lee Yong-Fong |
11507430 | Accelerated resource allocation techniques | Subramanian Rasika; Guim Bernat Francesc; Zimmerman David |
11507528 | Pooled memory address translation | Das Sharma Debendra |
11507643 | Licensing in the cloud | Bhandaru Malini K.; Sood Kapil; Maciocco Christian; Yamahata Isaku; Jiang Yunhong |
11507656 | Ransomware detection and remediation | Ben-Shalom Omer; Nayshtut Alex; Eliyahu Behnam; Klimov Denis |
11507699 | Processor with private pipeline | Wierzynski Casimir; Boemer Fabian; Cammarota Rosario |
11507773 | Large-scale similarity search with on-chip cache | Tepper Mariano; Sengupta Dipanjan; Willke Theodore; Turek Javier Sebastian |
11507838 | Methods and apparatus to optimize execution of a machine learning model | Bourges-Sevenier Mikael; Herr Adam; Sharma Sridhar; Gerstmann Derek; Anderson Todd; Gottschlich Justin |
11508079 | Parallelism in disparity map generation | Tsai Wei-Yu; Aneja Amit; Kaminski Maciej Adam; Srivastava Dhawal; Puttaswamy Jayaram; Shivkumar Mithali |
11508120 | Methods and apparatus to generate a three-dimensional (3D) model for 3D scene reconstruction | Yu Chong; Wang Yun |
11508338 | Register spill/fill using shared local memory space | Ray Joydeep; Koker Altug; Vembu Balaji; Ramadoss Murali; Lueh Guei-Yuan; Valerio James A.; Surti Prasoonkumar; Appu Abhishek R.; Ranganathan Vasanth; Bhiravabhatla Kalyan K.; Hunter, Jr. Arthur D.; Chen Wei-Yu; Maiyuran Subramaniam M. |
11508577 | Channel layer formation for III-V metal-oxide-semiconductor field effect transistors (MOSFETs) | Dewey Gilbert; Metz Matthew; Rachmady Willy; Ma Sean; Minutillo Nicholas; Huang Cheng-Ying; Ghani Tahir; Kavalieros Jack; Murthy Anand; Kennel Harold |
11508587 | Microelectronic assemblies | Elsherbini Adel A.; Liff Shawna M.; Swan Johanna M. |
11508626 | Dual metal silicide structures for advanced integrated circuit structure fabrication | Leib Jeffrey S.; Mukherjee Srijit; Bhagwat Vinay; Hattendorf Michael L.; Auth Christopher P. |
11508636 | Multi-layer solution based deposition of dielectrics for advanced substrate architectures | Brown Andrew; Park Ji Yong; Alur Siddharth; Xu Cheng; Alur Amruthavalli |
11508637 | Fan out package and methods | Keser Lizabeth; Ort Thomas; Wagner Thomas; Waidhas Bernd |
11508645 | Modular technique for die-level liquid cooling | Jha Chandra M.; Chang Je-Young |
11508648 | Coupling mechanisms for substrates, semiconductor packages, and/or printed circuit boards | Lin Si Wen |
11508650 | Interposer for hybrid interconnect geometry | Ong Jenny Shio Yin; Lim Seok Ling; Cheah Bok Eng; Kong Jackson Chung Peng; Ooi Kooi Chi |
11508660 | Molded power delivery interconnect module for improved Imax and power integrity | Lim Seok Ling; Cheah Bok Eng; Ong Jenny Shio Yin; Kong Jackson Chung Peng |
11508662 | Device and method of very high density routing used with embedded multi-die interconnect bridge | May Robert Alan; Jen Wei-Lun Kane; Rosch Jonathan L.; Salama Islam A.; Darmawikarta Kristof |
11508676 | Density-graded adhesion layer for conductors | Manepalli Rahul N.; Aygun Kemal; Pietambaram Srinivas V.; Geyik Cemil S. |
11508812 | Multi-step lateral epitaxial overgrowth for low defect density III-N films | Dasgupta Sansaptak; Then Han Wui; Radosavljevic Marko; Agababov Pavel M. |
11508824 | Gallium nitride transistors with multiple threshold voltages and their methods of fabrication | Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko |
11508847 | Transistor arrangements with metal gate cuts and recessed power rails | Wei Andy Chih-Hung; Ma Sean T.; Sinha Piyush Mohan |
11508898 | Piezoelectric devices fabricated in packaging build-up layers | Eid Feras; Liff Shawna M. |
11508903 | Spin orbit torque device with insertion layer between spin orbit torque electrode and free layer for improved performance | Smith Angeline; Young Ian; Oguz Kaan; Manipatruni Sasikanth; Wiegand Christopher; O'Brien Kevin; Rahman Tofizur; Sato Noriyuki; Buford Benjamin; Gosavi Tanay |
11509037 | Integrated circuit packages, antenna modules, and communication devices | Dalmia Sidharth; Thai Trang |
11509069 | Distributed on-package millimeter-wave radio | Kamgaing Telesphor; Elsherbini Adel A. |
11509413 | Apparatus, system and method of an orthogonal frequency-division multiplexing (OFDM) transmission over a wide bandwidth | Min Alexander W.; Kenney Thomas J.; Cariou Laurent; Azizi Shahrnaz; Chen Xiaogang; Stacey Robert J.; Li Qinghua |
11509422 | Apparatus, system and method of communicating an EDMG PPDU | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11509606 | Offload of storage node scale-out management to a smart network interface controller | Li Yadong; Peterson Scott D.; Sen Sujoy; Minturn David B. |
11509644 | Establishing connections between IOT devices using authentication tokens | Smith Ned M. |
11509679 | Trust topology selection for distributed transaction processing in computing environments | Smith Ned; Poornachandran Rajesh |
11509745 | Efficient remote function execution in an information centric network | Alam S. M. Iftekharul; Zhang Yi; Jha Satish Chandra; Chen Kuilin Clark; Sivanesan Kathiravetpillai; Karpenko Stepan; Srikanteswara Srikathyayani; Nallampatti Ekambaram Venkatesan |
11510098 | Determining a number of spatial streams and a bandwidth | Alpert Yaron; Cariou Laurent; Huang Po-Kai; Chen Xiaogang; Klein Arik; Ben-Ari Danny; Stacey Robert J. |
11510283 | WLAN sensing using high-efficiency (HE) trigger- based (TB) PPDUs (HE TB PPDUs) | Sadeghi Bahareh; Cordeiro Carlos; Da Silva Claudio; Chen Cheng |
11512098 | Scandium precursor for SC2O3 or SC2S3 atomic layer deposition | Romero Patricio E. |
11513204 | Methods and apparatus to combine frames of overlapping scanning systems | Ain-Kedem Liron; Shalita Oren; Cohen Alon; Kaganovsky Yan |
11513552 | Apparatus and method for dynamically adjusting quantum computer clock frequency with a locking pulse | Hogaboam Justin; Johri Sonika; Matsuura Anne |
11513808 | Automatic switching and deployment of software or firmware based USB4 connection managers | Raghav Vinay; Sethi Prashant; Gough Robert; Rozic Reuven; Soloveychik Uri |
11513893 | Concurrent compute and ECC for in-memory matrix vector operations | Paul Somnath; Augustine Charles; Koren Chen; Shchupak George; Khellah Muhammad M. |
11513924 | Flexible memory mapped input/output (I/O) space definition for a virtual device in a scalable I/O virtualization (S-IOV) architecture | Jani Nrupal; Deval Manasi; Jain Anjali Singhai; Sarangam Parthasarathy; Aggarwal Mitu; Parikh Neerav; Duyck Alexander H.; Patil Kiran; Sankaran Rajesh M.; Kumar Sanjay K.; Kakaiya Utkarsh Y.; Lantz Philip; Tian Kun |
11513940 | System, apparatus and method for dynamic tracing in a system | Kuehnis Rolf; Lachner Peter |
11513957 | Processor and method implementing a cacheline demote machine instruction | Wang Ren; Herdrich Andrew J.; Liu Yen-cheng; Hum Herbert H.; Park Jong Soo; Hughes Christopher J.; Venkatesan Namakkal N.; Moga Adrian C.; Jaleel Aamer; Chishti Zeshan A.; Ergin Mesut A.; Tsai Jr-shian; Min Alexander W.; Tai Tsung-yuan C.; Maciocco Christian; Sankaran Rajesh |
11513979 | Non-posted write transactions for a computer bus | Sankaran Rajesh M.; Harriman David J.; Stalley Sean O.; Vakharwala Rupin H.; Agarwal Ishwar; Marolia Pratik M.; Van Doren Stephen R. |
11514365 | Immutable watermarking for authenticating and verifying AI-generated output | Cheruvu Ria; Tarkhanyan Anahit |
11514550 | Apparatus and method for display virtualization using mapping between virtual and physical display planes | Lin Yunbiao; Wang Changliang; Musunuri Satyanantha Ramagopal; Puffer David; Cowperthwaite David J.; White Bryan R.; Vembu Balaji |
11514551 | Configuration profiles for graphics processing unit | Marathe Yogesh Vikram; Navik Ankitkumar Pravinbhai; Diwakar Praveen; Ursulin Tvrtko; Muthrasanallur Sridhar; Muthukumar Aravindan; Karanje Kedar J.; Thomas Sujith; Anand Vipin |
11514639 | Augmented reality and virtual reality feedback enhancement system, apparatus and method | Sakthivel Chandrasekaran; Apodaca Michael; Xiao Kai; Koker Altug; Boles Jeffery S.; Lake Adam T.; Kaburlasos Nikos; Ray Joydeep; Feit John H.; Schluessler Travis T.; Kwiatkowski Jacek; Holland James M.; Surti Prasoonkumar; Kennedy Jonathan; Feng Louis; Das Barnan; Biswal Narayan; Baran Stanley J.; Cilingir Gokcen; Shah Nilesh V.; Sharma Archie; Varerkar Mayuresh M. |
11514704 | Method and apparatus of game status determination | Ling Chen; Lu Ming; Li Qiang; Li Wenlong; Tong Xiaofeng; Fang Yikai; Wang Yumeng |
11514721 | Dynamic brightness and resolution control in virtual environments | Venkataraman Radhakrishnan; Holland James M.; Lahiri Sayan; K Pattabhiraman; Sinha Kamal; Sakthivel Chandrasekaran; Pohl Daniel; Tiwari Vivek; Laws Philip R.; Maiyuran Subramaniam; Appu Abhishek R.; Ould-Ahmed-Vall ElMoustapha; Doyle Peter L.; Burke Devan |
11514839 | Optimized display image rendering | Kuwahara Atsuo; Vembar Deepak S.; Diefenbaugh Paul S.; Somayazulu Vallabhajosyula S.; Whitney Kofi C. |
11515089 | Topology to reduce effects of plate misalignment in a capacitive device | Blay Genadi; Zohar Uzi; Levi Ronen |
11515232 | Liquid cooling through conductive interconnect | Chiu Chia-Pin; Sankman Robert; Tadayon Pooya |
11515248 | Localized high density substrate routing | Starkston Robert; Mallik Debendra; Guzek John S.; Chiu Chia-Pin; Kulkarni Deepak; Mahajan Ravi V. |
11515251 | FinFET transistors as antifuse elements | Dorgan Vincent; Hicks Jeffrey; Meric Inanc |
11515297 | Micro light-emitting diode displays having colloidal or graded index quantum dot films | Ahmed Khaled; Keates Andrew William |
11515318 | 3D floating-gate multiple-input device | Lilak Aaron; Morrow Patrick; Hasan Sayed |
11515402 | Microelectronic transistor source/drain formation using angled etching | Sung Seung Hoon; Turkot Robert B.; Radosavljevic Marko; Then Han Wui; Rachmady Willy; Dasgupta Sansaptak; Kavalieros Jack T. |
11515407 | High breakdown voltage structure for high performance GaN-based HEMT and MOS devices to enable GaN C-MOS | Glass Glenn; Dasgupta Sansaptak; Then Han Wui; Radosavljevic Marko; Fischer Paul; Murthy Anand; Hafez Walid |
11515420 | Contacts to n-type transistors with X-valley layer over L-valley channels | Crum Dax M.; Weber Cory E.; Mehandru Rishabh; Kennel Harold; Chu-Kung Benjamin |
11515424 | Field-effect transistors with asymmetric gate stacks | Rami Said; Lee Hyung-Jin; Morarka Saurabh; Liu Guannan; Yu Qiang; Sell Bernhard; Armstrong Mark |
11515728 | Wirelessly powered unmanned aerial vehicles and tracks for providing wireless power | Yang Songnan; Yao Zhen; Elkhouly Essam |
11515890 | Technologies for applying a redundancy encoding scheme to segmented network packets | Connor Patrick; Sood Kapil; Dubal Scott; Herdrich Andrew; Hearn James |
11515891 | Application of low-density parity-check codes with codeword segmentation | Vanaparthy Santhosh K.; Motwani Ravi H. |
11515904 | Transmission management techniques for avoiding excessive exposure of humans to electromagnetic energy | Mueck Markus Dominik |
11516008 | Efficient post-quantum secure software updates tailored to resource-constrained devices | Ghosh Santosh; Juliato Marcio; Sastry Manoj |
11516012 | System, apparatus and method for performing a plurality of cryptographic operations | Ghosh Santosh; Reinders Andrew H.; Satpathy Sudhir K.; Sastry Manoj R. |
11516013 | Accelerator for encrypting or decrypting confidential data with additional authentication data | Guilford James; Gopal Vinodh; Yap Kirk |
11516062 | Apparatus, system and method of transmitting an EDMG channel estimation field (CEF) | Lomayev Artyom; Maltsev Alexander; Da Silva Claudio; Cordeiro Carlos |
11516106 | Protocol analyzer for monitoring and debugging high-speed communications links | Agrawal Anuj; Thakkar Chirag Bharat |
11516336 | Surface detection for mobile devices | Camacho Perez Jose; Bernal Marin Miguel; C. Arevalo Mario Alfredo; Cordourier Maruri Hector; Cruz Vargas Jesus Adan; Duenas De La Cruz Abraham; Lopez Meyer Paulo; Zamora Esquivel Julio |
11516635 | Methods for vehicular communication in accordance with co-existence between next generation vehicle-to-everything (NGV) devices and legacy devices | Cariou Laurent; Sadeghi Bahareh; Kenney Thomas J. |
11516748 | Transmit power control | Da Silva Claudio; Chen Cheng; Cordeiro Carlos |
11516757 | Multi-access point collaboration in wireless communications | Chen Cheng; Fang Juan; Huang Po-Kai; Cariou Laurent; Cordeiro Carlos; Foerster Jeffrey R.; Cavalcanti Dave A.; Doostnejad Roya |
11516803 | Methods and devices for device-to-device communications | Badic Biljana; Kiilerich Pratas Nuno Manuel; Ellenbeck Jan; Drewes Christian; Reichelmeir Thomas Hans-Joerg; Jakoby Assi; Conroy Cormac; Fresia Maria; Goris Norman; Mueck Markus Dominik; Patane Giuseppe; Shahar Itzik; Solodkin Shimon |
11516915 | Reduced capacitance land pad | Zhang Zhichao; Wu Tao; Chawla Gaurav; Lee Jeffrey |
11520297 | Enhancing diagnostic capabilities of computing systems by combining variable patrolling API and comparison mechanism of variables | Banginwar Rajesh; Jayaraman Ramkumar; Deka Nabajit; Mariani Riccardo |
11520331 | Methods and apparatus to update autonomous vehicle perspectives | Baghsorkhi Sara; Gottschlich Justin; Heinecke Alexander; Alam Mohammad Mejbah Ul; Zhou Shengtian; Sharma Sridhar; Mead Patrick Andrew; Alvarez Ignacio; Gonzalez Aguirre David; Sivanesan Kathiravetpillai; Ota Jeffrey; Martin Jason; Yang Liuyang Lily |
11520388 | Systems and methods for integrating power and thermal management in an integrated circuit | Atsatt Sean R.; Weber Scott J.; Dasu Aravind Raghavendra; Gutala Ravi Prakash |
11520498 | Memory management to improve power performance | Bonen Nadav; Muthrasanallur Sridhar; Pandruvada Srinivas; Somayaji Vishwanath; Kodali Prashant |
11520501 | Automated learning technology to partition computer applications for heterogeneous systems | Haghighat Mohammad R.; Baghsorkhi Sara |
11520555 | Collaborative multi-user virtual reality | Vembar Deepak S.; Kuwahara Atsuo; Sakthivel Chandrasekaran; Venkataraman Radhakrishnan; Insko Brent E.; Kalra Anupreet S.; Labbe Hugues; Koker Altug; Apodaca Michael; Xiao Kai; Boles Jeffery S.; Lake Adam T.; Cimini David M.; Vembu Balaji; Ould-Ahmed-Vall Elmoustapha; Kwiatkowski Jacek; Laws Philip R.; Shah Ankur N.; Appu Abhishek R.; Ray Joydeep; Fu Wenyin; Kaburlasos Nikos; Surti Prasoonkumar; Borole Bhushan M. |
11520562 | System to perform unary functions using range-specific coefficient sets | Hickmann Brian J.; Garegrat Nitin N.; Urbanski Maciej; Rotzin Michael |
11520584 | FPGA specialist processing block for machine learning | Langhammer Martin; Chen Dongdong; Bergendahl Jason R. |
11520611 | Secure public cloud using extended paging and memory integrity | Durham David; Chhabra Siddhartha; Strongin Geoffrey; Perez Ronald |
11520646 | Method for improving operational integrity of IoT device | Smith Ned M.; Heldt-Sheller Nathan |
11520700 | Techniques to support a holistic view of cache class of service for a processor cache | Bhandaru Malini K.; Gasparakis Iosif; Ranganath Sunku; Qiao Liyong; Zang Rui; Ilangovan Dakshina; Feng Shaohe; Verplanke Edwin; Autee Priya; Yang Lin A. |
11520723 | Avoid thread switching in cache management | Appu Abhishek R.; Koker Altug; Ray Joydeep; Veernapu Kiran C.; Vembu Balaji; Ranganathan Vasanth; Surti Prasoonkumar |
11520859 | Display of protected content using trusted execution environment | Dewan Prashant; Chhabra Siddhartha |
11520906 | Cryptographic memory ownership table for secure public cloud | Durham David M.; Chhabra Siddhartha; Sahita Ravi L.; Huntley Barry E.; Neiger Gilbert; Gerzon Gideon; Patel Baiju V. |
11521024 | Cascade convolutional neural network | Chen Yen-Kuang; Yang Shao-Wen; Ndiour Ibrahima J.; Liao Yiting; Somayazulu Vallabhajosyula S.; Tickoo Omesh; Varadarajan Srenivas |
11521060 | Tensor-based computing system for quaternion operations | Martinez-Canales Monica Lucia; Singh Sudhir K.; Sharma Vinod; Bhandaru Malini Krishnan |
11521294 | Efficient merging of atomic operations at computing devices | Ray Joydeep; Koker Altug; Appu Abhishek R.; Vembu Balaji |
11521493 | Systems, methods and apparatus for determining predictive threat vectors in autonomous vehicle groups | Vaughn Robert; Gresham Timothy |
11521793 | Resonant LC tank package and method of manufacture | Maruthamuthu Saravana; Augustin Andreas; Wolter Andreas |
11521911 | Conformable heat sink pedestal for multi-chip packages | Lee Eng Kwong; Loo Tung Lun |
11521914 | Microelectronic assemblies having a cooling channel | Wan Zhimin; Xu Cheng; Deng Yikang; Zhao Junnan; Wang Ying; Zhang Chong; Lee Kyu Oh; Jha Chandra Mohan; Chiu Chia-Pin |
11521923 | Integrated circuit package supports | Sankman Robert L.; McCarthy Kevin |
11521931 | Microelectronic structures including bridges | Gamba Jason M.; Deshpande Nitin A.; Bhatia Mohit; Karhade Omkar G.; Nie Bai; Duan Gang; Darmawikarta Kristof Kuwawi; Jen Wei-Lun |
11521932 | Composite bridge die-to-die interconnects for integrated-circuit packages | Cheah Bok Eng; Kong Jackson Chung Peng; Ong Jenny Shio Yin; Ooi Ping Ping; Lim Seok Ling |
11521940 | Stiffener for die crack prevention in semiconductor packages | Lee Eng Kwong; Lim Chew Ching |
11521943 | Method of forming a capacitive loop substrate assembly | Ong Jenny Shio Yin; Chuah Tin Poay; Kuan Chin Lee |
11521964 | Schottky diode structures and integration with III-V transistors | Then Han Wui; Fischer Paul; Hafez Walid; Radosavljevic Marko; Dasgupta Sansaptak |
11521968 | Channel structures with sub-fin dopant diffusion blocking layers | Bomberger Cory; Murthy Anand; Cea Stephen; Guha Biswajeet; Bowonder Anupama; Ghani Tahir |
11522011 | Selector element with ballast for low voltage bipolar memory devices | Majhi Prashant; Pillarisetty Ravi; Karpov Elijah V.; Doyle Brian S.; Sharma Abhishek A. |
11522012 | Deep in memory architecture using resistive switches | Kavalieros Jack T.; Young Ian A.; Krishnamurthy Ram; Pillarisetty Ravi; Manipatruni Sasikanth; Chen Gregory; Yoo Hui Jae; Le Van H.; Sharma Abhishek; Kumar Raghavan; Liu Huichu; Knag Phil; Sumbul Huseyin |
11522048 | Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs | Bomberger Cory; Murthy Anand; Bohr Mark T.; Ghani Tahir; Guha Biswajeet |
11522059 | Metallic sealants in transistor arrangements | Sharma Abhishek A.; Ghani Tahir; Kavalieros Jack T.; Dewey Gilbert W.; Le Van H.; Wong Lawrence D.; Jezewski Christopher J. |
11522060 | Epitaxial layers on contact electrodes for thin- film transistors | Sung Seung Hoon; Weber Justin; Metz Matthew; Sen Gupta Arnab; Sharma Abhishek; Chu-Kung Benjamin; Dewey Gilbert; Kuo Charles; Haratipour Nazila; Shivaraman Shriram; Le Van H.; Ghani Tahir; Kavalieros Jack T.; Ma Sean |
11522072 | Vertical integration scheme and circuit elements architecture for area scaling of semiconductor devices | Mehandru Rishabh; Morrow Patrick; Kumar Ranjith; Weber Cory E.; Kim Seiyon; Cea Stephen M.; Ghani Tahir |
11522130 | Metal insulator transition field programmable routing block | Morris Daniel H.; Avci Uygar E.; Young Ian A. |
11522291 | Antenna boards and communication devices | Karhade Omkar G.; Lambert William James; Li Xiaoqian; Deshpande Nitin A.; Mallik Debendra |
11522640 | High speed interconnect symbol stream forward error-correction | Ansari Nausheen; Kabiry Ziv; Yedidia Gal |
11522678 | Block cipher encryption for processor-accelerator memory mapped input/output communication | Ghosh Santosh; Kida Luis; Lal Reshma |
11522679 | Exposing cryptographic measurements of peripheral component interconnect express (PCIe) device controller firmware | Natu Mahesh; Pearson Adrian |
11522682 | Technologies for providing streamlined provisioning of accelerated functions in a disaggregated architecture | Guim Bernat Francesc; Prabhakaran Suraj; Doshi Kshitij A.; Verrall Timothy |
11522805 | Technologies for protocol-agnostic network packet segmentation | Deval Manasi; Bowers Gregory J. |
11523365 | Inter-radio access technology positioning measurements in new radio systems | Cui Jie; Guo Yi; Tang Yang |
11524697 | Computer-assisted driving method and apparatus including automatic mitigation of potential emergency | Tatourian Igor; Moustafa Hassnaa; Zage David |
11525970 | Microelectronic package communication using radio interfaces connected through wiring | Liff Shawna; Elsherbini Adel A.; Kamgaing Telesphor; Oster Sasha N.; Chawla Gaurav |
11526205 | Methods and apparatus to implement always-on context sensor hubs for processing multiple different types of data inputs | Han Ke; Sun Mingqiu; Wang Dong; Iyer Prakash; Jourdan Stephan; Mialkowski Andrzej |
11526279 | Technologies for performing column architecture-aware scrambling | Kwok Zion; Khan Jawad; Coulson Richard |
11526290 | System and method to track physical address accesses by a CPU or device | Koufaty David; Sankaran Rajesh; Vakharwala Rupin |
11526323 | Facilitation of concurrent consumption of media content by multiple users using superimposed animation | Felkai Paul I.; Harper Annie; Jagodic Ratko; Mongia Rajiv K.; Shoemaker Garth |
11526352 | Hardware processor and method for loading a microcode patch from cache into patch memory and reloading an overwritten micro-operation | Ghetie Sergiu D. |
11526353 | Systems, apparatuses, and methods for fused multiply add | Valentine Robert; Ryvchin Galina; Majcher Piotr; Charney Mark J.; Ould-Ahmed-Vall Elmoustapha; Corbal Jesus; Girkar Milind B.; Sperber Zeev; Rubanovich Simon; Gradstein Amit |
11526354 | Systems, apparatuses, and methods for fused multiply add | Valentine Robert; Ryvchin Galina; Majcher Piotr; Charney Mark J.; Ould-Ahmed-Vall Elmoustapha; Corbal Jesus; Girkar Milind B.; Sperber Zeev; Rubanovich Simon; Gradstein Amit |
11526440 | Providing multiple memory modes for a processor including internal memory | Sodani Avinash; Kyanko Robert J.; Greco Richard J.; Kleen Andreas; Girkar Milind B.; Cantalupo Christopher M. |
11526448 | Direct mapped caching scheme for a memory side cache that exhibits associativity in response to blocking from pinning | Wang Zhe; Alameldeen Alaa R.; Zou Yi; King Gordon |
11526451 | Secure address translation services using bundle access control | Koufaty David; Trikalinou Anna; Kakaiya Utkarsh Y.; Sahita Ravi; Jayaram Masti Ramya |
11526483 | Storage architectures for graph analysis applications | Eyerman Stijn; Howard Jason M.; Hur Ibrahim; Ganev Ivan B.; Petrini Fabrizio; Fryman Joshua B. |
11526648 | Accelerating latent defects in semiconductor devices | Natarajan Suriyaprakash; Sathaye Abhijit M.; Banerjee Suvadeep |
11526704 | Method and system of neural network object recognition for image processing | Guo Ping; Zhang Lidan; Ren Haibing; Zhang Yimin |
11526713 | Embedding human labeler influences in machine learning interfaces in computing environments | Anderson Glen J. |
11526736 | Methods, systems, articles of manufacture and apparatus to map workloads | Aflalo Estelle; Bleiweiss Amit; Marder Mattias; Zimmerman Eliran |
11526745 | Methods and apparatus for federated training of a neural network using trusted edge devices | Sheller Micah; Cornelius Cory; Martin Jason; Huang Yonghong; Wang Shih-Han |
11526793 | Quantum state imaging for memory optimization | Daraeizadeh Sahar; Matsuura Anne; Hogaboam Justin |
11526964 | Deep learning based selection of samples for adaptive supersampling | Pohl Daniel; Marshall Carl; Panneer Selvakumar |
11527035 | Real time ray tracing (RTRT)-based adaptive multi-frequency shading (AMFS) | Brownlee Carson; Liktor Gabor; Barczak Joshua; Xiao Kai; Apodaca Michael; Raoux Thomas |
11527433 | Via and plug architectures for integrated circuit interconnects and methods of manufacture | Guler Leonard P.; Wallace Charles H.; Nyhus Paul A. |
11527463 | Hybrid ball grid array package for high speed interconnects | Cheah Bok Eng; Ong Jenny Shio Yin; Lim Seok Ling; Ooi Kooi Chi; Kong Jackson Chung Peng |
11527467 | Multi-chip package with extended frame | Cheah Bok Eng; Lim Seok Ling; Kong Jackson Chung Peng; Ong Jenny Shio Yin; Ooi Kooi Chi |
11527479 | Stepped interposer for stacked chip package | Kuan Chin Lee; Cheah Bok Eng; Kong Jackson Chung Peng |
11527481 | Stacked semiconductor package with flyover bridge | Chee Choong Kooi; Cheah Bok Eng; Yew Teong Guan; Kong Jackson Chung Peng; Foo Loke Yip |
11527483 | Package including fully integrated voltage regulator circuitry within a substrate | Zhang Chong; Bharath Krishna |
11527484 | Dielectric filler material in conductive material that functions as fiducial for an electronic device | Jones Jesse C.; Duan Gang; Gamba Jason; Kanaoka Yosuke; Manepalli Rahul N.; Shajan Vishal |
11527485 | Electrical shield for stacked heterogeneous device integration | Lim Seok Ling; Cheah Bok Eng; Ong Jenny Shio Yin; Kong Jackson Chung Peng; Ooi Kooi Chi |
11527489 | Apparatus and system with package stiffening magnetic inductor core and methods of making the same | Hill Michael J.; Manusharow Mathew; Choi Beomseok; Raorane Digvijay |
11527501 | Sacrificial redistribution layer in microelectronic assemblies having direct bonding | Elsherbini Adel A.; Strong Veronica Aleman; Liff Shawna M.; Rawlings Brandon M.; Shakya Jagat; Swan Johanna M.; Craig David M.; Streifer Jeremy Alan; Mueller Brennen Karl |
11527507 | Microelectronic packages with high integration microelectronic dice stack | Patten Richard |
11527515 | Augmented reality display systems with super-Lambertian LED source | Ahmed Khaled; Parikh Kunjal |
11527532 | Enhancement-depletion cascode arrangements for enhancement mode III-N transistors | Nidhi Nidhi; Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak; Fischer Paul B.; Ramaswamy Rahul; Hafez Walid M.; Rode Johann Christian |
11527610 | CMOS compatible isolation leakage improvements in gallium nitride transistors | Radosavljevic Marko; Dasgupta Sansaptak; Then Han Wui |
11527612 | Gate-all-around integrated circuit structures having vertically discrete source or drain structures | Glass Glenn; Murthy Anand; Guha Biswajeet; Crum Dax M.; Ma Sean; Ghani Tahir; Ghose Susmita; Cea Stephen; Mehandru Rishabh |
11527613 | Removal of a bottom-most nanowire from a nanowire device stack | Lilak Aaron; Keys Patrick; Ma Sean; Cea Stephen; Mehandru Rishabh |
11527640 | Wrap-around contact structures for semiconductor nanowires and nanoribbons | Mehandru Rishabh; Ghani Tahir; Cea Stephen; Guha Biswajeet |
11527656 | Contact electrodes for vertical thin-film transistors | Le Van H.; Ghani Tahir; Kavalieros Jack T.; Dewey Gilbert; Metz Matthew; Reshotko Miriam; Chu-Kung Benjamin; Shivaraman Shriram; Sharma Abhishek; Haratipour Nazila |
11527716 | Memory device with boron nitride liner | Sarkar Santanu; Good Farrell M. |
11528029 | Apparatus to synchronize clocks of configurable integrated circuit dies through an interconnect bridge | Tang Lai Guan; Nalamalpu Ankireddy; Subbareddy Dheeraj |
11528066 | Non-orthogonal multiple-access and multi-finger beamforming | Orhan Oner; Aryafar Ehsan; Carlton Brent; Himayat Nageen; Hull Christopher; Naderializadeh Navid; Nikopour Hosein; Pellerano Stefano; Rahman Mustafijur; Talwar Shilpa; Zhu Jing |
11528097 | Control fields for null data packet feedback reports | Cariou Laurent; Alpert Yaron |
11528182 | Analog-to-digital converter system, transceiver, base station and mobile device | Azadet Kameran; Clara Martin; Gruber Daniel; Lindholm Christian; Shin Hundo |
11528229 | Traffic class arbitration based on priority and bandwidth allocation | Cheng Albert S.; Lovett Thomas D.; Parker Michael A. |
11528258 | System and apparatus for data confidentiality in distributed ledger | Lenz Oron; Nayshtut Alex; Berenzon Alex; Nadler Ishai; Wolf Yoni |
11528275 | Autonomous/semi-autonomous driving method and apparatus with trusted data collection, retention and/or sharing | Tanriover Cagri; Cavalcanti Dave; Moustafa Hassnaa; Firby Robert |
11528308 | Technologies for end of frame detection in streaming content | Rogers Brian E.; Veeramani Karthik |
11528606 | Long training field sequence security protection | Jiang Feng; Li Qinghua; Segev Jonathan; Chen Xiaogang; Huang Po-Kai; Niu Huaning |
11528615 | Spectrum sharing controller and spectrum sharing techniques | Mueck Markus Dominik; Raghupatruni Madhusudana; Rathod Punit |
11528672 | Long transmission duration for wireless systems | Xiong Gang; Sengupta Avik; Zhang Yushu; Zhu Jie; Lee Dae Won; Davydov Alexei Vladimirovich; Morozov Gregory Vladimirovich |
11528722 | Apparatus, system and method of scheduling time sensitive networking (TSN) wireless communications | Cavalcanti Dave; Cariou Laurent; Rashid Mohammad Mamunur |
11528811 | Method, device and system for providing etched metallization structures | Ecton Jeremy; Haehn Nicholas; Ojeda Oscar; Roy Arnab; White Timothy; Nad Suddhasattwa; Wang Hsin-Wei |
11529951 | Safety system, automated driving system, and methods thereof | Buerkle Cornelius; Oboril Fabian; Alvarez Ignacio |
11530921 | Method of generating a collision free path of travel and computing system | Nimmagadda Mallikarjuna Rao; Dattawadkar Shreela; Deshmukh Pranjali; Kabisthalam Muthukumar Sriram; Madhu Kumar Akhila |
11531109 | Technologies for managing a world model of a monitored area | Conde Naissa; Jaber Suhel; Agrawal Pragya; Salvi Darshana; van Beek Petrus |
11531174 | Co-packaging with silicon photonics hybrid planar lightwave circuit | Kim Sang Yup; Yim Myung Jin; Kim Woosung |
11531475 | Processors, methods and systems to allow secure communications between protected container memory and input/output devices | Alexandrovich Ilya; Beker Vladimir; Gerzon Gideon; Scarlata Vincent R. |
11531510 | Regional adjustment of render rate | Asperheim Eric J.; Maiyuran Subramaniam M.; Veernapu Kiran C.; Jahagirdar Sanjeev S.; Vembu Balaji; Burke Devan; Laws Philip R.; Sinha Kamal; Appu Abhishek R.; Ould-Ahmed-Vall Elmoustapha; Doyle Peter L.; Ray Joydeep; Schluessler Travis T.; Feit John H.; Kaburlasos Nikos; Kwiatkowski Jacek; Koker Altug |
11531542 | Addition instructions with independent carry chains | Gopal Vinodh; Guilford James D.; Wolrich Gilbert M.; Feghali Wajdi K.; Ozturk Erdinc; Dixon Martin G.; Mirkes Sean P.; Merten Matthew C.; Li Tong; Toll Bret L. |
11531562 | Systems, methods, and apparatuses for resource monitoring | Fleming Matthew; Verplanke Edwin; Herdrich Andrew; Iyer Ravishankar |
11531563 | Technology for optimizing hybrid processor utilization | Gupta Monica; Weissmann Eliezer; Abu Salah Hisham; Chabukswar Rajshree Arun; Fenger Russell Jerome; Gorbatov Eugene; Settuvalli Guruprasad; Masanamuthu Chinnathurai Balaji; Tapas Sumant; Gudaram Meghana; Subramaniam Raj Kumar |
11531565 | Techniques to generate execution schedules from neural network computation graphs | Brady John |
11531623 | Memory sharing via a unified memory architecture | Rao Jayanth N.; Sundaresan Murali |
11531635 | Technologies for establishing communication channel between accelerator device kernels | Balle Susanne M.; Custodio Evan; Guim Bernat Francesc; Sen Sujoy; Putyrski Slawomir; Dormitzer Paul; Grecco Joseph |
11531750 | Installing and manipulating a secure virtual machine image through an untrusted hypervisor | Durham David M.; Grewal Karanvir S.; Deutsch Sergej; Lemay Michael |
11531752 | Technologies for control plane separation in a network interface controller | Abodunrin Akeem; Faerman Lev; Dubal Scott; Kulkarni Suyog; Jain Anjali Singhai; Louzoun Eliel; Jani Nrupal; Li Yadong; Tamir Eliezer; Srinivasan Arvind; Friedman Ben-Zion |
11531770 | Trusted local memory management in a virtualized GPU | Pappachan Pradeep M.; Kida Luis S.; Lal Reshma |
11531772 | Support for increased number of concurrent keys within multi-key cryptographic engine | Chhabra Siddhartha; Durham David M. |
11531850 | Context-aware image compression | Chen Yen-Kuang; Yang Shao-Wen; Ndiour Ibrahima J.; Liao Yiting; Somayazulu Vallabhajosyula S.; Tickoo Omesh; Varadarajan Srenivas |
11531922 | Apparatus and method for scalable qubit addressing | Zou Xiang |
11532067 | Apparatus and method for multi-adapter encoding | Wang Changliang; Lee Penne; Ermilov Dmitry |
11532439 | Ultra-dense ferroelectric memory with self-aligned patterning | Lin Chia-Ching; Chang Sou-Chi; Haratipour Nazila; Sung Seung Hoon; Penumatcha Ashish Verma; Kavalieros Jack; Avci Uygar E.; Young Ian A. |
11532558 | Metallization barrier structures for bonded integrated circuit interfaces | Naylor Carl; Kobrinsky Mauro; Vreeland Richard; Chebiam Ramanan; Brezinski William; Mueller Brennen; Bielefeld Jeffery |
11532574 | Through-substrate waveguide | Aleksov Aleksandar; Dogiamis Georgios; Kamgaing Telesphor; Dewey Gilbert W.; Lee Hyung-Jin |
11532584 | Package substrate with high-density interconnect layer having pillar and via connections for fan out scaling | May Robert Alan; Boyapati Sri Ranga Sai; Darmawikarta Kristof Kuwawi; Pietambaram Srinivas V.; Soto Gonzalez Javier; Lim Kwangmo Chris; Aleksov Aleksandar |
11532601 | Group III-N transistors for system on chip (SOC) architecture integrating power management and radio frequency circuits | Then Han Wui; Chau Robert; Rao Valluri; Mukherjee Niloy; Radosavljevic Marko; Pillarisetty Ravi; Dewey Gilbert; Kavalieros Jack |
11532619 | Transistor structures including a non-planar body having variable and complementary semiconductor and insulator portions | Rachmady Willy; Huang Cheng-Ying; Dewey Gilbert; Kavalieros Jack; Barrett Caleb; Gupta Jay P.; Gupta Nishant; Hsu Kaiwen; Jung Byungki; Killampalli Aravind S.; Railsback Justin; Sukrittanon Supanee; Wadhwa Prashant |
11532706 | Gate-all-around integrated circuit structures having embedded GeSnB source or drain structures | Bomberger Cory; Murthy Anand; Ghose Susmita; Chouksey Siddharth |
11532719 | Transistors on heterogeneous bonding layers | Jun Kimin; Kavalieros Jack T.; Dewey Gilbert; Rachmady Willy; Lilak Aaron; Mueller Brennen; Yoo Hui Jae; Morrow Patrick; Phan Anh; Huang Cheng-Ying; Mannebach Ehren |
11532724 | Selective gate spacers for semiconductor devices | Clendenning Scott B.; Liao Szuya S.; Gstrein Florian; Hourani Rami; Romero Patricio E.; Kloster Grant M.; Mitan Martin M. |
11532734 | Gate-all-around integrated circuit structures having germanium nanowire channel structures | Bomberger Cory; Murthy Anand; Ghose Susmita; Geiger Zachary |
11532883 | Beamforming techniques implementing the iterative adaptive approach (IAA) | Cohen Alon; Maor Lior; Teplitsky Moshe; Yoffe Ilia |
11532906 | Hybrid socket for higher thermal design point processor support | Huang Yi; Gonzalez Lenero Fernando; Zhao Liwei |
11532984 | Voltage regulator circuit with parallel arrangement of discontinuous conduction mode voltage regulators | Burton Edward |
11533087 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Da Silva Claudio; Genossar Michael; Maltsev Alexander; Cordeiro Carlos |
11533122 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11533170 | Hardware mechanisms for link encryption | Elbaz Reouven; Loo Hooi Kar; Teoh Poh Thiam; Lim Su Wei; Maloney Patrick D.; Ghosh Santosh |
11533268 | Methods and apparatus to schedule service requests in a network computing system using hardware queue managers | Guim Bernat Francesc; Kumar Karthik; Prabhakaran Suraj; Astilleros Diez Ignacio; Verrall Timothy |
11533271 | Technologies for flexible and automatic mapping of disaggregated network communication resources | Bernat Francesc Guim; Albarran Antonino; Sanz Jaime; Kondapalli Raghu R.; Bachmutsky Alexander |
11533316 | Information-centric network namespace policy-based content delivery | Smith Ned M.; Srikanteswara Srikathyayani; Balakrishnan Ravikumar; Poornachandran Rajesh; Ambrosin Moreno |
11533341 | Technologies for scalable security architecture of virtualized networks | Sood Kapil; Young Valerie J.; Venkatachalam Muthaiah; Nedbal Manuel |
11533591 | V2X communication configuration based on geographical location | Shilov Mikhail; Khoryaev Alexey; Panteleev Sergey; Belov Dmitry |
11533628 | Allocating wireless channels | Jayawickrama Beeshanga; He Ying; Mueck Markus Dominik; Badic Biljana; Roth Killian; Srikanteswara Srikathyayani; Yu Zhibin; Dutkiewicz Eryk |
11533683 | Advanced graphics power state management | Samson Eric C.; Ramadoss Murali; Beuchat Marc |
11533757 | Scrambler initialization for multi-user clear to send transmission | Chen Xiaogang; Li Qinghua; Jiang Feng; Huang Po-Kai; Gurevitz Assaf |
11534917 | Methods, systems, articles of manufacture and apparatus to improve resource utilization for binary tree structures | You Ganmei; Wang Dawei; Liu Ling; Shi Xuesong; Wang Chunjie |
11536574 | System and method for acceleration-based vector field maps | Felip Leon Javier; Alvarez Ignacio J.; Elli Maria Soledad; Gonzalez Aguirre David I.; Turek Javier |
11537172 | Connector assembly for an electronic device | Sharma Sameer; Satzger Douglas; Amit Gadi; Hoshino Yoshikazu; Harber Chadwick; Clifton Daniel; Houdek, II Philip J.; Moiseyenko Stanislav; Jauvtis Nathan |
11537174 | Close clearance hinge systems | Gopalan Shankara Venkatraman; Pichumani Prasanna; Shanmugam Rajeev Rema |
11537191 | Technologies for providing advanced management of power usage limits in a disaggregated architecture | Reddy Anjaneya Reddy Chagam; Peterson Scott D.; Rego Charles |
11537375 | Digitally coordinated dynamically adaptable clock and voltage supply apparatus and method | Sebot Julien; Burton Edward A.; Kurd Nasser A.; Douglas Jonathan |
11537398 | Instruction and logic for processing text strings | Julier Michael A.; Gray Jeffrey D.; Chennupaty Srinivas; Mirkes Sean P.; Seconi Mark P. |
11537403 | Control flow mechanism for execution of graphics processor instructions using active channel packing | Maiyuran Subramaniam M.; Lueh Guei-Yuan; Pal Supratim; Chen Gang; Kommaraju Ananda V.; Chandra Joy; Koker Altug; Surti Prasoonkumar; Puffer David; Liao Hong Bin; Ray Joydeep; Appu Abhishek R.; Shah Ankur N.; Schluessler Travis T.; Kennedy Jonathan; Burke Devan |
11537419 | Virtual machine migration while maintaining live network links | Palermo Stephen T.; Sathyanarayana Krishnamurthy Jambur; Harte Sean; Long Thomas; Tamir Eliezer; Tadepalli Hari K. |
11537447 | Technologies for providing efficient migration of services at a cloud edge | Bernat Francesc Guim; Kumar Karthik; Balle Susanne M.; Astilleros Diez Ignacio; Verrall Timothy; Smith Ned M. |
11537457 | Low latency remoting to accelerators | Pappachan Pradeep; Sen Sujoy; Grecco Joseph; Bhavani Venkatesan Mukesh Gangadhar; Lal Reshma |
11537520 | Remote atomic operations in multi-socket systems | Jayasimha Doddaballapur N.; Sury Samantika S.; Hughes Christopher J.; Svennebring Jonas; Liu Yen-Cheng; Van Doren Stephen R.; Koufaty David A. |
11537761 | Transparent network access control for spatial accelerator device multi-tenancy | Schulz Steffen; Trivedi Alpa; Koeberl Patrick |
11537851 | Methods and systems using improved training and learning for deep neural networks | Guo Yiwen; Yao Anbang; Cai Dongqi; Wang Libin; Xu Lin; Hu Ping; Wang Shandong; Cheng Wenhua; Chen Yurong |
11537892 | Slimming of neural networks in machine learning environments | Yan Shoumeng; Li Jianguo; Liu Zhuang |
11537896 | Machine learning techniques for precise position determination | Choi Jeongsik; Nallampatti Ekambaram Venkatesan; Choi Yang-Seok |
11538164 | Coupled multi-task fully convolutional networks using multi-scale contextual information and hierarchical hyper-features for semantic image segmentation | Wang Libin; Yao Anbang; Chen Yurong |
11538165 | Technologies for automated screen segmentation | Rhodes Anthony |
11538437 | Low power refresh during semi-active workloads | Sinha Vishal R.; Diefenbaugh Paul S.; Huard Douglas Robert |
11538617 | Integrated magnetic core inductors on glass core substrates | Bharath Krishna; Elsherbini Adel |
11538633 | Combination stiffener and capacitor | Goh Eng Huat; Sir Jiun Hann; Lim Min Suet |
11538731 | Thermal solutions for package on package (PoP) architectures | Singh Bijendra; Rao Vikas; Krishnamurthy Sandesh Geejagaaru; Singh Navneet Kumar; Pillai Unnikrishnan Gopinanthan |
11538746 | Vertical bond-wire stacked chip-scale package with application-specific integrated circuit die on stack, and methods of making same | Ding Zhicheng; She Yong; Liu Bin; Tan Aiping; Deng Li |
11538753 | Electronic chip with under-side power block | Hossain MD Altaf; Nalamalpu Ankireddy; Gilbert Scott; Zhao Jin |
11538758 | Waveguide interconnect bridges | Dogiamis Georgios; Swan Johanna M. |
11538803 | Integration of III-V transistors in a silicon CMOS stack | Dewey Gilbert; Kamgaing Telesphor; Aleksov Aleksandar; Dogiamis Gerogios; Lee Hyung-Jin |
11538804 | Stacked integration of III-N transistors and thin-film transistors | Then Han Wui; Radosavljevic Marko; Dasgupta Sansaptak; Fischer Paul B.; Hafez Walid M. |
11538806 | Gate-all-around integrated circuit structures having high mobility | Kotlyar Roza; Mehandru Rishabh; Cea Stephen; Guha Biswajeet; Crum Dax; Ghani Tahir |
11538808 | Structures and methods for memory cells | Ma Sean T.; Lilak Aaron D.; Sharma Abhishek A.; Le Van H.; Sung Seung Hoon; Dewey Gilbert W.; Chu-Kung Benjamin; Kavalieros Jack T.; Ghani Tahir |
11538901 | Capacitor including multilayer dielectric stack | Then Han Wui; Dasgupta Sansaptak; Radosavljevic Marko |
11538905 | Nanowire transistors employing carbon-based layers | Glass Glenn A.; Murthy Anand S.; Mistkawi Nabil G.; Jambunathan Karthik; Ghani Tahir |
11538937 | Fin trim plug structures having an oxidation catalyst layer surrounded by a recessed dielectric material | Guler Leonard; Lindert Nick; Guha Biswajeet; Sivakumar Swaminathan; Ghani Tahir |
11538988 | Memory device with multi-layer liner structure | Sarkar Santanu; Gotti Andrea; Saxler Adam William |
11539267 | Dynamic curved screen | Channaiah Yogesh |
11539455 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | Lomayev Artyom; Maltsev Alexander; Genossar Michael; Da Silva Claudio; Cordeiro Carlos |
11539482 | Enhanced resource allocation for wireless communications | Chen Xiaogang; Bravo Daniel; Cariou Laurent; Huang Po-Kai; Jiang Feng; Li Qinghua; Stacey Robert |
11539596 | End-to-end quality of service in edge computing environments | Doshi Kshitij Arun; Smith Ned M.; Guim Bernat Francesc; Verrall Timothy; Gadiyar Rajesh |
11539660 | Lockless stateful network address translation | Medvedkin Vladimir; Chilikin Andrey |
11539818 | Multi-access edge computing (MEC) translation of radio access technology messages | Sabella Dario; Mueck Markus Dominik; Filippou Miltiadis; Gomes Baltar Leonardo; Faerber Michael |
11540082 | Processing system, update server and method for updating a processing system | Filippou Miltiadis; Faerber Michael; Karls Ingolf; Gomes Baltar Leonardo; Mueck Markus Dominik |
11540314 | Device, system and method to coordinate simultaneous downlink wireless transmissions | Li Qinghua; Huang Po-Kai; Cariou Laurent; Stacey Robert; Jiang Feng; Chen Xiaogang |
11540317 | Uplink null data packet format for passive location | Jiang Feng; Das Dibakar; Chen Xiaogang; Ghosh Chittabrata; Li Qinghua; Segev Jonathan; Stacey Robert; Venkatesan Ganesh |
11540355 | MEC-based distributed computing environment with multiple edge hosts and user devices | Sabella Dario; Smith Ned M.; Oliver Neal; Doshi Kshitij Arun; Prabhakaran Suraj; Guim Bernat Francesc; Filippou Miltiadis |
11540395 | Stacked-component placement in multiple-damascene printed wiring boards for semiconductor package substrates | Cheah Bok Eng; Kong Jackson Chung Peng; Kuan Chin Lee |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-7-23 16:27
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社