陈立新专利报告分享 http://blog.sciencenet.cn/u/feixiangfeixian 中美欧日韩五局及PCT专利数据统计分析报告 陈立新 Tel13592308169 QQ86065045

博文

2022年台湾积体电路制造公司的在美专利状况——半导体元器件、半导体制造、半导体集成电路技术较强

已有 2915 次阅读 2023-11-30 10:02 |系统分类:博客资讯

陈立新 张琳 黄颖:中美欧日韩五局专利报告3542.docx

█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖

第二部分 2022年美国发明专利统计分析报告

18 世界主要机构在美国的专利布局

18.3 台湾积体电路制造公司的美国局专利状况

2022年,台湾积体电路制造公司获得美国发明专利2983项,比上一年增长了9%,是获得美国专利数量第3多的机构。

相对来讲,台湾积体电路制造公司专利研发的优势领域是:半导体制造、半导体元器件、半导体集成电路、计算机辅助设计、计算机核心部件。其在这5个技术领域上的专利份额相对较高,为20%至1.6%。


表18.3-1 2022年台湾积体电路制造公司主要技术领域的专利分布


技术领域

专利数量

占比(%)

1

半导体制造

1840

20.0%

2

半导体元器件

2075

11.5%

3

半导体集成电路

989

10.2%

4

计算机辅助设计

123

5.7%

5

计算机核心部件

266

1.6%

6

光学与摄影

244

1.5%

7

材料化学与纳米

101

1.1%

8

电气元件与电路

248

0.9%

9

光电测量与核物理

54

0.4%

10

分离与混合加工

41

0.4%

11

金属成型加工

34

0.3%

12

材料测试

26

0.3%

13

物理测量

30

0.3%

14

发电与输变电

24

0.2%

15

计算机一般部件

31

0.2%

16

化工

15

0.2%

17

物理信号与控制

25

0.2%

18

包装与储运

10

0.1%

19

广播电视与电话

26

0.1%

20

计算机安全

8

0.1%

注:占比(%)指其在某领域上的专利数量占该领域的比例。


从绝对数量上来看,台湾积体电路制造公司的重点技术领域是:半导体元器件、半导体制造、半导体集成电路、计算机核心部件、电气元件与电路。其在这5个技术领域上的专利数量最多,为2075至248项。

可见,台湾积体电路制造公司的专利技术研发重点主要集中在半导体元器件领域。

从发明人来看,2022年台湾积体电路制造公司的研发人员较多,达到3600人,人均发明专利1.22项。其中,Yu Chen-Hua、Wang Chih-Hao、Cheng Kuan-Lun、Chiang Kuo-Cheng、Lin Yu-Ming、Chen Hsien-Wei、Yeong Sai-Hooi、Chui Chi On、Chen Ming-Fa、Jeng Shin-Puu等人的专利数量较多,高达146至48项。


图18.3-1 2022年台湾积体电路制造公司在20个相对优势领域中的专利占比


致谢

感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员对本报告的支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。


附表18.3-1 2022年台湾积体电路制造公司的美国局授权发明专利

Patent No.TitleInventors
11214868Chemical vapor deposition apparatus and blocker plateChen Huan-Chieh; Wang Chao-Chun; Wu Chih-Yu; Koai Keith Kuang-Kuo
11215513Device and method for temperature monitoring of a semiconductor deviceKang Po-Zeng; Chou Wen-Shen; Peng Yung-Chow
11215753Photonic semiconductor device and methodIslam Mohammed Rabiul; Rusu Stefan; Song Weiwei
11215918Method of critical dimension control by oxygen and nitrogen plasma  treatment in EUV maskHsu Pei-Cheng; Yang Chun-Fu; Lien Ta-Cheng; Lee Hsin-Chang
11215924Photoresist, developer, and method of forming photoresist patternZi An-Ren; Chang Ching-Yu; Lin Chin-Hsiang
11215929Photoresist system and methodKuo Hung-Jui; Lu De-Yuan; Yu Chen-Hua; Lee Ming-Tan
11216376Memory circuit and cache circuit configurationLee Hsien-Hsin Sean; Shen William Wu; Lee Yun-Han
11216387Hybrid cache memory and method for controlling the sameLu Shih-Lien Linus
11216608Reduced area standard cell abutment configurationsLu Chi-Yu; Zhuang Hui-Zhong; Tien Li-Chun; Sue Pin-Dai; Ko Yi-Hsin
11217301High speed memory device implementing a plurality of supply voltagesYu Hua-Hsin; Shieh Hau-Tai
11217304Memory operation method and circuitChen Yu-Sheng; Wu Jau-Yi; Chang Chia-Wen
11217458Method for forming semiconductor device structure with fine line pitch  and fine end-to-end spaceChen Hung-Hao; Chen Yu-Shu; Liu Yu-Cheng
11217475Wafer table with dynamic support pinsLiao Chi-Hung; Wu Min-Cheng
11217476Method of forming an interconnect structure of a semiconductor devicePeng Tai-Yen; Shieh Jyu-Horng
11217477Semiconductor device having a liner layer with a configured profileYin Joanna Chaw Yane; Chen Hua Feng
11217478Integrated circuit (IC) structure for high performance and functional  densityKao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Huang  Kuan-Chieh
11217479Multiple metallization schemeHo Hsin-Ying; Chih Fang-I; Huang Hui-Chi; Chen Kei-Wei
11217480Semiconductor structure with a laminated layerChuang Chia-Lin; Chang Chia-Hao; Wang Sheng-Tsung; Huang Lin-Yu; Lin  Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11217482Method for forming semiconductor device with resistive elementHuang Wen-Sheh; Hsueh Hsiu-Wen; Chen Yu-Hsiang; Chen Chii-Ping
11217484FinFET gate structure and related methodsChung Cheng-Ting; Tsai Ching-Wei; Cheng Kuan-Lun
11217485Semiconductor device and method of manufactureChen Hung-Hao; Chang Che-Cheng; Tseng Horng-Huei; Chen Wen-Tung; Liu  Yu-Cheng
11217486Semiconductor device and methodYang Cheng-Yu; Yang Feng-Cheng; Lee Wei-Yang; Chen Yen-Ming; Chen  Yen-Ting
11217490Source/drain features with an etch stop layerChu Feng-Ching; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming
11217492Method for source/drain contact formation in semiconductor devices using  common doping and common etching to n-type and p-type source/drainsKoh Shao-Ming; Lee Chen-Ming; Wu I-Wen; Yang Fu-Kai; Wang Jia-Heng; Wang  Mei-Yun
11217494Semiconductor devices and methods of manufactureYoung Bo-Feng; Yeong Sai-Hooi; Chui Chi On; Lin Yu-Ming
11217497Integrated circuit package and methodChen Chien-Hsun; Tsai Yu-Ling; Wu Jiun Yi; Lee Chien-Hsun; Liu Chung-Shi
11217518Package structure and method of forming the sameLee Tzung-Hui; Kuo Hung-Jui; Ho Ming-Che
11217524Interconnect structure and manufacturing method for the sameLiang Shuen-Shin; Chang Ken-Yu; Huang Hung-Yi; Chang Chien; Chuang  Chi-Hung; Chu Kai-Yi; Tsai Chun-I; Huang Chun-Hsien; Chang Chih-Wei; Chang  Hsu-Kai; Chu Chia-Hung; Lin Keng-Chu; Wang Sung-Li
11217526Semiconductor device with source resistor and manufacturing method  thereofKang Po-Zeng; Chou Wen-Shen; Peng Yung-Chow
11217528Semiconductor structure having buried power rail disposed between two  fins and method of making the samePeng Shih-Wei; Tzeng Jiann-Tyng; Lin Wei-Cheng
11217538Integrated circuit package and methodLiu Chung-Shi; Wu Jiun Yi; Lee Chien-Hsun
11217546Embedded voltage regulator structure and method forming sameWu Jiun Yi; Yu Chen-Hua; Chen Chien-Hsun
11217547Bond pad structure with reduced step height and increased electrical  isolationChou Shih-Pei; Lu Jiech-Fun
11217548Semiconductor device structure and manufacturing methodLee Li-Guo; Liu Yung-Sheng; Liu Yi-Chen; Lai Yi-Jen; Chen Chun-Jen; Cheng  Hsi-Kuei
11217552Multi-chip integrated fan-out packageChen Jie; Chen Hsien-Wei
11217553Connection structure for stacked substratesTseng Hsiang-Jen; Chen Wei-Yu; Chiang Ting-Wei; Tien Li-Chun
11217555Aligning bumps in fan-out packaging processHuang Ying-Jui; Hwang Chien Ling; Lin Chih-Wei; Hsieh Ching-Hua; Liu  Chung-Shi; Yu Chen-Hua
11217562Semiconductor device with discrete blocksHsiao Ching-Wen; Chen Chen-Shien; Chang Wei Sen; Hu Shou-Cheng
11217570Package structure and manufacturing method thereofChen Wei-Yu; Su An-Jhih; Wu Chi-Hsi; Yeh Der-Chyang; Huang Li-Hsien; Tsai  Po-Hao; Yeh Ming-Shih; Liu Ta-Wei
11217585Forming dielectric dummy fins with different heights in different regions  of a semiconductor deviceLin Zhi-Chang; Wu Wei-Hao; Yu Jia-Ni
11217586Semiconductor device having dummy fin physically separating the first and  second gate stacksLin Shih-Yao; Chou Yun-Ting; Lin Chih-Han; Lin Jr-Jung
11217596Flash memory with improved gate structure and a method of creating the  sameChen Sheng-Chieh; Liu Ming Chyi; Liu Shih-Chang
11217597Semiconductor device and method of manufacturing the sameLin Meng-Han; Hsieh Chih-Ren; Chan Ching-Wen
11217621Deep trench isolations and methods of forming the sameChou Cheng-Hsien; Lai Chih-Yu; Chou Shih Pei; Chiang Yen-Ting; Tseng  Hsiao-Hui; Tsai Min-Ying
11217627Magnetic random access memory device and formation method thereofChuang Harry-Hak-Lay; Tsai Jiun-Yu; Huang Sheng-Huang; Ku Ming-Che; Wang  Hung-Cho
11217629Semiconductor device and manufacturing method thereofLin Meng-Han; Yeong Sai-Hooi; Lin Yu-Ming; Chia Han-Jong; Wang Chenchen  Jacob
11217672Method of forming a source/drainLee Chien-Wei; Sung Hsueh-Chang; Lee Yen-Ru
11217676Antenna-free high-k gate dielectric for a gate-all-around transistor and  methods of forming the sameWu Che-Chen; Chiang Kuo-Cheng; Wang Chih-Hao; You Jia-Chuan; Chuang  Li-Yang
11217679Semiconductor device and methodLin Chien-Chih; Chen Yen-Ting; Lin Wen-Kai; Yang Szu-Chi; Lin Shih-Hao;  Lee Tsung-Hung; Cheng Ming-Lung
11217683Semiconductor structure with extending gate structure and method for  forming the sameChang Che-Cheng; Lin Chih-Han
11217746Ion beam etching fabricated sub 30nm Vias to reduce conductive material  re-deposition for sub 60nm MRAM devicesYang Yi; Shen Dongna; Teng Zhongjian; Haq Jesmin; Wang Yu-Jen
11219115EUV collector contamination preventionWu Ming-Fa; Fu Tzung-Chi; Lin Chun Che; Cheng Po-Chung; Yang Huai-Tei
11220422MEMS deviceHu Fan; Tai Wen-Chuan; Chen Hsiang-Fu; Cheng Chun-Ren
11221554EUV masks to prevent carbon contaminationHsu Pei-Cheng; Lien Ta-Cheng; Lee Hsin-Chang
11221562Reticle and method of detecting intactness of reticle stage using the  sameLee Chia-Yu; Chen Tao-Hsin; Huang Ching-Juinn; Cheng Po-Chung
11221563Lens control for lithography toolsYang Yueh Lin; Liao Chi-Hung
11221564Method for improving exposure performance and apparatus thereofLu Chao Pei; Lee Yung-Yao
11222157Pin access hybrid cell height designSio Kam-Tou; Tzeng Jiann-Tyng
11222783Using cumulative heat amount data to qualify hot plate used for  postexposure bakingChao Chia-Cheng; Wang Chung-Cheng; Chen Chun-Kuang
11222788Methods of enhancing surface topography on a substrate for inspectionLiao Han-Wen; Liu Jun-Xiu; Lin Chun-Chih
11222794Semiconductor fabrication system embedded with effective baking moduleLin Han-Yu; Jhan Yi-Ruei; Lee Fang-Wei; Lin Li-Te; Lin Pinyen; Lin  Tze-Chung
11222802Multiple semiconductor die container load portHuang Chih-Hung; Wu Cheng-Lung; Shiu Yi-Fam; Chen Yu-Chen; Chu Yang-Ann;  Pai Jiun-Rong
11222805Etching apparatus and methods of cleaning thereofLin Yu-Chi; Yang Huai-Tei; Tan Lun-Kuang; Lo Wei-Jen; Liao Chih-Teng
11222814Integrated circuit (IC) structure for high performance and functional  densityKao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Huang  Kuan-Chieh
11222818Formation method of semiconductor device structure with  metal-semiconductor compound regionChao Yi-Hsiang; Hung Min-Hsiu; Nieh Chun-Wen; Li Ya-Huei; Liao Yu-Hsiang;  Chu Li-Wei; Lin Kan-Ju; Yeh Kuan-Yu; Chuang Chi-Hung; Chang Chih-Wei; Su  Ching-Hwanq; Huang Hung-Yi; Tsai Ming-Hsing
11222821Semiconductor device with reduced via bridging riskTseng Szu-Wei; Tsai Kuo-Chiang
11222826FinFET structure and deviceHuang Yen-Chun; Peng Chih-Tang; Hsu Kuang-Yuan; Huang Tai-Chun; Perng  Tsu-Hsiu; Bao Tien-I
11222842Interconnect structure and methods thereofChang Shang-Wen; Lin Yi-Hsiung
11222843Interconnect structure and method for forming the sameHuang Hsin-Yen; Lee Shao-Kuan; Lee Cheng-Chin; Chen Hai-Ching; Shue  Shau-Lin
11222849Substrate loss reduction for semiconductor devicesHuang Xin-Hua; Yu Chung-Yi; Chen Kuei-Ming
11222857Method of forming a photoresist over a bond pad to mitigate bond pad  corrosionHuang Chih-Fan; Chen Dian-Hau; Wang Mao-Nan; Lee Tzu-Li; Chen Yen-Ming;  Li Tzung-Luen
11222859Semiconductor device structure with bonding pad and method for forming  the sameHsu Chien-Hao; Tu Wei-Hsiang; Chang Kuo-Chin; Lii Mirng-Ji
11222867Package and manufacturing method thereofHuang Sung-Hui; Hou Shang-Yun; Huang Kuan-Yu
11222883Package structure and method of manufacturing the sameHuang Shih-Ya; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang
11222884Layout design methodology for stacked devicesChang Fong-Yuan; Liu Chin-Chou; Chien Chin-Her; Huang Po-Hsiang; Chang Ka  Fai
11222892Backside power rail and methods of forming the sameSu Huan-Chieh; Yu Li-Zhen; Chen Chun-Yuan; Chiu Shih-Chuan; Chuang  Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao
11222893Semiconductor device including transistors sharing gates with structures  having reduced parasitic circuitChang Yi-Feng; Peng Po-Lin; Lee Jam-Wem
11222898Two-port SRAM structureLiaw Jhon Jhy
11222899Semiconductor device which includes fins and method of making sameChen Chih-Liang; Lai Chih-Ming; Young Charles Chew-Yuen; Tseng Chin-Yuan;  Tzeng Jiann-Tyng; Sio Kam-Tou; Liu Ru-Gun; Lin Wei-Liang; Chou L. C.
11222913Image sensor device having first lens over a light-sensing region and  surrounded by a grid layerHsu Shih-Hsun
11222915Pad structure for front side illuminated image sensorHsu Kai-Chun; Wang Ching-Chun; Yaung Dun-Nian; Lin Jeng-Shyan; Ting  Shyh-Fann
11222946Semiconductor device including a high density MIM capacitor and methodYin Jin-Mu; Kao Hung-Chao; Chen Dian-Hau; Chen Hui-Chi; Shen Hsiang-Ku;  Chen Yen-Ming
11222948Semiconductor structure and method of fabricating the semiconductor  structureChen Guan-Lin; Chiang Kuo-Cheng; Wang Chih-Hao; Ju Shi Ning; Huang  Jui-Chien
11222951Epitaxial source/drain structure and methodWu I-Wen; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Lin Chun-An; Lu  Wei-Yuan; Wang Guan-Ren; Wang Peng
11222958Negative capacitance transistor with external ferroelectric structureHsu Chi-Hsing; Yeong Sai-Hooi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang  Chih-Hao; Cao Min
11222963Semiconductor device and methodMore Shahaji B.; Chang Shih-Chieh
11222968HEMT device structure and manufacturing method thereofChen Po-Chih; Yu Jiun-Lei; Chang Yao-Chung; Tsai Chun-Lin
11222980Method of manufacturing a semiconductor device and a semiconductor deviceTu Wen-Hsien; Lee Wei-Fan
11223350Glitch preventing input/output circuitsYu Tsung-Hsin; Pai Nick; Chen Bo-Ting
11224115System and method for extreme ultraviolet source controlHsu Chun-Chia; Hsieh Chieh; Chien Shang-Chieh; Chen Li-Jui; Cheng  Po-Chung; Fu Tzung-Chi; Liu Bo-Tsun
11226363Reliability testing method and apparatusSu Shiang-Ruei; Lin Liang-Chen; Tu Chia-Wei
11226506Heater structure with a gas-filled isolation structure to improve thermal  efficiency in a modulator deviceLin Shih-Wei; Liu Ming Chyi
11226551Lithographic mask, a pellicle therein and method of forming the sameChen Ching-Yueh; Liu Tzung-Shiun
11226555Preparing patterned neutral layers and structures prepared using the sameLo Kuan-Hsin; Chang Ching-Yu
11226562Semiconductor structure and manufacturing method thereofLee Yung-Yao; Hsieh Yi-Ping
11226564EUV light source and apparatus for lithographyYeh Jhan-Hong; Chen Cheng-Chieh; Tsay Jeng-Yann; Chen Li-Jui; Tong Henry  Yee Shian; Wang Wen-Chih; Chen Hsin-Liang
11227084Multi-bit standard cellKao Jerry Chang Jui; Zhuang Hui-Zhong; Chien Yung-Chen; Chiang Ting-Wei;  Chang Chih-Wei; Chen Xiangdong
11227093Method and system of forming semiconductor deviceYang Kuo-Nan; Lo Wan-Yu; Wang Chung-Hsing; Biswas Hiranmay
11227640Memory sense amplifier trimmingShih Yi-Chun; Lee Chia-Fu; Chih Yu-Der
11227713Fabrication of an integrated transformerRoth Alan; Soenen Eric
11227747Etch process with rotatable shower headLin Yu-Chi; Chiu Yi-Wei; Chang Hung-Jui; Lin Chin-Hsing; Ke Yu Lun
11227780System and method for operating the sameXiao Jia-Rong; Huang Wei-Hsiang; Peng Sen-Yeo; Peng Chui-Ya
11227788Method of forming isolation layerTsai Teng-Chun; Chen Bing-Hung; Wang Chien-Hsun; Lin Cheng-Tung; Peng  Chih-Tang; Chen De-Fang; Lin Huan-Just; Wang Li-Ting; Lu Yung-Cheng
11227794Method for making self-aligned barrier for metal vias In-Situ during a  metal halide pre-clean and associated interconnect structureWang Sung-Li; Liang Shuen-Shin; Peng Yu-Yun; Lee Fang-Wei; Chu Chia-Hung;  Khaderbad Mrunal Abhijith; Lin Keng-Chu
11227795Integrated circuit package and methodTseng Ting-Chen; Liao Sih-Hao; Wang Po-Han; Hu Yu-Hsiang; Kuo Hung-Jui
11227812Package and manufacturing method thereofChen Ming-Fa; Yeh Sung-Feng; Hong Jian-Wei
11227828Semiconductor device and manufacturing method thereofHo Chia-Cheng; Lu Chun-Chieh; Chang Chih-Sheng
11227830Conductive features having varying resistanceLee Jia-En; Huang Po-Yu; Lin Shih-Che; Wang Chao-Hsun; Chao Kuo-Yi; Wang  Mei-Yun; Chang Feng-Yu
11227833Interconnect structure and method for forming the sameLee Shao-Kuan; Lee Cheng-Chin; Huang Hsin-Yen; Chen Hai-Ching; Shue  Shau-Lin
11227836Pad structure for enhanced bondabilityHuang Ru-Ying; Chen Yung-Ching; Lin Yueh-Chiou; Kuo Yian-Liang
11227837Integrated circuit package and methodYu Chen-Hua; Liu Jen-Fu; Tseng Ming Hung; Chiang Tsung-Hsien; Lin  Yen-Liang; Huang Tzu-Sung
11227872FeRAM MFM structure with selective electrode etchChang Chih-Hsiang; Tu Kuo-Chi; Shih Sheng-Hung; Chu Wen-Ting; Chen  Tzu-Yu; Chang Fu-Chen
11227886Mechanisms for forming image sensor deviceChien Volume; Cheng Yun-Wei; Jangjian Shiu-Ko; Liu Zhe-Ju; Lee Kuo-Cheng;  Jeng Chi-Cherng
11227887Semiconductor device structure and manufacturing process thereofPan Yi-Ping; Hsu Hung-Jen
11227889Extra doped region for back-side deep trench isolationChen Chun-Yuan; Wang Ching-Chun; Yaung Dun-Nian; Tseng Hsiao-Hui; Sze  Jhy-Jyi; Ting Shyh-Fann; Wang Tzu-Jui; Chiang Yen-Ting; Wang Yu-Jen;  Yamashita Yuichiro
11227893Semiconductor structure and method of forming the sameChuang Harry-Hak-Lay; Shen Kuei-Hung
11227917Nano-sheet-based devices with asymmetric source and drain configurationsChung Cheng-Ting; Huang Yu-Xuan; Liao Yi-Bo; Tsai Ching-Wei; Cheng  Kuan-Lun
11227918Melt anneal source and drain regionsLiu Su-Hao; Chen Wen-Yen; Chen Li-Heng; Wang Li-Ting; Chen Liang-Yin;  Chang Huicheng; Yeo Yee-Chia; Wang Ying-Lang
11227929Metal gate structureLim Peng-Soon; Lee Da-Yuan; Hsu Kuang-Yuan
11227931Nanosheet field-effect transistor device and method of formingLee Hsin-Yi; Chang Weng; Chui Chi On
11227932FinFET devices with a fin top hardmaskChing Kuo-Cheng; Yang Kai-Chieh; Tsai Ching-Wei; Cheng Kuan-Lun; Wang  Chih-Hao
11227933Ferroelectric field effect transistor using charge trapping band  misalignment and methods of forming the sameVellianitis Georgios; Henricus van Dal Marcus Johannes
11227935Gate structure and methods thereofCheng Anhao; Kuo Fang-Ting
11227940Fin field-effect transistor device and method of forming the sameLian Jian-Jou; Lin Chun-Neng; Yeh Ming-Hsi; Chen Chieh-Wei; Chiang  Tzu-Ang
11227950Methods of forming air spacers in semiconductor devicesWang Chao-Hsun; Lee Chen-Ming; Chao Kuo-Yi; Wang Mei-Yun; Chou Pei-Yu;  Chen Kuo-Ju
11227951Method of forming semiconductor deviceTsai Chun-Hsiung; Chan Chien-Tai; Fang Ziwei; Chen Kei-Wei; Yang Huai-Tei
11227955Semiconductor device and method of manufacturing the sameCheng Chao-Ching; Lu Chun-Chieh; Chiang Hung-Li; Chen Tzu-Chiang
11227956Nanosheet field-effect transistor device and method of formingYeong Sai-Hooi; Young Bo-Feng; Yao Chien Ning; Chui Chi On
11227958Circular grating structure for photonic deviceLiu Tao-Cheng; Hung Tsai-Hao; Chen Ying-Hsun
11227993Device with composite spacer and method for manufacturing the sameSung Fu-Ting; Hsu Chern-Yow; Liu Shih-Chang
11228279Oscillator circuits and methods for realignment of an oscillator circuitTsai Tsung-Hsien; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang
11228303Ring oscillator, controlling circuit and methods for realignmentTsai Tsung-Hsien; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang
11228304Method and apparatus for precision phase skew generationChou Mao-Hsuan; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien
11229109Three dimensional integrated circuit electrostatic discharge protection  and prevention test interfaceWang Mill-Jer; Peng Ching-Nen; Lin Hung-Chih; Cheng Hao
11229111Method of operating semiconductor apparatus and semiconductor apparatusShih Po-Ming; Liao Chi-Hung
11229856Etching solution recycling system and method for wafer etching apparatusFan Che-Lun
11230784Electrochemical plating system and method of usingNian Jun-Nan; Jangjian Shiu-Ko; Wang Ting-Chun; Lee Ing-Ju
11231376Method for semiconductor wafer inspection and system thereofChen Chien-Huei; Chung Hung-Yi; Chen Xiaomeng
11231767Dynamic frequency scalingTing Kai-Yuan; Mehta Ashok; John Stanley; Goel Sandeep Kumar
11232248Routing-resource-improving method of generating layout diagram and system  for samePeng Shih-Wei; Tzeng Jiann-Tyng; Lin Wei-Cheng; Yang Jay
11232836Resistive random access memory deviceLee Chia-Fu; Chih Yu-Der
11232838Ferroelectric FET-based content addressable memoryLu Shih-Lien Linus
11232943Method and structure for semiconductor interconnectHsiao Ru-Shang; Tsai Chun Hsiung; Wann Clement Hsingjen
11232945Conductive feature formation and structureChang Cheng-Wei; Hung Min-Hsiu; Huang Hung-Yi; Wang Chun Chieh; Lin  Yu-Ting
11232946Method of optimizing film deposition process in semiconductor fabrication  by using gas sensorChu Rei-Lin; Chen Chih-Ming; Yu Chung-Yi; Tu Yeur-Luen
11232947Ammonium fluoride pre-clean protectionChu Li-Wei; Su Ying-Chi; Chen Yu-Kai; Loh Wei-Yip; Chen Hung-Hsu; Chang  Chih-Wei; Tsai Ming-Hsing
11232953Method of manufacturing a semiconductor device and a semiconductor deviceFan Miao-Syuan; Lee Ching-Hua; Chen Ming-Te; Lee Jung-Wei; Lee Pei-Wei
11232971Workpiece holding mechanism, process system and manufacturing method of  semiconductor structureKuo Hung-Jui; Tsai Hui-Jung; Lin Keng-Han
11232974Fabrication method of metal-free SOI waferCheng Yu-Hung; Chen Pu-Fang; Wu Cheng-Ta; Chiang Po-Jung; Lee Ru-Liang;  Lu Victor Y.; Chen Yen-Hsiu; Tu Yeur-Luen; Yeh Yu-Lung; Lin Shi-Chieh
11232975Semiconductor-on-insulator (SOI) substrate having dielectric structures  that increase interface bonding strengthTsai Min-Ying; Tu Yeur-Luen
11232978Semiconductor device and manufacturing method thereofHer Jeng Chang; Chao Cha-Hsin; Chiu Yi-Wei; Hsu Li-Te; Hsia Ying Ting
11232979Method of forming trenchesChang Che-Cheng; Lin Chih-Han
11232982Deposition system and method using the sameHuang Jian-Zhi; Hsu Yun-Hsuan; Ni I-Chih; Wu Chih-I
11232985Method of forming contact metalHuang Chun-Hsien; Lee Hong-Mao; Yang Hsien-Lung; Chen Yu-Kai; Lin  Wei-Jung
11232988Wavy profile mitigationShen Shu-Wen; Lin You-Ting; Kuo Jiun-Ming; Peng Yuan-Ching; Li Yi-Cheng;  Liang Pin-Ju; Jeng Pei-Ren
11232989Devices with adjusted fin profile and methods for manufacturing devices  with adjusted fin profileChang Hsiao-Chun; Shen Guan-Jie
11233005Method for manufacturing an anchor-shaped backside viaChen Chun-Yuan; Su Huan-Chieh; Chuang Cheng-Chi; Wang Chih-Hao
11233019Manufacturing method of semicondcutor packageLiang Fang-Yu; Yang Ching-Feng; Wu Kai-Chiang
11233032Mechanisms for forming bonding structuresLin Yeong-Jyh; Liao Hsin-Hung; Hwang Chien-Ling; Jang Bor-Ping; Liang  Hsiao-Chung; Liu Chung-Shi
11233035Package structure and method of manufacturing the sameChen Ming-Fa; Yeh Sung-Feng; Liu Tzuan-Horng; Shih Chao-Wen
11233039Semiconductor packagesYang Sheng-Chieh; Hsieh Ching-Hua; Lin Chih-Wei; Chen Yu-Hao
11233081Wave guide filter for semiconductor imaging devicesHuang Cheng Yu; Chuang Chun-Hao; Tseng Chien-Hsien; Hashimoto Kazuaki;  Chou Keng-Yu; Chiang Wei-Chieh; Yu Wen-Chien; Chang Ting-Cheng; Wu Wen-Hau;  Chang Chih-Kung
11233082Formation method of light sensing deviceCheng Yun-Wei; Chu Yi-Hsing; Huang Yin-Chieh; Chou Chun-Hao; Lee  Kuo-Cheng; Huang Hsun-Ying; Chen Hsin-Chi
11233116Semiconductor device structure with magnetic elementChen Chi-Cheng; Huang Wei-Li; Kuo Chien-Chih; Huang Hon-Lin; Ku Chin-Yu;  Chen Chen-Shien
11233117Ring structure for film resistorKuo Chun-Tsung; Lu Jiech-Fun
11233119Core-shell nanostructures for semiconductor devicesPeng Cheng-Yi; Lee Song-Bor
11233120Semiconductor device and manufacturing method thereofTsai Chung-En; Chung Chia-Che; Liu Chee-Wee; Lu Fang-Liang; Huang  Yu-Shiang; Yeh Hung-Yu; Tu Chien-Te; Liu Yi-Chun
11233121Method of making bipolar transistorYang Fu-Hsiung; Lin Long-Shih; Huang Kun-Ming; Shen Chih-Heng; Chu Po-Tao
11233123Fully strained channelMore Shahaji B.; Yang Huai-Tei; Pan Zheng-Yang; Chang Shih-Chieh; Wang  Chun-Chieh; Lee Cheng-Han
11233130Semiconductor device and method of forming the sameYoung Bo-Feng; Yeong Sai-Hooi; Chui Chi-On
11233134Field effect transistors with dual silicide contact structuresChu Peng-Wei; Shih Ding-Kang; Wang Sung-Li; Okuno Yasutoshi
11233136Silicon carbide oxide hard mask for reducing dishing effectsChen Yi-Lun
11233139Fin field-effect transistor and method of forming the sameLin Shih-Yao; Lin Chih-Han; Ku Shu-Yuan; Wang Tzu-Chung; Jang Shu-Uei;  Tsai Ya-Yi; Chang Chi-Hsiang
11233140Semiconductor device and manufacturing method thereofTsai Chun Hsiung; Wann Clement Hsingjen; Yu Kuo-Feng; Lin Yi-Tang; Lin  Yu-Ming
11233145Manufacturing method of semiconductor structureLiu Sheng-De; Chou Chung-Yen; Liu Shih-Chang
11233156Memory device and manufacturing method thereofWu Wei-Cheng; Hsieh Chih-Ren
11233197Phase change random access memory deviceYen Chun-Hsu; Hsu Yu-Chuan; Yang Chen-Hui
11235969CMOS-MEMS integration with through-chip via processCheng Chun-Wen; Chu Chia-Hua; Kuo Wen Cheng; Mao Wei-Jhih
11236996Line edge roughness analysis using atomic force microscopyHu Wei-Shan; Gui Dong; Lee Jang Jung; Li Che-Liang; Hou Duen-Huei; Liu  Wen-Chung
11237240Multi-probe ferromagnetic resonance (FMR) apparatus for wafer level  characterization of magnetic filmsGuisan Santiago Serrano; Thomas Luc; Le Son; Jan Guenole
11237477Reticle containerHsu Pei-Cheng; Lien Ta-Cheng; Wang Tzu Yi; Lee Hsin-Chang
11237478Cleaning module, cleaning apparatus and method of cleaning photomaskPan Yi-Hsun; Hsieh Kun-Lung
11237482Process system and operating method thereofChang Chao-Chen; Luo Shao-Wei; Chung Jen-Yang; Chien Shang-Chieh; Chen  Li-Jui; Cheng Po-Chung
11237483Method and apparatus for controlling droplet in extreme ultraviolet light  sourceLiao Chi-Hung; Yang Yueh-Lin
11237646Converter and conversion method for converting click position of display  into light pen simulated signal for semiconductor manufacturing machineChen Ming-Sze; Hsieh Chin-Shen
11237834Memory device, access controller thereof and method for accessing memory  deviceNoguchi Hiroki; Wang Yih
11237907Processing-in-memory instruction set with homomorphic error correctionChiang Katherine H
11238207Method and system for fabricating integrated circuit with aid of  programmable circuit synthesisChuang Yung-Hsu; Chou Wen-Shen; Huang Jie-Ren; Yang Yu-Tao; Peng  Yung-Chow; Chen Yun-Ru
11238904Using embedded switches for reducing capacitive loading on a memory  systemYu Chia-Ta; Huang Chia-En; Yeong Sai-Hooi; Wang Yih; Liu Yi-Ching
11238905Sense amplifier layout for FinFET technologyChen Yen-Huei; Tien Chien Chi Linus; Lin Kao-Cheng; Chen Jung-Hsuan
11238906Series of parallel sensing operations for multi-level cellsDong Qing; Sinangil Mahmut; Lin Yen-Ting; Akarvardar Kerem; Diaz Carlos  H.; Wang Yih
11238908Memory circuit and method of operating sameTsai Jui-Che; Lee Cheng Hung; Lu Shih-Lien Linus
11238911Method for writing to magnetic random access memoryYing Ji-Feng; Wang Jhong-Sheng; Niu Baohua
11238923Memory deviceLu Shih-Lien Linus
11239001Method for generating extreme ultraviolet radiation and an extreme  ultraviolet (EUV) radiation sourceLiu Tzu Han; Wen Chih-Wei; Lin Chung-Hung
11239060Ion beam etching chamber with etching by-product redistributorHsieh Te-Hsien; Tseng Lee-Chuan
11239072Cut metal gate process for reducing transistor spacingWen Ming-Chang; Chang Chang-Yun; Lin Hsien-Chin; Chen Hung-Kai
11239074Germanium nanosheets and methods of forming the sameCheng Hung-Hsiang
11239075Lattice-mismatched semiconductor substrates with defect reductionWu Cheng-Hsien; Chen I-Sheng
11239078Fine line patterning methodsHuang Shih-Chun; Chen Chiu-Hsiang; Yeh Ya-Wen; Shen Yu-Tien; Chang  Po-Chin; Lai Chien Wen; Lin Wei-Liang; Chang Ya Hui; Yen Yung-Sung; Lin  Li-Te; Lin Pinyen; Liu Ru-Gun; Lin Chin-Hsiang
11239083Tuning threshold voltage through meta stable plasma treatmentWu Shao-Jyun; Pan Sheng-Liang; Lin Huan-Just
11239084Semiconductor device and manufacturing method thereofColinge Jean-Pierre; Diaz Carlos H.
11239085Semiconductor device and method for manufacturing the sameChou You-Hua; Chuang Kuo-Sheng
11239089Semiconductor device and manufacturing method thereofLin Meng-Han; Hsieh Chih-Ren; Huang Chih-Pin; Chan Ching-Wen
11239092Method for forming semiconductor device structureWei Yu-Chen; Chan Chun-Chieh; Chu Chun-Jui; Lai Jen-Chieh; Lin Shih-Ho
11239096Integrated fan-out package and manufacturing method thereofWu Kai-Chiang; Tsai Chung-Hao; Lu Chun-Lin; Wang Yen-Ping; Hsu Che-Wei
11239099Tool monitoring device and method of monitoring toolLin Hom-Chung; Chang Chi-Ying; Twu Jih-Churng; Chen Chin-Yun; Chang  Yi-Ting; Chen Feng-Yu
11239103Package-on-package structureLin Chih-Wei; Huang Hui-Min; Ang Ai-Tee; Tsai Yu-Peng; Cheng Ming-Da; Liu  Chung-Shi
11239106Source/drain isolation structure and methods thereofHuang Lin-Yu; Wang Sheng-Tsung; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming;  Wang Chih-Hao
11239114Semiconductor device with reduced contact resistance and methods of  forming the sameTsai Kuo-Chiang; Chen Jhy-Huei
11239121Metal gate contacts and methods of forming the sameChen Chih-Hsuan; Chen Jui-Lin; Lin Yu-Kuan
11239134Package structure and method of fabricating the sameChen Chih-Hao; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei
11239135Package structure and method of manufacturing the sameChen Shih-Wei; Tsai Hao-Yi; Pan Kuo-Lung; Kuo Tin-Hao; Teng Po-Yuan; Lai  Chi-Hui
11239136Adhesive and thermal interface material on a plurality of dies covered by  a lidChen Chih-Hao; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei; Pan Chih-Chien
11239138Methods of packaging semiconductor devices and packaged semiconductor  devicesCheng Li-Hui; Tsai Po-Hao; Lin Jing-Cheng
11239142Package structure and method for forming the sameHuang Chih-Fan; Shen Hsiang-Ku; Chen Hui-Chi; Bao Tien-I; Chen Dian-Hau;  Chen Yen-Ming
11239143Semiconductor structure and manufacturing method thereofTsao Pei-Haw; Lu Tsung-Hsing; Chu Li-Huan
11239154Fishbone structure enhancing spacing with adjacent conductive line in  power networkChao Chien-Ju; Fan Fang-Yu; Tsai Yi-Chuin; Yang Kuo-Nan; Wang Chung-Hsing
11239157Package structure and package-on-package structureWang Chuei-Tang; Tsai Chung-Hao; Yu Chen-Hua; Chen Wei-Ting
11239163Tapering discrete interconnection for an integrated circuit (IC)Bindra Jaskirat; Lalgudi Kumar
11239173Structure and formation method of chip package with fan-out featureTsai Po-Hao; Lin Meng-Liang; Chuang Po-Yao; Wong Techi; Jeng Shin-Puu
11239180Structure and formation method of package structure with stacked  semiconductor diesMao Yi-Chao; Chang Chin-Chuan; Lu Szu-Wei
11239193Integrated circuit package and methodChen Chien-Hsun; Wang Shou-Yi; Wu Jiun Yi; Liu Chung-Shi; Yu Chen-Hua
11239194Chip package structureJeng Shin-Puu; Chen Shuo-Mao; Hsu Feng-Cheng
112392013D integrated circuit (3DIC) structureYu Chen-Hua; Chiou Wen-Chih; Liu Chung-Shi
11239205Integrating passive devices in package structuresHu Chih-Chia; Chen Ming-Fa
11239208Packaged semiconductor devices including backside power rails and methods  of forming the sameChuang Chi-Yi; Chen Hou-Yu; Cheng Kuan-Lun
11239225Three-dimensional integrated circuit structures and methods of  manufacturing the sameChen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng
11239228Integrated circuit layout and method of configuring the sameLin Chung-Te; Chiang Ting-Wei; Zhuang Hui-Zhong; Sue Pin-Dai; Tien  Li-Chun
11239233Integrated circuit packages and methods of forming sameWu Yi-Wen; Kuo Hung-Jui; Ho Ming-Che
11239244Partial buried insulator nano-sheet deviceSio Kam-Tou; Chiu Yi-Hsun
11239245Etch method for opening a source line in flash memoryHuang Yong-Sheng; Liu Ming Chyi; Huang Chih-Pin
11239246Cell boundary structure for embedded memoryLin Meng-Han; Hsieh Chih-Ren; Wu Wei Cheng; Huang Chih-Pin
11239255Integrated circuit with active region jogsXie Tian-Yu; Wang Xin-Yong; Pan Lei; Chen Kuo-Ji
11239279Resistive switching random access memory with asymmetric source and drainYang Chin-Chieh; Chen Hsia-Wei; Chang Chih-Yang; Tu Kuo-Chi; Chu  Wen-Ting; Liao Yu-Wen
11239305Display device and manufacturing method thereofWu Sheng-Yu; Lii Mirng-Ji; Tu Shang-Yun; Chen Ching-Hui
11239309Isolation features and methods of fabricating the sameWu I-Wen; Yang Fu-Kai; Lee Chen-Ming B.; Wang Mei-Yun; Li Jr-Hung; Lu  Bo-Cyuan
11239310Seamless gap fillHuang Yen-Chun; Hsieh Bor Chiuan; Jeng Pei-Ren; Huang Tai-Chun; Lee  Tze-Liang
11239313Integrated chip and method of forming thereofLin Meng-Han; Tsair Yong-Shiuan
11239325Semiconductor device having backside via and method of fabricating  thereofHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11239328Semiconductor device having interfacial layer and high κ  dielectric layerChuang Kuo-Sheng; Chou You-Hua; Huang Ming-Chi
11239330Bipolar junction transistor with gate over terminalsLi Ming-Shuan; Su Zi-Ang; Leung Ying-Keung
11239335Structure and method for semiconductor devicesLiaw Jhon Jhy
11239339Gate structure and methodLin Ta-Chun; Liaw Jhon Jhy; Pan Kuo-Hua
11239341Horizontal gate all-around device having wrapped-around source and drainLin Chun-Hsiung; Wu Chung-Cheng; Diaz Carlos H.; Wang Chih-Hao; Hsieh  Wen-Hsing; Sheu Yi-Ming
11239345Gate formation with varying work function layersChen Jin-Dah; Chen Stan; Wu Han-Wei
11239354Semiconductor device and method of fabricating the sameLu Chun-Chieh; Cheng Chao-Ching; Chao Tzu-Ang; Li Lain-Jong
11239365Structure and method for providing line end extensions for fin-type  active regionsYu Shao-Ming; Chang Chang-Yun; Chang Chih-Hao; Chen Hsin-Chih; Chang  Kai-Tai; Shieh Ming-Feng; Lu Kuei-Liang; Lin Yi-Tang
11239367Semiconductor device and manufacturing method thereofChen I-Sheng; Yeh Chih Chieh; Wu Cheng-Hsien
11239368Semiconductor device and methodHolland Martin Christopher; Duriez Blandine; van Dal Marcus Johannes  Henricus; Okuno Yasutoshi
11239383SPAD image sensor and associated fabricating methodYamashita Yuichiro
11239413Magnetic device and magnetic random access memoryLin Shy-Jay; Song Mingyuan
11239749Regulated voltage systems and methods using intrinsically varied process  characteristicsTai Chia Liang
11240075SerDes receiver with optimized CDR pulse shapingPalusa Chaitanya; Abbott Rob; Ramirez Rolando; Chen Wei-Li; Pfaff Dirk;  Hsieh Cheng-Hsiang; Kuo Fan-ming
11240947Carrier tape system and components and methods of useChiu Sung-Sheng; Tsao Pei-Haw; Chen Tsui-Mei; Lin Shih-Hsing; Chu Li-Huan
11243184Digital time-domain readout circuit method for BioFET sensor cascadesHuang Yu-Jie; Huang Jui-Cheng
11243353Semiconductor device and method of manufacturingKuo Ying-Hao; Huang Tien-Yu
11243461Reflective mask and fabricating method thereofWu Tsiao-Chen; Hsu Pei-Cheng
11243472Optical proximity correction and photomasksJheng Dong-Yo; Hsieh Ken-Hsien; Chang Shih-Ming; Lee Chih-Jie; Chou  Shuo-Yen; Liu Ru-Gun
11243475Overlay measurement structures with variable width/pitch for measuring  overlay errorsChen Yen-Liang
11243478System and method for thermal management of reticle in semiconductor  manufacturingYang Yueh-Lin; Liao Chi-Hung
11243479Method of operating semiconductor apparatus and semiconductor apparatusChen Hsiang; Yu Sheng-Kang; Chien Shang-Chieh; Chen Li-Jui
11243573Semiconductor package, display apparatus and manufacturing method of  semiconductor packageHsu Cheng-Tung; Hung Chang-Cheng; Kuo Tyrone
11244714Assisted write method for magnetic random access memoryYing Ji-Feng; Wang Jhong-Sheng; Hou Duen-Huei
11244822Apparatus for manufacturing a thin film and a method thereforHsiao Tsai-Fu; Hsu Kuang-Yuan; Jeng Pei-Ren; Lee Tze-Liang
11244823Varying temperature anneal for film and structures formed therebyLiao Shu Ling; Ko Chung-Chi; Kao Wan-Yi
11244827Semiconductor manufacturing method and apparatus thereofLee Yung-Yao; Wang Wen-Chih
11244830Semiconductor device and manufacturing method thereofChen Zheng-Long
11244832Semiconductor structure with mask structureLin Hsin-Ying; Wang Mei-Yun; Wang Hsien-Cheng; Yang Fu-Kai; Liu Shih-Wen;  Hsu Hsiao-Chiu
11244834Slurry recycling for chemical mechanical polishing systemLiu Wen-Kuei
11244856Method and equipment for forming gaps in a material layerYang Chan-Syun David; Lin Li-Te; Lin Yu-Ming
11244857Semiconductor structure and manufacturing method thereofTsai Yung-Chih; Hsu Wei Che; Yang Yu-Chung; Kalnitsky Alexander
11244858Etching to reduce line wigglingHuang Kuan-Wei; Fan Cheng-Li; Chen Yu-Yu
11244866Low dimensional material device and methodHung Yi-Tse; Cheng Chao-Ching; Chen Tse-An; Chiang Hung-Li; Li Lain-Jong;  Chen Tzu-Chiang
11244867Semiconductor device with fin end spacer plug and method of manufacturing  the sameWang Tzu-Chung; Lee Tung Ying
11244871Methods of fabricating semiconductor devices for tightening spacing  between nanosheets in GAA structures and structures formed therebyChiang Kuo-Cheng; Hsu Chung-Wei; Chu Lung-Kun; Huang Mao-Lin; Yu Jia-Ni;  Wang Chih-Hao
11244879Semiconductor packageYu Chi-Yang; Lee Chien-Hsun; Cheng Jung-Wei; Wang Tsung-Ding; Liang  Yu-Min
11244896Package structure and manufacturing method thereofHsiao Min-Chien; Wang Chuei-Tang; Shih Chao-Wen; Pu Han-Ping; Chen  Chieh-Yen
11244898Integrated circuit interconnect structures with air gapsYang Tai-I; Su Li-Lin; Wu Yung-Hsu; Chen Hsin-Ping; Chuang Cheng-Chi
11244899Butted contacts and methods of fabricating the same in semiconductor  devicesYou Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11244906Semiconductor structure and method of fabricating the sameHuang Tzu-Sung; Hsieh Cheng-Chieh; Lin Hsiu-Jen; Tsai Hui-Jung; Kuo  Hung-Yi; Tsai Hao-Yi; Tseng Ming-Hung; Lin Yen-Liang; Lu Chun-Ti; Weng  Chung-Ming
11244914Bond pad with enhanced reliabilityYeh Tzu-Hsuan; Hsu Chern-Yow
11244919Package structure and method of fabricating the sameHsiao Ching-Wen; Chen Chen-Shien; Hsu Kuo-Ching; Lii Mirng-Ji
11244924Tri-layer CoWoS structureYu Chen-Hua; Hou Shang-Yun; Lee Yun-Han
11244925Semiconductor device structure with back-side layer to reduce leakageKao Min-Feng; Yaung Dun-Nian; Liu Jen-Cheng; Lin Jeng-Shyan; Huang  Hsun-Ying
11244939Package structure and method of forming the sameTsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Chen Wei-Ting
11244940Stress reduction apparatus and methodChuang Yao-Chun; Hsu Yu-Chen; Liu Hao Chun; Chuang Chita; Kuo Chen-Cheng;  Chen Chen-Shien
11244944Temperature compensation circuitsKundu Amit; Hu Chia-Hsin; Horng Jaw-Juinn
11244945Semiconductor device and manufacturing method thereofHuang Chih-Hsiung; Tsai Chung-En; Liu Chee-Wee; Kuok Kun-Wa; Hsiao  Yi-Hsiu
11244981Bond pad structure for bonding improvementHuang Sin-Yao; Wang Ching-Chun; Yaung Dun-Nian; Hung Feng-Chi; Wang  Ming-Tsong; Chou Shih Pei
11244983MRAM memory cell layout for minimizing bitcell areaChuang Harry-Hak-Lay; You Wen-Chun; Wang Hung Cho; Shih Yen-Yu
11245005Method for manufacturing semiconductor structure with extended contact  structureLin Ta-Chun; Pan Kuo-Hua; Liaw Jhon-Jhy; Cheng Chao-Ching; Chiang  Hung-Li; Huang Shih-Syuan; Chen Tzu-Chiang; Chen I-Sheng; Yeong Sai-Hooi
11245011Vertical tunnel field-effect transistor with U-shaped gate and band  alignerLi Jiun-Yun; Shih Pao-Chuan; Hou Wei-Chih
11245023Semiconductor device and manufacturing method thereofWan Hsien-Wen; Cheng Yi-Ting; Hong Ming-Hwei; Kwo Juei-Nai; Yang Bo-Yu;  Hong Yu-Jie
11245024Semiconductor device and manufacturing method thereofLee Tung-Ying; Chen Tse-An; Wang Tzu-Chung; Chen Miin-Jang; Yin Yu-Tung;  Yang Meng-Chien
11245028Isolation structures of semiconductor devicesYou Jia-Chuan; Wang Chih-Hao; Ju Shi Ning; Chiang Kuo-Cheng; Chuang  Li-Yang
11245029Structure and formation method of semiconductor device with metal gate  stackHuang Wang-Chun; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao
11245030Apparatus and circuits including transistors with different polarizations  and methods of fabricating the sameChern Chan-Hong
11245033Semiconductor devices with core-shell structuresDiaz Carlos H.; Lin Chun-Hsiung; Chang Huicheng; Jang Syun-Ming; Wang  Chien-Hsun; Huang Mao-Lin
11245034Semiconductor device and manufacturing method thereofChang Kuei-Ming; Lin Ta-Chun; Hsieh Rei-Jay; Wang Yung-Chih; Guo  Wen-Huei; Pan Kuo-Hua; Hsu Buo-Chin
11245036Latch-up preventionChen Shih-Cheng; Chiang Kuo-Cheng; Lin Zhi-Chang
11245071Memory cell, method of forming the same, and semiconductor device having  the sameLin Yu-Chao; Diaz Carlos H.; Yu Shao-Ming; Lee Tung-Ying
11245072Phase-change memory and method of forming sameWu Jau-Yi
11245176Package structure, electronic device and method of fabricating package  structureHsiao Min-Chien; Yu Chen-Hua; Liu Chung-Shi; Shih Chao-Wen; Chang  Shou-Zen
11245329Power moduleHsu Ying-Chih; Soenen Eric; Roth Alan
11249112Devices for high-density probing techniques and method of implementing  the sameWang Mill-Jer; Peng Ching-Nen; Lin Hung-Chih; Lin Wei-Hsun; Hsu Sen-Kuei;  Liu De-Jian
11249131Test apparatus and testing method using the sameChuang Harry-Hak-Lay; Chiang Tien-Wei; Wang Chia Yu; Shih Meng-Chun; Wang  Ching-Huang; Chang Chih-Yang; Chen Chia-Hsiang; Weng Chih-Hui
11249384Mask for EUV lithography and method of manufacturing the sameHsu Pei-Cheng; Wen Chi-Ping; Wang Tzu Yi; Lien Ta-Cheng; Lee Hsin-Chang
11250907Variable delay word line enableHong Hyunsung
11250908Segmented reference trimming for memory arraysChih Yu-Der; Lee Chia-Fu; Shih Yi-Chun; Lin Hon-Jarn; Lin Ku-Feng
11250923Layout structures of memory arrayChang Meng-Sheng; Yang Yao-Jen; Chou Shao-Yu; Wang Yih
11251042Formation of single crystal semiconductors using planar vapor liquid  solid epitaxyHolland Martin Christopher
11251054Integrated passive device package and methods of forming sameHsu Feng-Cheng; Chen Shuo-Mao; Hung Jui-Pin; Jeng Shin-Puu
11251063Article transporter in semiconductor fabricationSu Jheng-Si; Wei Yu-Chen; Yang Chih-Yuan; Lin Shih-Ho; Lai Jen-Chieh
11251064Wafer frame sorter and stockerKuo Tsung-Sheng; Yang I-Lun; Huang Chih-Hung; Pai Jiun-Rong; Chien  Chung-Hsin; Chu Yang-Ann
11251069Method for forming isolation with multi-step structureLin Ta-Chun; Chuang Tien-Shao; Tai Kuang-Cheng; Chen Chun-Hung; Hsieh  Chih-Hung; Pan Kuo-Hua; Liaw Jhon-Jhy
11251071Raised via for terminal connections on different planesYu Chen-Hua; Su An-Jhih; Wu Chi-Hsi; Yeh Der-Chyang; Yeh Ming Shih; Lin  Jing-Cheng; Kuo Hung-Jui
11251078Formation method of semiconductor device with fin structuresCheng Chung-Liang; Fang Ziwei
11251079Method for forming semiconductor device with gate stackHung Hua-Li; Lu Chih-Lun; Huang Hsu-Yu; Yin Tsung-Fan; Hsia Ying-Ting;  Chiu Yi-Wei; Hsu Li-Te
11251085Semiconductor structure and manufacturing method thereofDeng Jie-Cheng; Tseng Horng-Huei; Chen Yi-Jen
11251086Semiconductor devices, FinFET devices, and manufacturing methods thereofWang Sung-Li; Chang Chih-Sheng; Sun Sey-Ping
11251087Semiconductor device including a Fin-FET and method of manufacturing the  sameOkuno Yasutoshi; Peng Cheng-Yi; Fang Ziwei; Chang I-Ming; Mineji Akira;  Lin Yu-Ming; Hsiao Meng-Hsuan
11251088Semiconductor deviceHong Long-Jie; Wang Chih-Lin; Kuo Kang-Min
11251090Dual channel gate all around transistor device and fabrication methods  thereofWang Chih-Hao; Huang Jui-Chien; Lin Chun-Hsiung; Chiang Kuo-Cheng; Chou  Chih-Chao; Wang Pei-Hsun
11251091Semiconductor device with contracted isolation featureYu Dian-Sheg; Tsui Ren-Fen; Liaw Jhon-Jhy
11251092Gate structure of a semiconductor device and method of forming sameMore Shahaji B.; Savant Chandrashekhar Prakash; Tsai Chun Hsiung
11251099Warpage control of packages using embedded core frameWu Jiun Yi; Yu Chen-Hua; Liu Chung-Shi
11251100Semiconductor structure having an anti-arcing pattern disposed on a  passivation layer and method of fabricating the semiconductor structureKuo Sheng-An; Yang Ching-Jung; Chen Hsien-Wei; Chen Jie; Chen Ming-Fa
11251114Package substrate insulation opening designTseng Shu-Jung; Leu Shyue-Ter
11251118Self-aligned via structures with barrier layersWu Chieh-Han; Tsai Cheng-Hsiung; Lu Chih Wei; Lee Chung-Ju
11251119Package structure, package-on-package structure and method of fabricating  the sameYu Tsung-Yuan; Hsieh Cheng-Chieh; Kuo Hung-Yi; Tsai Hao-Yi; Tseng  Ming-Hung
11251121Package structure and method of fabricating the sameKuo Hung-Jui; Tsai Hui-Jung; Peng Jyun-Siang
11251124Power grid structures and method of forming the sameBiswas Hiranmay; Yu Chi-Yeh; Wang Chung-Hsing; Yang Kuo-Nan; Rusu Stefan;  Lin Chin-Shen
11251127Interconnect structure with vias extending through multiple dielectric  layersHo Chun-Te; Liang Ming-Chung; Chiu Chien-Chih; Chen Chien-Han
11251131Copper contact plugs with barrier layersSu Li-Lin; Hsieh Ching-Hua; Chen Huang-Ming; Tsau Hsueh Wen
11251141Package structureTai Chih-Hsuan; Kuo Ting-Ting; Huang Yu-Chih; Lin Chih-Wei; Lin Hsiu-Jen;  Chen Chih-Hua; Cheng Ming-Da; Hsieh Ching-Hua; Tsai Hao-Yi; Liu Chung-Shi
11251142Method of fabricating package structureLin Chia-Hsiang; Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu; Banerjee  Arunima
11251157Die stack structure with hybrid bonding structure and method of  fabricating the same and packageYang Ching-Jung; Chen Hsien-Wei
11251181FinFET isolation structure and method for fabricating the sameTsai Wen-Che; Hsieh Min-Yann; Chen Hua Feng; Pan Kuo-Hua
11251213Concave reflector for complementary metal oxide semiconductor image  sensor (CIS)Huang Po-Han; Lu Jiech-Fun; Chen Yu-Chun
11251268Semiconductor device with doped structureFan Miao-Syuan; Lee Pei-Wei; Lee Ching-Hua; Lee Jung-Wei
11251272Lattice-mismatched semiconductor structures with reduced dislocation  defect densities and related methods for device fabricationLi Jizhong; Lochtefeld Anthony J.
11251284Dummy gate cutting process and resulting gate structuresLin Shih-Yao; Lin Chih-Han; Jang Shu-Uei; Tsai Ya-Yi; Ku Shu-Yuan
11251286Method and related apparatus for reducing gate-induced drain leakage in  semiconductor devicesThei Kong-Beng; Chou Chien-Chih; Tuan Hsiao-Chin; Chen Yi-Huan; Kalnitsky  Alexander
11251289FinFET device comprising plurality of dummy protruding featuresChang Che-Cheng; Wu Po-Chi; Lin Chih-Han; Tseng Horng-Huei
11251303Method for fabricating a strained structure and structure formedLee Tsung-Lin; Chang Chih-Hao; Ko Chih-Hsin; Yuan Feng; Xu Jeff J.
11251305Fin field effect transistor device structure and method for forming the  sameHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming;  Wang Chih-Hao
11251308Semiconductor device and methodLiao Yi-Bo; Huang Yu-Xuan; Wang Pei-Yu; Chung Cheng-Ting; Tsai Ching-Wei;  Chen Hou-Yu
11251314Memory devices and methods of manufacture thereofKalnitsky Alexander; Tuan Hsiao-Chin; Tsui Felix Ying-Kit; Lu Hau-Yan
11251354Thermocouple deviceTsai Ming-Hsien; Tsai Shang-Ying; Hsueh Fu-Lung; Yang Shih-Ming; Wang  Jheng-Yuan; Chen Ming-De
11251644Packaged semiconductor devices with wireless charging meansYu Chen-Hua; Tsai Hao-Yi; Huang Tzu-Sung; Tseng Ming-Hung; Kuo Hung-Yi
11254564Semiconductor manufacturing method and structure thereofCheng Chun-Wen; Chu Chia-Hua; Lai Fei-Lung; Lin Shiang-Chi
11255658Ellipsometer and method for estimating thickness of filmHsu Feng Yuan; Yang Chi-Ming; Hsu Ching-Hsiang; Chern Chyi Shyuan
11256176Litho-aware source sampling and resamplingHo Kenneth Lik Kin
11256179Mask cleaningChang Shu-Hao; Chen Norman; Chen Jeng-Horng; Kau Kuo-Chang; Chien  Ming-Chin; Chien Shang-Chieh; Yen Anthony; Huang Kevin
11256180Processing apparatus and method thereofHsieh Fu-Chun; Su Pei-Yi; Lin Chih-Che
11256181Apparatus and method for removing particles in semiconductor  manufacturingYang Yueh-Lin; Liao Chi-Hung
11256588Scan synchronous-write-through testing architectures for a memory deviceChang Ming-Hung; Katoch Atul; Huang Chia-En; Wu Ching-Wei; Mikan, Jr.  Donald G.; Yang Hao-I; Lin Kao-Cheng; Tsai Ming-Chien; Adham Saman M. I.;  Chang Tsung-Yung; Chandra Uppu Sharath
11256844Cell row arrangement in regions of integrated circuit layoutKu Chun-Yao; Chen Wen-Hao; Yu Ming-Tao
11256847Method and apparatus of electromigration checkYu Tseng Hsien; Yang Tsun-Yu
11257528Memory device with selective prechargingMcCombs Ed
11257550Bias control for memory cells with multiple gate electrodesTsao Szu-Chun; Horng Jaw-Juinn
11257670Method of manufacturing a semiconductor device, and associated  semiconductor device and systemPeng Shih-Wei; Wu Chia-Tien; Tzeng Jiann-Tyng
11257671Method and system of control of epitaxial growthChen Winnie Victoria Wei-Ning; Kelly Andrew Joseph
11257673Dual spacer metal patterningLiao Yu-Chieh; Chuang Cheng-Chi; Wu Chia-Tien; Yang Tai-I; Chen Hsin-Ping
11257690<sub>3</sub>DIC package comprising perforated foil sheetHung Wensen
11257712Source/drain contact formation methods and devicesChang Cheng-Wei; Huang Yu-Ming; Tseng Ethan; Chang Ken-Yu; Liu Yi-Ying
11257714Method of making a pillar structure having a non-metal sidewall  protection structure and integrated circuit including the sameHwang Chien Ling; Wu Yi-Wen; Wang Chun-Chieh; Liu Chung-Shi
11257715Integrated fan-out packages and methods of forming the sameWu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei; Lin Jing-Cheng; Lee Long Hua
11257719Methods for improving interlayer dielectric layer topographySu Kuan-Wei; Huang Chun Yu; Lin Chih-Hsun; Hsieh Ping-Pang
11257753Interconnect structure and method for manufacturing the interconnect  structureMrunal Abhijith Khaderbad; Peng Yu-Yun; Yen Fu-Ting; Wang Chen-Han; Perng  Tsu-Hsiu; Lin Keng-Chu
11257755Metal loss prevention in conductive structuresChen Yen-Yu; Cheng Chung-Liang
11257757Semiconductor device having fuse array and method of making the sameChang Meng-Sheng; Chou Shao-Yu; Huang Po-Hsiang; Fu An-Jiao; Chen  Chih-Hao
11257758Backside connection structures for nanostructures and methods of forming  the sameYu Li-Zhen; Chang Chia-Hao; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao
11257761Logic cell structure and methodChen Fang; Liaw Jhon Jhy; Liang Min-Chang
11257767Interconnect crack arrestor structure and methodsYu Chen-Hua; Shih Da-Yuan
11257769Integrated circuit layout, integrated circuit, and method for fabricating  the sameLu Shih-Lien Linus
11257775Mechanisms for forming post-passivation interconnect structureChen Ying-Ju; Chen Hsien-Wei
11257787Package structure and method of fabricating the sameChen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng
11257791Stacked die structure and method of fabricating the sameChen Jie; Chen Hsien-Wei; Chen Ming-Fa
11257797Package on package structureShen Dong-Han; Chen Chen-Shien; Liu Kuo-Chio; Cheng Hsi-Kuei; Lai Yi-Jen
11257805Hybrid bonding with uniform pattern densityYaung Dun-Nian; Chen Szu-Ying
11257815Work function design to increase density of nanosheet devicesHuang Mao-Lin; Wang Chih-Hao; Chiang Kuo-Cheng; Yu Jia-Ni; Chu Lung-Kun;  Hsu Chung-Wei
11257816Method for manufacturing semiconductor device including dummy gate  electrodesChuang Harry-Hak-Lay; Wu Wei-Cheng; Kao Ya-Chen
11257817Integrated chip with improved latch-up immunitySu Hsin-Wen; Hung Lien Jung; Wang Ping-Wei; Keng Wen-Chun; Yang  Chih-Chuan; Lin Shih-Hao
11257818Fin-based field effect transistorsPasslack Matthias; Doornbos Gerben; Ramvall Peter
11257819Semiconductor deviceChang Chia-Yuan; Yu Xiong-Fei; Chang Hui-Cheng
11257824Memory device and method for forming thereofYang Chih-Chuan
11257825Semiconductor device and manufacturing method thereofXian Huai-Xin; Zhou Yang; Meng Qing-Chao
11257827Layout structure including anti-fuse cellChang Meng-Sheng; Huang Chia-En; Cheng Wan-Hsueh; Yang Yao-Jen; Wang Yih
11257844Ferroelectric random access memory (FRAM) cellChen Tzu-Yu; Shih Sheng-Hung; Tu Kuo-Chi; Chu Wen-Ting
11257861Semiconductor structure and method of forming the sameWu Gao-Ming; Tsai Han-Ting; Lin Chung-Te
11257898Systems and methods for shielded inductive devicesKuo Feng Wei; Jou Chewn-Pu; Chen Huan-Neng; Cho Lan-Chou; Staszewski  Robert Bogdan
11257902SOI device structure for robust isolationLu Lin-Chen; Singh Gulbagh; Tsai Tsung-Han; Wang Po-Jen
11257903Method for manufacturing semiconductor structure with hybrid  nanostructuresLan Wen-Ting; Chen Guan-Lin; Ju Shi-Ning; Chiang Kuo-Cheng; Wang  Chih-Hao; Tsai Ching-Wei; Cheng Kuan-Lun
11257906High surface dopant concentration formation processes and structures  formed therebyChen Chia-Cheng; Chen Liang-Yin
11257908Transistors with stacked semiconductor layers as channelsYang Tsung-Hsi; Yu Ming-Hua; Yu Jeng-Wei
11257911Sacrificial layer for semiconductor processWang Tsan-Chun; Liu Su-Hao; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia
11257921Semiconductor device and method of manufactureLee Hsin-Yi; Tung Hsuan-Yu; Hsu Chin-You; Hung Cheng-Lung
11257923Tuning threshold voltage in field-effect transistorsTsau Hsueh Wen; Fang Ziwei; Chao Huang-Lin; Sung Kuo-Liang
11257924Metal gate using monolayersHuang Ju-Li; Liang Chun-Sheng; Huang Ming-Chi; Yeh Ming-Hsi; Chuang  Ying-Liang; Chiang Hsin-Che
11257926Self-aligned contact structuresYu Li-Zhen; Huang Lin-Yu; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming;  Wang Chih-Hao
11257928Method for epitaxial growth and deviceHsu Tzu-Hsiang; Chen Ting-Yeh; Lee Wei-Yang; Yang Feng-Cheng; Chen  Yen-Ming
11257931Gate structure of field effect transistor with footingChang Che-Cheng; Chen Chang-Yin; Lin Jr-Jung; Lin Chih-Han; Chang Yung  Jung
11257932Fin field effect transistor device structure and method for forming the  sameMa Ta-Chun; Yeo Yee-Chia
11257950Semiconductor structure and manufacturing method for the semiconductor  structurePeng Chun-Yen; Chang Chih-Yu; Young Bo-Feng; Lai Te-Yang; Yeong Sai-Hooi;  Chui Chi On
11257951Method of making semiconductor device having first and second epitaxial  materialsSu Lilly; Li Chii-Horng; Yu Ming-Hua; Tsai Pang-Yen; Lee Tze-Liang; Lee  Yen-Ru
11257952Source/drain structureLiu Su-Hao; Chen Kuo-Ju; Chen Wen-Yen; Wang Ying-Lang; Chen Liang-Yin;  Wang Li-Ting; Chang Huicheng
11257953Selective growth for high-aspect ratio metal fillWu Chih-Nan; JangJian Shiu-Ko; Lin Chun Che; Hsuku Wen-Cheng
11257963Semiconductor deviceLin Yu-Chu; Jen Chi-Chung; Chiang Wen-Chih; Su Ming-Hong; Chen Yung-Han;  Su Mei-Chen; Pan Chia-Ming
11257997Semiconductor structureLin Chia-Hua; Chang Yao-Wen; Wu Chii-Ming; Tsai Cheng-Yuan; Chen Eugene  I-Chun; Tsai Tzu-Chung
11258007Reversed stack MTJHuang Wei-Hang; Sung Fu-Ting; Hsu Chern-Yow; Liu Shih-Chang; Tsai  Chia-Shiung
11258151Semiconductor device having a high-k dielectric material disposed beyween  first and second transmission lines and a dielectric directly contacting the  high-k dielectric materialWu Jiun Yi; Lee Chien-Hsun; Jou Chewn-Pu; Hsueh Fu-Lung
11258596System to generate a signature key and method of operating the sameLu Shih-Lien Linus; O'Connell Cormac Michael
11258688Network path determination module, network path determining method  therefof, and non-transitory storage medium thereofGell David; ElArabawy Ahmed; Bao Yiliang L.
11258971Multi-function transfer gate electrode for a photodetector and methods of  operating the sameHsieh Feng-Chien; Hu Wei-Li; Lee Kuo-Cheng; Chen Hsin-Chi; Cheng Yun-Wei
11260495Apparatus and methods for chemical mechanical polishingCui Ji James; Hsu Feng Yuan
11261083Fence structure to prevent stiction in a MEMS motion sensorTseng Lee-Chuan; Wu Chang-Ming
11262262Pressure sensor and manufacturing method thereofHung Chi-Chao
11262658Photomask, photolithography system and manufacturing processChang Che-Yuan; Tu Chih-Chiang; Tsai Ming-Ho; Lai Ching-Hung
11262659Method of cleaning extreme ultraviolet lithography collectorZi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu
11262778Reference voltage generationChang Yen-An; Shih Yi-Chun; Lo Chieh-Pu
11263331Electronic device for checking randomness of identification key device,  random key checker circuit, and method of checking randomness of electronic  deviceLin Chien-Chen; Fujiwara Hidehiro; Chan Wei-Min; Chen Yen-Huei; Lu  Shih-Lien Linus
11263375Constraint determination system and method for semiconductor circuitChuang Yi-Lin; Tan Shi-Wen; Huang Szu-Ju; Hong Shih-Feng
11263378Multi-row standard cell design method in hybrid row height systemOu Hung-Chih; Chen Wen-Hao; Ku Chun-Yao
11263380Failsafe circuit, layout, device, and methodTang Zhen; Pan Lei; Ma Miranda
11264066Leakage pathway prevention in a memory storage deviceWu Shang-Chi; Lee Cheng Hung; Su Chien-Kuo; Cheng Chiting; Hsu Yu-Hao;  Lin Yangsyu
11264070Systems and methods for memory operation using local word linesNien Yi-Hsin; Fujiwara Hidehiro; Chen Yen-Huei
11264073Device and method for performing matrix operationLu Shih-Lien Linus
11264081Memory circuit, electronic device having the memory circuit, and method  of operating memory circuitYu Hua-Hsin; Lee Cheng-Hung; Liao Hung-Jen; Shieh Hau-Tai
11264088Semiconductor memory with respective power voltages for memory cellsWu Wei-Cheng; Lin Chih-Yu; Lin Kao-Cheng; Chan Wei-Min; Chen Yen-Huei
11264093Duo-level word line driverLee Po-Hao; Lee Chia-Fu; Shih Yi-Chun
11264109Memory deviceChih Yu-Der; Liu Chien-Yin; Shih Yi-Chun
11264204Implanter calibrationLin Yi-Hsiung; Lee Cheng-En; Ou Chia-Lin; Liu Hsuan-Pang; Yeh Yao-Jen
11264232Methods and systems for chemical mechanical polish cleaningLee Chien-Ping; Huang Hui-Chi
11264237Method of epitaxy and semiconductor deviceChin Chih-Yun; Hsu Tzu-Hsiang; Lee Yen-Ru; Li Chii-Horng
11264262Wafer debonding and cleaning apparatusChiou Wen-Chih; Lin Yu-Liang; Tu Hung-Jung
11264270Air-replaced spacer for self-aligned contact schemeLin Meng-Yu; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang
11264273Electron migration control in interconnect structuresChen Chun-Jen; Hsu Kai-Shiung; Liu Ding-I; Lin Jyh-nan
11264277Semiconductor device with spacers for self aligned viasHo Pokuan; Chen Hsin-Ping; Wu Chia-Tien
11264281Semiconductor device with reduced loading effectChen Wei-Lun; Lin Li-Te; Huang Chao-Hsien
11264282Gate formation processLai Chi-Sheng; Sun Wei-Chung; Chen Li-Ting; Kao Kuei-Yu; Lin Chih-Han
11264283Multi-channel devices and methods of manufactureLin Shih-Yao; Chiu Chih-Chung; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han
11264284Semiconductor device and method of fabricating the sameYou Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11264287Semiconductor device with cut metal gate and method of manufactureChen Yi-Chun; Chen Ryan Chia-Jen; Ku Shu-Yuan; Tsai Ya-Yi; Yang I-Wei
11264288Gate structure and patterning methodChu Lung-Kun; Huang Mao-Lin; Wu Wei-Hao; Chiang Kuo-Cheng
11264292Cell-like floating-gate test structureLin Meng-Han; Hsieh Chih-Ren; Kao Ya-Chen; Liu Chen-Chin; Huang Chih-Pin
11264300Package structure with lid and method for forming the sameJeng Shin-Puu; Lin Po-Yao; Hsu Feng-Cheng; Chen Shuo-Mao; Wang Chin-Hua
11264304Semiconductor structure and associated method for manufacturing the sameChen Chin-Liang; Yu Chi-Yang; Ho Kuan-Lin; Liang Yu-Min
11264316Package structure and method of manufacturing the sameWang Chuei-Tang; Lu Chun-Lin; Wu Kai-Chiang
11264321Semiconductor devices employing a barrier layerChang Chien Ying-Hsueh; Lee Yu-Ming; Leung Man-Kit; Yang Chi-Ming
11264326Contact via formationHuang Lin-Yu; Yu Li-Zhen; Cheng Kuan-Lun; Wang Chih-Hao; Chuang  Cheng-Chi; Chang Chia-Hao
11264327Backside power rail structure and methods of forming sameChiang Kuo-Cheng; Ju Shi Ning; Chou Chih-Chao; Lan Wen-Ting; Wang  Chih-Hao
11264328Capping layer for improved deposition selectivityWang Chao-Chun; Sung Su-Jen
11264342Package on package structure and method for forming the sameYu Chen-Hua; Liu Chung-Shi; Cheng Ming-Da; Lii Mirng-Ji; Chen Meng-Tse;  Lin Wei-Hung
11264343Bond pad structure for semiconductor device and method of forming sameChen Ming-Fa; Yeh Sung-Feng; Chen Hsien-Wei; Chen Jie
11264359Chip bonded to a redistribution structure with curved conductive linesHsu Chia-Kuei; Yew Ming-Chih; Yeh Shu-Shen; Yang Che-Chia; Lin Po-Yao;  Jeng Shin-Puu
11264362Semiconductor structure and method of fabricating the sameChen Ming-Fa; Shih Chao-Wen; Hsiao Min-Chien; Wu Nien-Fang; Yeh  Sung-Feng; Liu Tzuan-Horng
11264363Chip package structure with seal ring structureYu Chen-Hua; Su An-Jhih; Lin Jing-Cheng; Tsai Po-Hao
11264368Mask transfer method (and related apparatus) for a bumping processChu Ching-Sheng; Hsu Chern-Yow
11264374Method of forming electrostatic discharge (ESD) testing structureChang Tzu-Heng; Tseng Jen-Chou; Song Ming-Hsiang
11264378Integrated circuitChen Shao-Yu; Chao Chih-Ping; Chen Chun-Hung; Chang Chung-Long; Tsai  Kuan-Chi; Tsai Wei-Kung; Chen Hsiang-Chi; Hsu Ching-Chung; Hsu Cheng-Chang;  Wang Yi-Sin
11264380Semiconductor device and method of manufacturing the sameLi Hou-Ju; Fu Chur-Shyang; Liang Chun-Sheng; Yeh Jeng-Ya David
11264383Fin field effect transistor (FinFET) device structure with capping layer  and method for forming the sameChen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Li Jr-Hung; Lu  Bo-Cyuan
11264385Fin-based device having an isolation gate in physical contact with a  source/drainLiaw Jhon Jhy
11264393Source/drain contact having a protruding segmentChen Jui-Lin; Chang Chao-Yuan; Wang Ping-Wei; Yang Fu-Kai; Fang Ting; Wu  I-Wen; Lin Shih-Hao
11264396Multi-type high voltage devices fabrication for embedded memoryWu Wei Cheng; Teng Li-Feng
11264400Nitride-free spacer or oxide spacer for embedded flash memoryWu Wei Cheng; Lien Jui-Tsung
11264402Boundary design to reduce memory array edge CMP dishing effectWu Wei Cheng; Chang Chien-Hung
11264452Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier  formed directly above channel region, directly below first source/drain  region and adjacent gate electrodeAfzalian Aryan
11264456Isolation regions for reduced junction leakageSingh Gulbagh; Chen Hsin-Chi; Chuang Kun-Tsang
11264467Semiconductor device having multi-layer diffusion barrier and method of  making the sameLin Jyh-nan; Liu Ding-I; Fan Yuh-Ta
11264469Method for forming thin semiconductor-on-insulator (SOI) substratesWu Cheng-Ta; Tsai Chia-Shiung; Lu Jiech-Fun; Tzeng Kuo-Hwa; Chou  Shih-Pei; Cheng Yu-Hung; Tu Yeur-Luen
11264471Junction gate field-effect transistor (JFET) having source/drain and gate  isolation regionsChen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang
11264478Transistors with reduced defect and methods forming sameMore Shahaji B.; Savant Chandrashekhar Prakash; Yu Tien-Wei; Tsai  Chia-Ming
11264483Semiconductor device and method of manufacturing the sameWu Cheng-Hsien
11264484Structure and formation method of semiconductor device with gate stackChang Che-Cheng; Shih Sheng-Chi; Chen Yi-Jen
11264485Spacer structure for semiconductor deviceWang Chen-Han; Shih Ding-Kang; Lin Chun-Hsiung; Tsai Teng-Chun; Lin  Zhi-Chang; Mineji Akira; Huang Yao-Sheng
11264486Semiconductor structure and method of fabricating the semiconductor  structureChu Chung-Hao; Chen Chia-Chung; Fu Shu Fang; Huang Chi-Feng; Liang Victor  Chiang
11264487Reduction of fin loss in the formation of FinFETsChui Chi On; Yeo Yee-Chia
11264489Negative-capacitance and ferroelectric field-effect transistor (NCFET and  FE-FET) devicesLai Te-Yang; Peng Chun-Yen; Yeong Sai-Hooi; Chui Chi On
11264498Semiconductor device and method of fabricating the sameDoornbos Gerben; Duriez Blandine; Vellianitis Georgios; Van Dal Marcus  Johannes Henricus; Manfrini Mauricio
11264502Semiconductor device and methodChang Jung-Hung; Chang Lo-Heng; Lin Zhi-Chang; Chen Shih-Cheng; Chiang  Kuo-Cheng; Wang Chih-Hao
11264503Metal gate structures of semiconductor devicesCheng Chung-Liang; Fang Ziwei
11264505FinFET device and method of forming sameChan Chia-Ling; Lin Yen-Chun
11264506Semiconductor device and manufacturing method thereofVan Dal Marcus Johannes Henricus; Doornbos Gerben
11264508Leakage prevention structure and methodTsai Ching-Wei; Liao Yi-Bo; Yeong Sai-Hooi; Chen Hou-Yu; Huang Yu-Xuan;  Cheng Kuan-Lun
11264513Isolation structures for transistorsMore Shahaji B.; Tsai Chun Hsiung
11264525SPAD image sensor and associated fabricating methodWang Tzu-Jui; Sze Jhy-Jyi; Yamashita Yuichiro; Huang Kuo-Chin
11264561Magnetic random access memory device and formation method thereofKu Ming-Che; Chen Jun-Yao; Huang Sheng-Huang; Tsai Jiun-Yu; Chuang  Harry-Hak-Lay; Wang Hung-Cho
11264979Circuit and method to enhance efficiency of semiconductor deviceLi Mao-Ruei; Tsai Ming Hsien; Sheen Ruey-Bin
11267099Chemical mechanical planarization membraneChen Cheng-Ping; Lee Ren-Dou; Peng Sheng-Tai; Lai Tsung-Lung; Shieh  Tzi-Yi; Chang Chien-Wei
11267693Manufacturing method of semiconductor structureChang Yi-Hsien; Cheng Chun-Ren; Shen Wei-Cheng; Chen Wen-Chien
11267987Chemical mechanical polishing slurry composition and method of polishing  metal layerLiao Chun-Hung; Lee An-Hsuan; Lee Shen-Nan; Tsai Teng-Chun; Wu Chen-Hao;  Chao Huang-Lin
11268186Target structure of physical vapor depositionChen Ping-Yuan; Chen Hung-Cheng; Hsieh Chih-Hsuan; Wang Yu-Hsuan
11268913Method and apparatus for monitoring edge bevel removal area in  semiconductor apparatus and electroplating systemWu Chao-Tung; Yu Kuo-Chung; Hu Chung-Hao; Weng Sheng-Ping
11269202Optical modulator and packageCho Lan-Chou; Jou Chewn-Pu; Kuo Feng-Wei; Chen Huan-Neng; Hsu Min-Hsiang
11269256Underlayer material for photoresistZi An-Ren; Lai Wei-Han; Chang Ching-Yu
11269257Apparatus and method for generating extreme ultraviolet radiationHsieh Chieh; Chen Kuan-Hung; Hsu Chun-Chia; Chien Shang-Chieh; Liu  Bo-Tsun; Chen Li-Jui; Cheng Po-Chung
11269261Particle removal from wafer table and photomaskWu Min-Cheng; Liao Chi-Hung
11269368Flipped gate voltage reference and method of usingAl-Shyoukh Mohammad; Kalnitsky Alex
11270052System and method of timing characterization for semiconductor circuitTu Chia Hao; Chou Hsueh-Chih; Dhong Sang Hoo; Kao Jerry Chang Jui; Liu  Chi-Lin; Lin Cheng-Chung; Hsieh Shang-Chih
11270057Semiconductor device including regions for reducing density gradient  effect and method of forming the sameYang Yu-Tao; Chuang Yung-Hsu; Chou Wen-Shen; Peng Yung-Chow
11270780Memory readout circuit and methodLiu Chih-Min
11270884Reflection mode photomaskChen Chun-Lang; Tu Chih-Chiang
11270888Semiconductor device having source/drain with a protrusionWu Chia-Yang; Jangjian Shiu-Ko; Chang Keng-Chuan; Su Ting-Siang
11270900Apparatus and method for handling wafer carrier doorsKuo Tsung-Sheng; Chu Yang-Ann; Yang Alan; Huang Vic; Liu Hsu-Shui; Pai  Jiun-Rong
11270921Semiconductor package including dies having high-modulus dielectric layer  and manufacturing method thereofHou Hao-Cheng; Lee Chien-Hsun; Liu Chung-Shi; Cheng Jung-Wei; Wang  Tsung-Ding; Lei Yi-Yang
11270927Package structure and method of forming the sameCho Hung-Chun; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Chen Wei-Chih
11270936Integrated circuit including supervia and method of makingSio Kam-Tou; Tzeng Jiann-Tyng; Lin Wei-Cheng
11270950Apparatus and method for forming alignment marksLiu Yen-Chen; Yu Cheng-Hao; Huang Cheng-Yi; Shih Chao-Li; Yang Chih-Shen
11270952Seal ring structure for semiconductor deviceWang Chin-Shan; Lee Shun-Yi
11270953Structure and formation method of chip package with shielding structureChuang Po-Yao; Tsai Po-Hao; Jeng Shin-Puu; Chen Shuo-Mao; Yew Ming-Chih
11270956Package structure and fabricating method thereofHuang Kuan-Yu; Huang Sung-Hui; Hou Shang-Yun
11270975Semiconductor packages including passive devices and methods of forming  sameJeng Shin-Puu; Chuang Po-Yao; Chen Shuo-Mao
11270976Package structure and method of manufacturing the sameLiao Shu-Hang; Wu Chih-Wei; Lin Jing-Cheng; Lu Szu-Wei; Shih Ying-Ching
11270978Buffer layer(s) on a stacked structure having a viaLu Chen-Fa; Tsai Cheng-Yuan; Tu Yeur-Luen; Tsai Chia-Shiung
11270989Semiconductor device packages, packaging methods, and packaged  semiconductor devicesChen Jie; Chen Ying-Ju; Chen Hsien-Wei
11270990Contoured package-on-package jointWu Jiun Yi
11270994Gate structure, fin field-effect transistor, and method of manufacturing  fin-field effect transistorChen Ji-Cheng; Su Ching-Hwanq; Liu Kuan-Ting; Chiu Shih-Hang
11270996FinFET devices with dummy fins having multiple dielectric layersLiaw Jhon Jhy
11271083Semiconductor device, FinFET device and methods of forming the sameCheng Po-Hsien; Li Jr-Hung; Huang Tai-Chun; Lee Tze-Liang; Ko Chung-Ting;  Chen Jr-Yu; Hsieh Wan-Chen
11271086Semiconductor device and manufacturing method thereofLin Chih-Han; Tsai Shih-Chang; Hsieh Wen-Shuo; Liu Te-Yung
11271087Metal gate process for FinFET device improvementChang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei
11271089Method for manufacturing semiconductor structure with unleveled gate  structureChang Chai-Wei; Chang Che-Cheng; Wu Po-Chi; Chao Yi-Cheng
11271094Semiconductor structure and method of manufacturing the sameChuang Chi-Yi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao
11271095FinFETs with low source/drain contact resistanceHuang Yu-Lien; Lee Tung Ying
11271096Method for forming fin field effect transistor device structureLee Chien-Wei; Lee Yen-Ru; Sung Hsueh-Chang; Yeo Yee-Chia
11271102Semiconductor structureHsiao Ru-Shang; Jeng Chi-Cherng; Huang Chih-Mu
11271103Semiconductor device and manufacturing process thereofKung Pohan; Lu Ying-Jing; Hung Chi-Cheng; Wang Yu-Sheng; Jangjian Shiu-Ko
11271104Composite etch stop layer for contact field plate etchingLu Hui-Ting; Wang Pei-Lun; Jong Yu-Chang
11271111Source/drain structure with barrier in FinFET device and method for  forming the sameKuan Ting-Chun; Chen I-Chih; Huang Chih-Mu; Tsai Fu-Tsun; Hsieh  Sheng-Lin; Chen Kuan-Jung
11271112Method for forming fin field effect transistor (FINFET) device structure  with conductive layer between gate and gate contactWang Chao-Hsun; Chao Kuo-Yi; Lin Rueijer; Kao Chen-Yuan; Wang Mei-Yun
11271113Semiconductor device structure and method for forming the sameYeong Sai-Hooi; Chui Chi-On; Yao Chien-Ning
11271114Strained gate semiconductor device with oxygen-doped interlayer  dielectric materialWu Cheng-Ta; Wu Chii-Ming; Jangjian Shiu-Ko; Lin Kun-Tzu; Chang Lan-Fang
11271150Integrated circuitPeng Tai-Yen; Huang Chien-Chung; Chen Yu-Shu; Yang Sin-Yi; Wang  Chen-Jung; Lin Han-Ting; Ting Chih-Yuan; Shieh Jyu-Horng; Wei Hui-Hsien
11271163Method of forming semiconductor device having carbon nanotubeHolland Martin Christopher; Vasen Timothy; Duriez Blandine
11271482DC-DC converter and DC-DC converter operation methodChang Chia-Chun; Roth Alan; Soenen Eric; Hsu Ying-Chih
11271783Decision feedback equalization embedded in a slicerYang Shu-Chun; Huang Wen-Hung
11272606EUV light source and apparatus for lithographyChien Shang-Chieh; Cheng Po-Chung; Chen Chia-Chen; Chung Jen-Yang; Chen  Li-Jui; Fu Tzung-Chi; Wu Shang-Ying
11273396Liquid supply system with improved bubble venting capacityHuang Y. L.; Fang Chin-Kun; Wu Li-Jen; Chen Yu Kai
11273470In situ cleaning apparatus and system thereofChien Li-Hsing; Hung Yung-Ti; Wang Rouh Jier; Chang Yu-Te
11274037Dual micro-electro mechanical system and manufacturing method thereofChen Yang-Che; Liang Victor Chiang; Lin Chen-Hua; Liu Chwen-Ming; Tseng  Huang-Wen; Teng Yi-Chuan
11275301Extreme ultraviolet mask and method of manufacturing the sameShih Chih-Tsung; Chien Tsung-Chih; Fu Shih-Chi; Fu Chi-Hua; Cheng  Kuotang; Liu Bo-Tsun; Lee Tsung Chuan
11275314Method and apparatus for diffraction-based overlay measurementHsieh Hung-Chih; Chen Yen-Liang
11275317Droplet generator and method of servicing a photolithographic toolChen Yu-Huan; Wu Cheng-Hsuan; Tsai Ming-Hsun; Chien Shang-Chieh; Chen  Li-Jui
11275318Radiation source for lithography processWu Shang-Ying; Chien Shang-Chieh; Liu Bo-Tsun; Chen Li-Jui; Cheng  Po-Chung
11275880Region based shrinking methodology for integrated circuit layout  migrationChang Chi-Wen; Kuan Jui-Feng
11275885Engineering change order cell structure having always-on transistorChen Shun Li; Tien Li-Chun; Chen Ting Yu; Chang Wei-Ling
11275886Integrated circuit and method of forming same and a systemChen Sheng-Hsiung; Chen Wen-Hao; Ku Chun-Yao; Wang Shao-Huan; Ou  Hung-Chih
11276464Programming method and reading method for memory deviceWu Jau-Yi; Chen Yu-Sheng
11276469One time programmable memoryChih Yu-Der
11276568Method for manufacturing a semiconductor device and a coating materialChien Yu-Ling Chang; Chen Chien-Chih; Lin Chin-Hsiang; Chang Ching-Yu;  Cheng Yahru
11276571Method of breaking through etch stop layerWang Yu Shih; Yang Hong-Jie; Lee Chia-Ying; Yeh Po-Nan; Chiu U-Ting; Lin  Chun-Neng; Yeh Ming-Hsi; Huang Kuo-Bin
11276578Semiconductor device with fin isolationChen Chang-Yin; Chang Che-Cheng; Lin Chih-Han
11276587Wafer bonding method and apparatus with curved surfacesHuang Chih-Hui; Tsao Chun-Han; Chen Sheng-Chau; Tu Yeur-Luen; Tsai  Chia-Shiung; Chen Xiaomeng
11276604Radical-activated etching of metal oxidesYang Chansyun David; Yang Chan-Lon; Chang Keh-Jeng; Yuh Perng-Fei
11276637Barrier-free interconnect structure and manufacturing method thereofWang Pei-Yu; Chung Cheng-Ting; Lee Wei Ju
11276638Back end of line via to metal line margin improvementHuang Yi-Chun; Chen I-Chih; Kuo Chun-Wei
11276643Semiconductor device with backside spacer and methods of forming the sameHuang Po-Yu; Yao Jason; Yang Fu-Kai; Wang Mei-Yun
11276647Method of forming semiconductor deviceWang Jhih-Yu; Chu Yung-Chi; Liao Sih-Hao; Hu Yu-Hsiang; Kuo Hung-Jui
11276649Devices and methods having magnetic shielding layerChuang Harry-Hak-Lay; Chiang Tien-Wei; Chen Chia-Hsiang; Shih Meng-Chun;  Wang Ching-Huang
11276653Electronic device and manufacturing method thereofChen Shih-Yuan; Li Jiun-Yun; Xu Rui-Fu; Chen Chiung-Yu; Yeh Ting-I; Wu  Yu-Jui; Chang Yao-Chun
11276656Integrated fan-out structure and method of formingChen Hsien-Wei; Su An-Jhih; Lin Tsung-Shu
11276670Semiconductor device and manufacturing method of semiconductor deviceTeng Yi-Chuan; Liang Victor Chiang; Tu Jung-Kuo; Shen Ching-Kai
11276684Recessed composite capacitorChen Yi-Huan; Chou Chien-Chih; Kalnitsky Alexander; Thei Kong-Beng
11276692Manufacturing method of integrated circuitLee Yi-Jing; Li Kun-Mu; Yu Ming-Hua; Kwok Tsz-Mei
11276693FinFET device having flat-top epitaxial features and method of making the  sameLee Yi-Jing; Chou Li-Wei; Yu Ming-Hua
11276695Multi-gate device and related methodsChing Kuo-Cheng; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao
11276696SRAM structure and method for manufacturing SRAM structureHsieh Chih-Hung; Liao Yu-Min; Liaw Jhon-Jhy
11276699Surface topography by forming spacer-like componentsWu Chun-Chang; Cheng Chihy-Yuan; Chen Sz-Fan; Yang Shun-Shing; Chang  Wei-Lin; Kuo Ching-Sen; Shiu Feng-Jia; Chen Chun-Chang
11276716Image sensor with improved near-infrared (NIR) radiation phase-detection  autofocus (PDAF) performanceChou Keng-Yu; Chuang Chun-Hao; Hashimoto Kazuaki; Chiang Wei-Chieh; Huang  Cheng Yu; Wu Wen-Hau; Chang Chih-Kung
11276763Contacts for highly scaled transistorsDiaz Carlos H.; Wu Chung-Cheng; Chang Chia-Hao; Wang Chih-Hao; Colinge  Jean-Pierre; Lin Chun-Hsiung; Lien Wai-Yi; Leung Ying-Keung
11276766FinFET fabrication methodsTsai Chun Hsiung; Peng Cheng-Yi; Wang Yin-Pin; Yu Kuo-Feng; Lin Da-Wen;  Chen Jian-Hao; More Shahaji B.
11276818Phase change memory structure and the sameWu Jau-Yi
11276819Metal landing on top electrode of RRAMChang Chih-Yang; Chu Wen-Ting
11276832Semiconductor structure with diffusion break and methodvan Dal Marcus Johannes Henricus; Vasen Timothy; Doornbos Gerben
11277136Semiconductor device for logic and memory co-optimizationLiaw Jhon Jhy
11279001Method and apparatus for monitoring chemical mechanical polishing processHuang Chung-Wei; Jheng Ren-Hao
11279611Micro-electro mechanical system device containing a bump stopper and  methods for forming the sameCheng Chun-wen; Chin Chi-Hang; Chang Kuei-Sung
11279615Method for manufacturing a MEMS device by first hybrid bonding a CMOS  wafer to a MEMS waferLin Hung-Hua; Wu Chang-Ming; Yu Chung-Yi; Liu Ping-Yin; Peng Jung-Huei
11280021Method of controlling chemical concentration in electrolyte and  semiconductor apparatusHuang Yung-Chang; Chen You-Fu; Tsai Yu-Chi; Chang Chu-Ting
11280786Method for forming biochips and biochips with non-organic landings for  improved thermal budgetChu Chia-Hua; Chang Allen Timothy; Chen Ching-Ray; Chang Yi-Hsien; Liu  Yi-Shao; Cheng Chun-Ren; Cheng Chun-Wen
11280823Monitoring system and method of monitoring static chargeWu Shin-Ta; Yu Chwen
11280847Circuit, semiconductor device and method for parameter PSRR measurementKundu Amit; Horng Jaw-Juinn; Wang Yi-Hsiang
11281091PhotomaskChou You-Hua; Chuang Kuo-Sheng
11281107Method for performing lithography process with post treatmentWeng Ming-Hui; Chang Ching-Yu; Lin Chin-Hsiang
11281835Cell layout and structureHsieh Tung-Heng; Wang Sheng-Hsiung; Zhuang Hui-Zhong; Yeh Yu-Cheng; Tsai  Tsung-Chieh; Wu Juing-Yi; Lee Liang-Yao; Ting Jyh-Kang
11281836Cell structures and semiconductor devices having sameChang Fong-Yuan; Chang Jyun-Hao; Chen Sheng-Hsiung; Yu Ho Che; Lu  Lee-Chung; Fan Ni-Wan; Huang Po-Hsiang; Lu Chi-Yu; Lee Jeo-Yen
11281838Optimized layout cellSheen Ruey-Bin; Huang Tien-Chien; Tan Chuan-Yao
11282572Multinary bit cells for memory devices and network applications and  method of manufacturing the sameChiang Katherine H.; Lin Chung-Te
11282673Ion implantation system and source bushing thereofMeng Ying-Chieh; Peng Chui-Ya; Cheng Nai-Han
11282697Integrate rinse module in hybrid bonding platformHuang Xin-Hua; Liu Ping-Yin; Lin Hung-Hua; Kuang Hsun-Chung; Hsieh  Yuan-Chih; Chao Lan-Lin; Tsai Chia-Shiung; Chen Xiaomeng
11282705Semiconductor device and method of forming the sameChiang Hsin-Che; Liang Chun-Sheng; Pan Kuo-Hua
11282707Method and system of estimating wafer crystalline orientationTsai Bo-Tsung
11282711Plasma-assisted etching of metal oxidesYang Chansyun David; Chang Keh-Jeng; Yang Chan-Lon
11282712Method for preventing bottom layer wrinkling in a semiconductor deviceShiu Jung-Hau; Ko Chung-Chi; Lee Tze-Liang; Peng Yu-Yun
11282728Contamination control in semiconductor manufacturing systemsChen Bo Chen; Wu Sheng-Wei; Tsai Yung-Li
11282742Semiconductor device with multi-layer etch stop structure and method for  forming the sameShih Po-Cheng; Lee Tze-Liang; Wang Jen-Hung; Lin Yu-Kai; Sung Su-Jen
11282748Semiconductor device and method of forming the sameFung Ka-Hing
11282749Forming nitrogen-containing low-k gate spacerKao Wan-Yi; Ko Chung-Chi
11282750Contact structure and method of fabricating the sameHsu Wan Hsuan; Wang I-Hsiu; Chen Yean-Zhaw; Chang Cheng-Wei; Wang Yu  Shih; Lu Hsin-Yan; Chiu Yi-Wei
11282751Dielectric fins with different dielectric constants and sizes in  different regions of a semiconductor deviceHsieh Min-Yann; Chen Hua Feng; Liaw Jhon Jhy
11282756Organic interposer including stress-resistant bonding structures and  methods of forming the sameLee Tsung-Yen; Wang Chin-Hua; Yew Ming-Chih; Hsu Chia-Kuei; Lai Po-Chen;  Lin Po-Yao; Jeng Shin-Puu
11282759Chip package structure having warpage control and method of forming the  sameHsu Feng-Cheng; Jeng Shin-Puu; Chen Shuo-Mao
11282761Semiconductor packages and methods of manufacturing the sameWu Jiun-Yi; Yu Chen-Hua; Liu Chung-Shi; Liang Yu-Min
11282766Package structureKu Shih-Chang; Hung Wensen; Li Hung-Chi
11282769Oversized via as through-substrate-via (TSV) stop layerKao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Chu Yi-Shin;  Chen Ping-Tzu
11282779Package structure and fabricating method thereofWu Kai-Chiang; Wu Jiun-Yi; Liang Yu-Min
11282784Semiconductor package and manufacturing method of the sameChen Ming-Fa; Yeh Sung-Feng; Yu Chen-Hua
11282785Wireless charging package with chip integrated in coil centerYu Chen-Hua; Chu Chiang-Jui; Liu Chung-Shi; Tsai Hao-Yi; Tseng Ming Hung;  Kuo Hung-Yi
11282791Semiconductor device having a heat dissipation structure connected chip  packageTeng Po-Yuan; Kuo Hung-Yi; Tsai Hao-Yi; Kuo Tin-Hao; Lai Yu-Chia; Chen  Shih-Wei
11282793Integrated fan-out structure with rugged interconnectLin Shih Ting; Lu Szu-Wei; Lin Jing-Cheng; Yu Chen-Hua
11282796Integrated fan-out package and method of fabricating the sameChiu Ming-Yen; Chang Ching-Fu; Huang Hsin-Chieh
11282802Semiconductor device structure and method for forming the sameYang Ming-Hsien; Wang Ching-Chun; Yaung Dun-Nian; Hung Feng-Chi; Huang  Sin-Yao
11282803Device, semiconductor package and method of manufacturing semiconductor  packageHsu Chia-Kuei; Yew Ming-Chih; Tsai Po-Hao; Lin Po-Yao; Jeng Shin-Puu
11282804Package structure and method of manufacturing the sameChen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao
11282810Integrated fan-out package and manufacturing method thereofWan Albert; Hsieh Ching-Hua; Tsai Chung-Hao; Wang Chuei-Tang; Shih  Chao-Wen; Pu Han-Ping; Hwang Chien-Ling; Lee Pei-Hsuan; Tang Tzu-Chun; Chiu  Yu-Ting; Kuo Jui-Chang
11282816Memory packages and methods of forming sameYu Chen-Hua; Tsai Chung-Hao; Wang Chuei-Tang; Wang Yih
11282817Semiconductor device package including embedded conductive elementsChen Yu-Feng; Lin Chun-Hung; Pu Han-Ping; Cheng Ming-Da; Wu Kai-Chiang
11282825Package structureLin Tsung-Shu; Chen Tsung-Yu; Hung Wensen
11282829Integrated circuit with mixed row heightsSio Kam-Tou; Tzeng Jiann-Tyng; Wang Chung-Hsing; Cheng Yi-Kan
11282830High voltage ESD protection apparatusChang Yi-Feng; Lee Jam-Wem
11282831Semiconductor device having multiple electrostatic discharge (ESD) pathsPeng Po-Lin; Chu Li-Wei; Tsai Ming-Fu; Lee Jam-Wem; Su Yu-Ti
11282842Memory device and manufacturing methodLo Kuo-Hung; Chang Feng-Ming; Kuo Ying-Hsiu
11282843Memory device, SRAM cell, and manufacturing method thereofYe Hung-Yu; Lin Chung-Yi; Pan Yun-Ju; Liu Chee-Wee
11282846Mask design for embedded memoryTeng Li-Feng; Wu Wei Cheng
11282859Semiconductor circuit with metal structure and manufacturing methodLiaw Jhon Jhy
11282916Magnetic thin film inductor structuresRoth Alan; Soenen Eric; Ranucci Paul
11282920Semiconductor device with air gap on gate structure and method for  forming the sameLin Tien-Lu; Wu Che-Chen; Chuang Chia-Lin; Lin Yu-Ming; Chang Chih-Hao
11282931Memory device and manufacturing method thereofLin Yu-Chu; Jen Chi-Chung; Pan Chia-Ming; Yeh Su-Yu; Liao Keng-Ying; Sung  Chih-Wei
11282933FinFET having a work function material gradientLim Peng-Soon; Fang Zi-Wei; Lin Cheng-Ming
11282934Structure for metal gate electrode and method of fabricationHsiao Ru-Shang; Su Ching-Hwanq; Kung Pohan; Lu Ying Hsin; Huang I-Shan
11282935Gate-all-around device with protective dielectric layer and method of  forming the sameChung Cheng-Ting; Liao Yi-Bo; Chen Hou-Yu; Cheng Kuan-Lun
11282938Capping layers in metal gates of transistorsTang Tsung-Ta; Wang Yi-Ting; Chen Chung Ta; Lee Hsien-Ming
11282940Field effect transistors with ferroelectric dielectric materialsLin Cheng-Ming; Lam Kai Tak; Yeong Sai-Hooi; Chui Chi On; Fang Ziwei
11282941Semiconductor structure and manufacturing method thereofWang Shiang-Bau
11282942Semiconductor device structure with uniform threshold voltage  distribution and method of forming the sameKao Wei-Chih; Chiang Hsin-Che; Chien Yu-San; Liang Chun-Sheng; Pan  Kuo-Hua
11282943Multi-gate devices and fabricating the same with etch rate modulationWang Chih-Ching; Yang Chung-I; Ho Jon-Hsu; Hsieh Wen-Hsing; Cheng  Kuan-Lun; Wu Chung-Wei; Wu Zhiqiang
11282944Method of manufacturing a semiconductor device and a semiconductor deviceYu Chia-Chi; Hseih Jui Fu; Lin Yu-Li; Liao Chih-Teng; Chen Yi-Jen
11282945Negative-capacitance field effect transistorYoung Bo-Feng; Chang Chih-Yu; Yeong Sai-Hooi; Chui Chi On; Wang Chih-Hao
11282967Nanostructure field-effect transistor device and method of formingChang Cheng-Chung; Tsao Hsiu-Hao; Sie Ming-Jhe; Yang Shun-Hui; Huang  Chen-Huang; Wei An Chyi; Chen Ryan Chia-Jen
11283005Spacer scheme and method for MRAMLiou Joung-Wei; Lan Chin Kun
11283009Method for manufacturing memory device having protection spacerChuang Harry-Hak-Lay; Liao Chun-Heng; Chen Jun-Yao; Wang Hung-Cho
11283011Phase change memory device having tapered portion of the bottom memory  layerLee Tung-Ying; Yu Shao-Ming; Lin Yu-Chao
11283402Device and method of operating the sameTsai Ping-Han; Hou Chih-Sheng; Chen Po-Yu; Tseng Nan-Hsin
11287452Low power comparator and self-regulated devicePeng Yung-Chow; Hsieh Chung-Peng
11287740Photoresist composition and method of forming photoresist patternZi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu
11287745Reticle-masking structure, extreme ultraviolet apparatus, and method of  forming the sameHsu Ching-Hsiang; Hwang James Jeng-Jyi; Hsu Feng Yuan
11287746System and method for overlay error reductionChien Hung-Chung; Hung Hao-Ken; Yang Chih-Chieh; Shieh Ming-Feng; Hu  Chun-Ming
11287751System and method for lens heating controlLiao Chi-Hung; Yang Yueh Lin
11287754Mask blank for lithography and method of manufacturing the sameChen Ming-Wei; Lee Hsin-Chang; Lin Ping-Hsun
11287755Lithography system and cleaning method thereofLin Sheng-Ta; Chen Li-Jui; Chien Shang-Chieh
11288436Method of analyzing and detecting critical cellsVerma Anurag; Hsu Meng-Kai; Chang Chih-Wei
11288437Electromigration evaluation methodology with consideration of both  self-heating and heat sink thermal effectsYu Tseng Hsien; Chang Chun-Wei; Liu Szu-Lin; Kundu Amit; Liu Sheng-Feng
11289141Integrated circuit with asymmetric arrangements of memory arraysYang Xiu-Li; Wan He-Zhou; Cheng Kuan; Wu Ching-Wei
11289143SOT-MRAM with shared selectorSong MingYuan; Lin Shy-Jay; Lee Chien-Min; Gallagher William Joseph
11289154Circuit and method of writing to a bit cellAggarwal Pankaj; Wu Ching-Wei; Aseem Jaymeen Bharatkumar
11289161PCRAM analog programming by a gradual reset cooling stepWu Jau-Yi
11289311Method and apparatus for reducing vacuum loss in an ion implantation  systemLin Tsung-Min; Chien Fang-Chi; Huang Cheng-Yi; Lu Chao-Po
11289330Semiconductor-on-insulator (SOI) substrate and method for formingWu Cheng-Ta; Hsieh Chia-Ta; Wu Kuo Wei; Chang Yu-Chun; Tseng Ying Ling
11289332Directional processing to remove a layer or a material formed over a  substrateHuang Shih-Chun; Lin Chin-Hsiang; Lai Chien-Wen; Liu Ru-Gun; Lin  Wei-Liang; Chang Ya Hui; Yen Yung-Sung; Shen Yu-Tien; Yeh Ya-Wen
11289338Method for improved critical dimension uniformity in a semiconductor  device fabrication processHung Chi-Cheng; Chen Chun-Kuang; Chen De-Fang; Lin Wei-Liang; Shen  Yu-Tien
11289341Pattern transfer technique and method of manufacturing the sameYoo Chue San
11289343Method of gap filling using conformal deposition-annealing-etching cycle  for reducing seam void and bendingYu De-Wei; Chen Chien-Hao; Chang Chia-Ao; Liang Pin-Ju
11289373Semiconductor package and manufacturing method thereofTeng Po-Yuan; Su Bor-Rung; Lu De-Yuan; Tsai Hao-Yi; Kuo Tin-Hao; Lee  Tzung-Hui; Chang Tai-Min
11289376Methods for forming self-aligned interconnect structuresLiu Ru-Gun; Chang Shih-Ming; Ng Hoi-Tou
11289383Semiconductor device and methodChen Chien-Yuan; Lin Jui-Ping; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun
11289384Method of manufacturing a semiconductor device and a semiconductor deviceChiang Hung-Li; Chen Chih-Liang; Chen Tzu-Chiang; Chen I-Sheng; Chou  Lei-Chun
11289396Sensing component encapsulated by an encapsulation layer with a roughness  surface having a hollow regionChu Yung-Chi; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Hu Tian
11289398Package structure and manufacturing method thereofWang Shih-Hui; Yeh Der-Chyang; Tai Shih-Peng; Lin Tsung-Shu; Huang  Yi-Chung
11289399Package structure and manufacturing method thereofWang Pu; Kao Chin-Fu; Lu Szu-Wei
11289404Semiconductor device and methodLiu Hsu-Lun; Lu Wen-Hsiung; Cheng Ming-Da; Yen Chen-En; Yang Cheng-Lung;  Huang Kuanchih
11289410Integrated circuit packages and methods of forming sameYu Chen-Hua; Kuo Hung-Jui; Ho Ming-Che; Lee Tzung-Hui
11289417Semiconductor device and methods of forming the sameChou Pei-Yu; Li Jr-Hung; Chen Liang-Yin; Liu Su-Hao; Lee Tze-Liang; Chou  Meng-Han; Chen Kuo-Ju; Chang Huicheng; Ho Tsai-Jung; Ho Tzu-Yang
11289418Package structure and manufacturing method thereofHwang Chien-Ling; Lu Chun-Lin; Wu Kai-Chiang
11289424Package and method of manufacturing the sameWu Chih-Wei; Yu Chen-Hua; Yee Kuo-Chung; Lu Szu-Wei; Shih Ying-Ching
11289426Semiconductor package and manufacturing method thereofChu Yung-Chi; Kuo Hung-Jui; Wang Jhih-Yu; Hu Yu-Hsiang
11289449Packaging methods for semiconductor devices, packaged semiconductor  devices, and design methods thereofWang Chuei-Tang; Liu Monsen; Yu Chen-Hua
11289450Semiconductor structure and manufacturing method thereofChen Ming-Fa; Chiou Wen-Chih; Yeh Sung-Feng
11289455Backside contact to improve thermal dissipation away from semiconductor  devicesKao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Chu Yi-Shin;  Chen Ping-Tzu; Chen Che-Wei
11289472Integrated circuit with electrostatic discharge protectionPeng Po-Lin; Su Yu-Ti
11289477Semiconductor structure with low defectDuriez Blandine; Vellianitis Georgios
11289479Fin-type field effect transistor structure and manufacturing method  thereofTsai Chun-Hsiung; Fang Ziwei; Wang Tsan-Chun; Chen Kei-Wei
11289480Semiconductor device and methodWu Chung-Chiang; Chiu Shih-Hang; Hung Chih-Chang; Yang I-Wei; Ku  Shu-Yuan; Hung Cheng-Lung; Lee Da-Yuan; Su Ching-Hwanq
11289481Single metal that performs N work function and P work function in a  high-K/metal gateLin Yih-Ann; Chen Ryan Chia-Jen; Chao Donald Y.; Mor Yi-Shien; Huang  Kuo-Tai
11289482Field effect transistor contact with reduced contact resistanceLiu Su-Hao; Tsai Yan-Ming; Wei Chung-Ting; Fang Ziwei; Chang Chih-Wei;  Chen Chien-Hao; Chang Huicheng
11289494Structure and method for SRAM FinFET device having an oxide featureChing Kuo-Cheng; Fung Ka-Hing; Chang Chih-Sheng; Wu Zhiqiang
11289529Pixel circuit, sensing device, and method thereofYin Chin; Lee Chih-Lin; Yeh Shang-Fu; Wu Meng-Hsiu
11289533Biometric sensor and methods thereofLin Chin-Min; Chou Cheng San
11289538Memory device and semiconductor die, and method of fabricating memory  deviceYing Ji-Feng; Wang Jhong-Sheng; Lin Tsann
11289539Self-aligned dielectric spacer for magnetic tunnel junction patterning  and methods for forming the sameKo Min-Yung; Liu Shih-Chang
11289568Reduction of electric field enhanced moisture penetration by metal  shieldingShih Chi-Yuan; Chang Kai-Fung; Huang Shih-Fen; Tai Wen-Chuan; Teng  Yi-Chuan; Tsai Yi Heng; Lin You-Ru; Chen Yen-Wen; Lin Anderson; Huang  Fu-Chun; Cheng Chun-Ren; Wu Ivan Hua-Shu; Hu Fan; Lin Ching-Hui; Liao Yan-Jie
11289569Hybrid decoupling capacitor and method forming sameChan Hao-Chieh; Chen Chung-Hui
11289574Methods of forming epitaxial source/drain features in semiconductor  devicesHsu Tzu-Hsiang; Chen Ting-Yeh; Lee Wei-Yang; Yang Feng-Cheng; Chen  Yen-Ming
11289578Selective etching to increase threshold voltage spreadLee Hsin-Yi; Li Ya-Huei; Lee Da-Yuan; Su Ching-Hwanq
11289580Semiconductor deviceVellianitis Georgios
11289582Single-crystal hexagonal boron nitride layer and method forming sameChen Tse-An; Chuu Chih-Piao; Li Lain-Jong; Chang Wen-Hao; Tseng  ChienChih; Wen Chao-Kai
11289583High aspect ratio gate structure formationYeong Sai-Hooi; Chui Chi-On; Lee Kai-Hsuan; Cheng Kuan-Lun; Wang Chih-Hao
11289584Inner spacer features for multi-gate transistorsWu Bone-Fong; Yu Chih-Hao; Lin Chia-Pin
11289585Semiconductor devices and methods of formationLin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han; Chang  Ming-Ching; Chen Chao-Cheng
11289586Spacer structure for semiconductor deviceLo Yi-Chen
11289589Semiconductor device and manufacturing method thereofOhtou Tetsu; Oniki Yusuke
11289591Bipolar junction deviceSu Zi-Ang; Li Ming-Shuan; Yeh Chih Chieh
11289599Power metal-oxide-semiconductor field effect transistorSyue Wan-Jyun; Huang Chin-Yi; Tzeng Kuo-Lung; Yang Zhuo-Cang
11289602FeFET of 3D structure for capacitance matchingChiang Hung-Li; Chang Chih-Sheng; Chen Tzu-Chiang
11289603Semiconductor device and methodYoung Bo-Feng; Yeong Sai-Hooi; Chui Chi On
11289606Capacitance reduction for back-side power rail deviceJu Shi Ning; Wang Chih-Hao; Chiang Kuo-Cheng; Cheng Kuan-Lun; Lan  Wen-Ting
11289646Method of forming a bottom electrode of a magnetoresistive random access  memory cellHuang Wei-Chieh; Chen Jieh-Jang
11289647Resistive random access memory deviceMo Chun-Chieh; Kuo Shih-Chi
11289648Resistive random-access memory (RRAM) cell with recessed bottom electrode  sidewallsTseng Yuan-Tai; Liu Shih-Chang
11289651Memory device having via landing protectionYang Tsung-Hsueh; Liu Shih-Chang; Tseng Yuan-Tai
11290096System and method for adjusting cycle of a signalSheen Ruey-Bin; Tsai Ming Hsien; Tsai Tsung-Hsien
11291116Integrated circuit structureYu Chen-Hua; Hung Jui-Pin; Yee Kuo-Chung
11292101Chemical mechanical polishing apparatus and methodWei Yu-Chen; Su Jheng-Si; Lin Shih-Ho; Lai Jen-Chieh; Chan Chun-Chieh
11292712Method of forming semiconductor device structureTeng Yi-Chuan; Tsai Chun-Yin; Chu Chia-Hua; Cheng Chun-Wen
11292715Conductive bond structure to increase membrane sensitivity in MEMS deviceLin Hung-Hua; Hung Chia-Ming; Huang Xin-Hua; Hsieh Yuan-Chih
11293897High sensitivity ISFET sensorChiang Katherine H.; Huang Jui-Cheng; Su Ke-Wei; Chen Tung-Tsun; Lee Wei;  Liu Pei-Wen
11293974System and method for semiconductor device testingChen Hao; Wang Mill-Jer
11294271Mask for extreme ultraviolet photolithographyHsueh Wen-Chang; Lee Hsin-Chang; Lien Ta-Cheng
11294274Pellicle assembly and method for advanced lithographyChen Amo; Lin Yun-Yue; Lien Ta-Cheng; Lee Hsin-Chang; Lin Chih-Cheng;  Chen Jeng-Horng
11294275Apparatus for mounting a pellicle to a photomask and method for mounting  a pellicle to a photomaskLiu Tzu Han; Wen Chih-Wei; Lin Chung-Hung
11294286Pattern formation method using a photo mask for manufacturing a  semiconductor deviceLiu Ru-Gun; Lin Chin-Hsiang; Huang Cheng-I; Lai Chih-Ming; Lai Chien-Wen;  Hsieh Ken-Hsien; Chang Shih-Ming; Hou Yuan-Te
11294292Particle removing assembly and method of cleaning mask for lithographyLin Chen-Yang; Yu Da-Wei; Wang Li-Hsin; Lin Kuan-Wen; Chen Chia-Jen; Lee  Hsin-Chang
11294293Overlay marks for reducing effect of bottom layer asymmetryHsieh Hung-Chih; Chen Kai-Hsiung; Cheng Po-Chung
11294419Clock duty cycle adjustment and calibration circuit and method of  operating sameHuang Tien-Chien
11294764Method of correcting errors in a memory array and method of screening  weak bits in the sameChih Yu-Der; Lee Chia-Fu; Liu Chien-Yin; Shih Yi-Chun; Chen Kuan-Chun;  Yang Hsueh-Chih; Lu Shih-Lien Linus
11295055Transmission gate structure and methodChien Shao-Lun; Sue Pin-Dai; Tien Li-Chun; Chiang Ting-Wei; Chen Ting Yu
11295056Vertex-based OPC for opening patterningYu Shinn-Sheng
11295791SRAM with local bit line, input/output circuit, and global bit lineKatoch Atul; Taghvaei Ali
11295792Back-up and restoration of register dataChih Yu-Der
11295831Systems and methods to detect cell-internal defectsPatidar Ankita; Goel Sandeep Kumar
11295926Repellent electrode for electron repellingYen Ching-Heng; Chiu Jen-Chung; Kao Tai-Kun; Lin Lu-Hsun; Lin Tsung-Min
11295948Low-K feature formation processes and structures formed therebyKao Wan-Yi; Ko Chung-Chi; Te Li Chun; Lin Hsiang-Wei; Cheng Te-En; Lin  Wei-Ken; Tu Guan-Yao; Liao Shu Ling
11295956Selective formation of titanium silicide and titanium nitride by hydrogen  gas controlChang Cheng-Wei; Lin Kao-Feng; Hung Min-Hsiu; Chao Yi-Hsiang; Huang  Huang-Yi; Lin Yu-Ting
11295957Package structure and method of manufacturing the sameHsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu
11295961Method of manufacturing a semiconductor deviceChen Yen-Hao; Lai Wei-Han; Chang Ching-Yu; Lin Chin-Hsiang
11295973Apparatus and method for automated wafer carrier handlingWu Ren-Hau; Wu Cheng-Lung; Pai Jiun-Rong; Hu Cheng-Kang
11295979Semiconductor package device with integrated antenna and manufacturing  method thereofLiao Wen-Shiang; Kuo Feng Wei; Tung Chih-Hang; Yu Chen-Hua
11295989Gate structures for semiconductor devicesCheng Chung-Liang
11295990Methods of forming metal gatesHuang Ju-Li; Chiang Hsin-Che; Tzeng Ju-Yuan; Xu Wei-Ze; Chen Yueh-Yi;  Wang Shu-Hui; Chang Shih-Hsun
11296011Through-substrate vias with improved connectionsLin Jing-Cheng; Yang Ku-Feng
11296012Barrier structures between external electrical connectorsMiao Chia-Chun; Liang Shih-Wei; Wu Kai-Chiang
11296026Semiconductor device and manufacturing method thereofLee Ming-Han; Shue Shau-Lin
11296027Dielectric film for semiconductor fabricationWu Cheng-Yi; Chu Li-Hsuan; Wen Ching-Wen; Hung Chia-Chun; Chang Chen  Liang; Lee Chin-Szu; Liu Hsiang
11296032Silicon interposer including through-silicon via structures with enhanced  overlay tolerance and methods of forming the sameTsou Hsien-Ju; Wu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei
11296051Semiconductor packages and forming method thereofKao Chin-Fu; Chien Chih-Yuan; Cheng Li-Hui; Lu Szu-Wei
11296055Structures for providing electrical isolation in semiconductor devicesChern Chan-Hong; Chen Mark
11296062Three-dimension large system integrationYu Chen-Hua; Kuo Tin-Hao
11296065Semiconductor packages and methods of forming sameJeng Shin-Puu; Wong Techi; Chuang Po-Yao; Chen Shuo-Mao; Chou Meng-Wei
11296067Package structureHsu Sen-Kuei; Pan Hsin-Yu; Tsai Ming-Hsien
11296070Integrated circuit with backside power rail and backside interconnectPeng Shih-Wei; Wu Guo-Huei; Tzeng Jiann-Tyng
11296077Transistors with recessed silicon cap and method forming sameChen Yen-Ting; Lai Bo-Yu; Lee Chien-Wei; Sung Hsueh-Chang; Lee Wei-Yang;  Yang Feng-Cheng; Chen Yen-Ming
11296080Source/drain regions of semiconductor devices and methods of forming the  sameLu Wei Hao; Pai Yi-Fang; Cheng Cheng-Wen; Su Li-Li; Kuo Chien-I
11296081Integration of silicon channel nanostructures and silicon-germanium  channel nanostructuresJu Shi Ning; Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun; Chen  Guan-Lin
11296082Multi-gate device and related methodsChuang Li-Yang; You Jia-Chuan; Chiang Kuo-Cheng; Wang Chih-Hao
11296084Deposition method, semiconductor device and method of fabricating the  sameLin Cheng-I; Chen Chun-Heng; Lin Ming-Ho; Chui Chi-On
11296095Memory device and method for forming the sameSu Hsin-Wen; Lin Yu-Kuan; Lin Shih-Hao; Hung Lien-Jung; Wang Ping-Wei
11296099FeRAM decoupling capacitorChen Tzu-Yu; Tu Kuo-Chi; Chang Fu-Chen; Chang Chih-Hsiang; Shih  Sheng-Hung
11296100Cell boundary structure for embedded memoryLiu Ming Chyi; Liu Shih-Chang; Chen Sheng-Chieh; Chang Yu-Hsing
11296116Semiconductor device and method of forming the sameChang Fu-Chen; Tu Kuo-Chi; Chen Tzu-Yu; Shih Sheng-Hung
11296147Method for manufacturing memory device having spacerChiu Chieh-Fei; Tsair Yong-Shiuan; Chu Wen-Ting; Liao Yu-Wen; Mei  Chin-Yu; Tseng Po-Hao
11296187Seal material for air gaps in semiconductor devicesLiang Shuen-Shin; Wang Chen-Han; Lin Keng-Chu; Ueno Tetsuji; Chen  Ting-Ting
11296198Semiconductor structure with barrier layer and method for forming the  sameHuang Shih-Wen; Ko Chung-Ting; Ke Hong-Hsien; Lin Chia-Hui; Huang  Tai-Chun
11296199Semiconductor devices and methodsJu Shi Ning; Chen Guan-Lin; Chiang Kuo-Cheng; Wang Chih-Hao
11296201Gate structure and method of fabricating the sameHsieh Bo-Wen; Lo Yi-Chun; Hsieh Wen-Jia
11296202Memory chip structure having GAA transistors with different threshold  voltages and work functions for improving performances in multiple  applicationsLiaw Jhon Jhy
11296209RF switch device with a sidewall spacer having a low dielectric constantWu Cheng-Ta
11296225FinFET device and method of forming sameYeh Hsin-Hao; Yen Fu-Ting
11296227Method of manufacturing semiconductor devices and semiconductor devicesChang Hsiao-Chun; Shen Guan-Jie
11296236Semiconductor device and manufacturing method thereofVellianitis Georgios
11296252Method and apparatus for CMOS sensor packagingCheng Ching-Hung
11296502Electrostatic discharge protection circuitLai Ming-Fang; Wu Yi-Hsun; Chang Ching-Yun
11296682Input circuit of a flip-flop and associated manufacturing methodXu Jin-Wei; Zhuang Hui-Zhong; Chen Chih-Liang
11296684Gated tri-state inverter, and low power reduced area phase interpolator  system including same, and method of operating sameLu Tsung-Che; Fu Chin-Ming; Chang Chih-Hsien
11296703Multiplexing latch circuit and methodHong Hyunsung
11297710Extreme ultraviolet lithography system with heated tin vane bucket having  a heated coverChen Ssu-Yu; Chien Shang-Chieh; Chen Li-Jui
11299302Integrated semiconductor die parceling platformsKuo Tsung-Sheng; Liu Hsu-Shui; Pai Jiun-Rong; Chu Yang-Ann; Lin  Chieh-Chun; Chen Shine
11300525Wafer inspection apparatus and methodChou Chung-Pin
11300878Photoresist developer and method of developing photoresistZi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu; Cheng Joy
11301148Configurable memory storage systemHsu Yu-Hao; Lee Cheng Hung; Yang Chen-Lin; Cheng Chiting; Wu Fu-An; Liao  Hung-Jen; Yang Jung-Ping; Chang Jonathan Tsung-Yung; Chan Wei Min; Chen  Yen-Huei; Lin Yangsyu; Lin Chien-Chen
11301343Memory block age detectionLu Shih-Lien Linus
11302529Seed layer for ferroelectric memory device and manufacturing method  thereofLu Chun-Chieh; Yeong Sai-Hooi; Lin Yu-Ming
11302535Performing annealing process to improve fin quality of a FinFET  semiconductorTsai Tzung-Yi; Chen Yen-Ming; Lee Tsung-Lin; Ho Po-Kang
11302537Chip package structure with conductive adhesive layer and method for  forming the sameHsu Kuo-Ching; Chen Yu-Huan; Chen Chen-Shien
11302546Semiconductor process system and methodChin Shih-Ming; Huang Hsiao-Chi; Liang Han-Ming
11302552Multiple transport carrier docking deviceHuang Chih-Hung; Wu Cheng-Lung; Chu Yang-Ann; Lee Hsuan; Pai Jiun-Rong
11302553Transport carrier docking deviceHuang Chih-Hung; Wu Cheng-Lung; Chu Yang-Ann; Lee Hsuan; Pai Jiun-Rong
11302566Wafer table with dynamic support pinsLiao Chi-Hung; Wu Min-Cheng
11302567Shallow trench isolation forming method and structures resulting  therefromChen Szu-Ying; Syue Sen-Hong; Chang Huicheng; Yeo Yee-Chia
11302570Interconnect structure and method for forming the sameLiu Hsiang-Wei
11302577Self aligned contact schemeHuang Yu-Lien
11302580Nanosheet thicknessLan Wen-Ting; Pan Kuan-Ting; Ju Shi Ning; Chiang Kuo-Cheng; Wang Chih-Hao
11302581Gate profile control through sidewall protection during etchingLin Shih-Yao; Kao Kuei-Yu; Lin Chih-Han; Chang Ming-Ching; Chen  Chao-Cheng
11302582Pre-deposition treatment for FET technology and devices formed therebyTsai Cheng-Yen; Wu Chung-Chiang; Hwang Tai-Wei; Chung Hung-Chin; Lee  Wei-Chin; Lee Da-Yuan; Su Ching-Hwanq; Chuang Yin-Chuan; Liu Kuan-Ting
11302600Semiconductor device and manufacturing method thereofHung Wensen; Huang Ping-Kang; Chiu Sao-Ling; Lin Tsung-Shu; Chen  Tsung-Yu; Huang Chien-Yuan; Lao Chen-Hsiang
11302631Integrated circuit cells and related methodsChiu Te-Hsin; Peng Shih-Wei; Tzeng Jiann-Tyng
11302641Self-aligned cavity strucutreLiao Wei-Hao; Lee Chung-Ju; Lu Chih Wei; Tien Hsi-Wen; Dai Yu-Teng
11302649Semiconductor device with shielding structure for cross-talk reductionHuang Shih-Ya; Tsai Chung-Hao; Wang Chuei-Tang; Yu Chen-Hua; Chang  Chih-Yuan
11302650Package structure and method of fabricating the sameWu Yi-Wen; Jeng Shin-Puu; Hung Shih-Ting; Chuang Po-Yao
11302654Method of fabricating semiconductor device including dummy via anchored  to dummy metal layerLin Jian-Hong; Liu Kuo-Yen; Chang Hsin-Chun; Lee Tzu-Li; Lee Yu-Ching;  Wang Yih-Ching
11302663Film scheme for bumpingChang Yao-Wen; Hsu Chern-Yow; Tsai Cheng-Yuan; Thei Kong-Beng
11302683Optical signal processing package structurePan Chih-Chien; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei
11302691High voltage integration for HKMG technologyThei Kong-Beng; Chou Chien-Chih; Fan Fu-Jier; Tuan Hsiao-Chin; Chen  Yi-Huan; Kalnitsky Alexander; Chen Yi-Sheng
11302692Semiconductor devices having gate dielectric layers of varying  thicknesses and methods of forming the sameLin Ta-Chun; Pan Kuo-Hua; Liaw Jhon Jhy; Wu Shien-Yang
11302693Semiconductor device structure and methods of forming the sameYou Jia-Chuan; Ju Shi-Ning; Chiang Kuo-Cheng; Wang Chih-Hao
11302695Method for forming integrated semiconductor device with 2D material layerPeng Cheng-Yi; Lu Chun-Chieh; Hsiao Meng-Hsuan; Yeh Ling-Yen; Diaz Carlos  H.; Lee Tung-Ying
11302701Three-dimensional static random access memory device structuresHuang Chien-Yu; Chen Chien-Yuan; Shieh Hau-Tai
11302730Polarizers for image sensor devicesCheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng
11302734Deep trench isolation structures resistant to crackingWu Ming-Chi; Fang Chun-Chieh; Su Bo-Chang; Tu Chien Nan; Yeh Yu-Lung; Lin  Kun-Yu; Chen Shih-Shiung
11302738Image sensor with improved quantum efficiency surface structureCheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng; Huang Hsun-Ying; Hsu  Shih-Hsun
11302782In-situ straining epitaxial processChen Hsiu-Ting; Huang Yi-Ming; Chang Shih-Chieh; Chen Hsing-Chi; Jeng  Pei-Ren
11302784Semiconductor device having contact feature and method of fabricating the  sameWu Xusheng; Liu Chang-Miao; Leung Ying-Keung; Shang Huiling; Lin Youbo
11302787Integrated circuit layouts with source and drain contacts of different  widthsCiou Shang-Syuan; Zhuang Hui-Zhong; Yang Jung-Chan; Tien Li-Chun
11302792Fabrication of gate all around deviceWang Yung-Chih; Liao Yu-Chieh; Yang Tai-I; Chen Hsin-Ping
11302793Transistor gates and method of formingLee Hsin-Yi; Chen Ji-Cheng; Hung Cheng-Lung; Chui Chi On
11302796Method of forming self-aligned source/drain metal contactsWang Pei-Hsun; Chiang Kuo-Cheng; Wang Chih-Hao
11302798Semiconductor devices with air gate spacer and air gate capChuang Cheng-Chi; Huang Lin-Yu; Chang Chia-Hao; Lin Yu-Ming; Lo Ting-Ya;  Teng Chi-Lin; Huang Hsin-Yen; Chen Hai-Ching
11302801Semiconductor deviceVellianitis Georgios
11302802Parasitic capacitance reductionWang Jia-Heng; Chen Chun-Han; Wu I-Wen; Lee Chen-Ming; Yang Fu-Kai; Wang  Mei-Yun
11302804Devices having a semiconductor material that is semimetal in bulk and  methods of forming the sameColinge Jean-Pierre; Diaz Carlos H; Yeo Yee-Chia
11302809Semiconductor device and manufacturing method thereofChen Zheng-Long
11302816Semiconductor structure and method for forming the sameHuang Yuan-Sheng; Chen Ryan Chia-Jen
11302818Gate resistance reduction through low-resistivity conductive layerWu Chung-Chiang; Chen Po-Cheng; Huang Kuo-Chan; Chung Hung-Chin; Lee  Hsien-Ming; Chen Chien-Hao
11302820Localized protection layer for laser annealing processDuriez Blandine; Van Dal Marcus Johannes Henricus; Holland Martin  Christopher; Doornbos Gerben; Vellianitis Georgios
11302825Self-aligned spacers for multi-gate devices and method of fabrication  thereofChing Kuo-Cheng; Ju Shi Ning; Chen Guan-Lin; Cheng Kuan-Lun; Wang  Chih-Hao
11302864Semiconductor device with asymmetrical pinned magnets, and method of  manufactureSong Mingyuan; Yu Chwen; Lin Shy-Jay
11302865Phase-change memory with two-portioned phase-change layerWu Jau-Yi
11303292Analog to digital converter with current steering stageKinyua Martin; Soenen Eric
11304290Semiconductor structures and methodsYu Chen-Hua; Lin Yung-Chi; Chiou Wen-Chih
11305980Anti-stiction process for MEMS deviceWeng Jui-Chun; Sanagavarapu Lavanya; Hu Ching-Hsiang; Wu Wei-Ding; Cheng  Shyh-Wei; Chiang Ji-Hong; Chen Hsin-Yu; Hsu Hsi-Cheng
11307489EUV photomask and manufacturing method of the sameHsu Feng Yuan; Shen Tran-Hui; Hsu Ching-Hsiang
11307492Method for forming photomask and photolithography methodChang Shih-Ming; Chen Minfeng; Yang Min-An; Wei Shao-Chi
11307500Method for removing photoresistor layer, method of forming a pattern and  method of manufacturing a packageOuyang Christine Y
11307504Humidity control in EUV lithographyZi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu; Cheng Joy
11308254Method and system for reducing layout distortion due to exposure  non-uniformityLu Chi-Ta; Liao Chia-Hui; Lin Yihung; Tsai Chi-Ming
11308255Generation of layout including power delivery networkYang Chung-Chieh; Chen Tai-Yi; Chen Yun-Ru; Peng Yung-Chow
11308256Method of post optical proximity correction (OPC) printing verification  by machine learningWang Hung-Chun; Tsai Cheng Kun; Huang Wen-Chun; Chien Wei-Chen; Liu  Chi-Ping
11308999Boost bypass circuitry in a memory storage deviceFujiwara Hidehiro; Chen Yen-Huei
11309000Systems and methods for controlling power management operations in a  memory deviceJain Sanjeev Kumar; Singh Sahil Preet; Katoch Atul
11309005Current steering in reading magnetic tunnel junctionGupta Gaurav; Wu Zhiqiang; Wang Yih
11309011Method and system for refresh of memory devicesNoguchi Hiroki; Wang Yih
11309022RRAM voltage compensationLai Chien-An; Chou Chung-Cheng; Chih Yu-Der
11309185Fin field-effect transistor and method of forming the sameChiang Tzu Ang; Yeh Ming-Hsi; Lin Chun-Neng; Lian Jian-Jou; Wang Po-Yuan;  Chen Chieh-Wei
11309187Methods of forming silicide contact in field-effect transistorsLin Chun-Hsiung; Chen Shih-Cheng; Wang Chih-Hao; Chang Jung-Hung; Huang  Jui-Chien
11309189Fin field effect transistor (FinFET) device structure and method for  forming the sameChen Chang-Yin; Chang Chai-Wei; Liao Chia-Yang; Young Bo-Feng
11309190Semiconductor device and method of manufactureLian Jian-Jou; Lin Chun-Neng; Chen Chieh-Wei; Chiang Tzu-Ang; Yeh  Ming-Hsi
11309212Semiconductor device structure and methods of forming the sameHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11309213Method for manufacturing semiconductor structureLiao Yu-Hsiang; Li Ya-Huei; Chu Li-Wei; Nieh Chun-Wen; Huang Hung-Yi;  Chang Chih-Wei; Su Ching-Hwanq
11309217Contact plug and method of formationLi Ya-Huei; Chu Li-Wei; Liao Yu-Hsiang; Huang Hung-Yi; Chang Chih-Wei; Su  Ching-Hwanq
11309223Method of forming semiconductor device package having dummy devices on a  first dieYu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei; Liu Hui-Wen;  Yuan Ching-Pin
11309225Fan-out package structure and method of manufacturing the sameTai Chih-Hsuan; Chen Chih-Hua; Tsai Hao-Yi; Huang Yu-Chih; Liu Chia-Hung;  Kuo Ting-Ting
11309226Three-dimensional integrated circuit structures and methods of forming  the sameLin Chia-Min; Hsieh Ching-Hua; Lin Chih-Wei; Chiu Sheng-Hsiang; Weng  Sheng-Feng; Lai Yao-Tong
11309240Conductive rail structure for semiconductor devicesLiao Yi-Bo; Lee Wei Ju; Chung Cheng-Ting; Chen Hou-Yu; Cheng Chun-Fu;  Cheng Kuan-Lun
11309241Protection liner on interconnect wire to enlarge processing window for  overlying interconnect viaYang Shin-Yi; Huang Hsin-Yen; Lee Ming-Han; Shue Shau-Lin; Chan Yu-Chen;  Lu Meng-Pei
11309242Package component, semiconductor package and manufacturing method thereofLiang Fang-Yu; Wu Kai-Chiang
11309243Package having different metal densities in different regions and  manufacturing method thereofChen Hsien-Wei; Chen Jie; Chen Ming-Fa; Jan Sen-Bor
11309244Electrical fuse structure and method of formationWu Shien-Yang; Kung Wei-Chang
11309247Semiconductor device, and associated method and systemPeng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng
11309258Semiconductor structureWu Tung-Jiun; Lu Yinlung; Chang Mingni; Wang Ming-Yih
11309265Methods of fabricating semiconductor devices having conductive pad  structures with multi-barrier filmsHuang Po-Hsun; Wang Po-Han; Lee Ing-Ju; Chen Chao-Lung; Wu Cheng-Ming
11309268Method of designing a layout, method of making a semiconductor structure  and semiconductor structureSingh Gulbagh; Lee Chih-Ming; Lin Chi-Yen; Kuo Wen-Chang; Liu C. C.
11309289Integrated circuit package having heat dissipation structureChen Ming-Fa; Chen Hsien-Wei; Yu Chen-Hua
11309291Die stack structure and manufacturing method thereofChen Hsien-Wei; Chen Jie; Chen Ming-Fa; Yeh Sung-Feng; Chen Ying-Ju
11309294Integrated fan-out packages and methods of forming the sameYu Chen-Hua; Pan Kuo Lung; Kuo Tin-Hao; Tsai Hao-Yi; Lin Hsiu-Jen; Pei  Hao-Jan; Hsieh Ching-Hua
11309302Manufacturing method of semiconductor package including thermal  conductive blockChen Shih-Wei; Chen Chih-Hua; Pan Hsin-Yu; Tsai Hao-Yi; Chuang Lipu Kris;  Kuo Tin-Hao
11309306Stack-gate circuitYang Yu-Tao; Chou Wen-Shen; Peng Yung-Chow
11309307Integrated circuit filler and method thereofLo Tseng Chin; Chang Molly; Tseng Ya-Wen; Sun Chih-Ting; Li Zi-Kuan;  Chang Bo-Sen; Lin Geng-He
11309311Methods of resistance and capacitance reduction to circuit output nodesLai Po-Chia; Fang Shang-Wei; Shen Meng-Hung; Tzeng Jiann-Tyng; Chiang  Ting-Wei; Yang Jung-Chan; Rusu Stefan
11309342Dummy vertical transistor structure to reduce cross talk in pixel sensorTsao Tsun-Kai; Lu Jiech-Fun; Chou Shih-Pei
11309347Integrated circuit photodetectorHsu Chun-Wei; Hung Tsai-Hao; Lin Chung-Yu; Chen Ying-Hsun
11309348High density image sensorTakahashi Seiji; Wang Chen-Jong; Yaung Dun-Nian; Sze Jhy-Jyi; Huang Yimin
11309353Spacer-defined back-end transistor as memory selectorGoto Ken-Ichi; Lin Chung-Te; Manfrini Mauricio
11309385Strained nanowire CMOS device and method of formingPeng Cheng-Yi; Chiang Hung-Li; Yang Yu-Lin; Yeh Chih Chieh; Yeo Yee-Chia;  Liu Chi-Wen
11309396Semiconductor device and manufacturing method thereofWu Wei-Hao; Lin Zhi-Chang; Hsu Ting-Hung; Cheng Kuan-Lun
11309398Semiconductor device and manufacturing method for the semiconductor  devicePeng Chun-Yen; Lai Te-Yang; Young Bo-Feng; Chang Chih-Yu; Yeong Sai-Hooi;  Chui Chi On
11309403Fin field-effect transistor device and method of forming the sameLin Shih-Yao; Kao Kuei-Yu; Lin Chih-Han; Chang Ming-Ching; Chen  Chao-Cheng
11309417Method of manufacturing a semiconductor device and a semiconductor deviceDuriez Blandine; van Dal Mark; Holland Martin Christopher; Doornbos  Gerben
11309418Contact structure for FinFET semiconductor deviceLi Kun-Mu; Chen Liang-Yi; Hsiao Wen-Chu
11309419Semiconductor device and manufacturing method thereofHsu Chao-Wei
11309423Fin field effect transistor (finFET) device structure and method for  forming the sameChen Wei-Barn; Kuo Ting-Huang; Jangjian Shiu-Ko; Jeng Chi-Cherng
11309424Semiconductor device and manufacturing method thereofLin Zhi-Chang; Chen Shih-Cheng; Chang Jung-Hung; Chang Lo-Heng; Yao  Chien-Ning
11309489Magnetic tunnel junction with low defect rate after high temperature  anneal for magnetic device applicationsLiu Huanlong; Lee Yuan-Jen; Zhu Jian; Jan Guenole; Wang Po-Kang
11309490Memory devices and methods of forming the sameWu Chao-I
11309491Data storage structure for improving memory cell reliabilityTrinh Hai-Dang; Wu Chii-Ming; Tsai Cheng-Yuan; Tsai Tzu-Chung; Jiang  Fa-Shen
11309492Multi-layer structure to increase crystalline temperature of a selector  deviceTrinh Hai-Dang
11309685Techniques for vertical cavity surface emitting laser oxidationChen Chen Yu; Liu Ming Chyi; Chen Jhih-Bin
11312615Method to form a rough crystalline surfaceChen Ting-Jung
11312623Semiconductor structure for MEMS deviceLiu Yu-Chia; Chu Chia-Hua; Cheng Chun-Wen; Peng Jung-Huei
11312882CMP slurry solution for hardened fluid materialLin Kuo-Yin; Liu Wen-Kuei; Tsai Teng-Chun; Lee Shen-Nan; Lien Kuo-Cheng;  Lin Chang-Sheng; Chou Yu-Wei
11314164Structure and method of reticle pod having inspection windowShih Wang Cheng; Chang Hao-Ming; Huang Chung-Yang; Lin Cheng-Ming
11314169Robust, high transmission pellicle for extreme ultraviolet lithography  systemsLin Yun-Yue
11314914Method and non-transitory computer readable medium of operating an  electronic design automation platform for an optimal intgrated circuit designYang Tsun-Yu; Fu Ren-Hong; Kuo Chin-Cheng; Kuan Jui-Feng
11315785Epitaxial blocking layer for multi-gate devices and fabrication methods  thereofChiang Hsin-Che; Kao Wei-Chih; Liang Chun-Sheng; Pan Kuo-Hua
11315805Cross-wafer RDLs in constructed wafersYu Chen-Hua; Kuo Tin-Hao
11315810Apparatus for wafer processingTseng Tung-Ching; Yang Sung-Po; Lee Feng-Tao; Chen Shih Fang
11315815Wafer container and method for holding waferTseng Po-Hsun; Liu Yan-Hong; Tan Wen-Han; Chen Hung-Wen
11315828Metal oxide composite as etch stop layerCheng Kai-Fang; Teng Chi-Lin; Huang Hsin-Yen; Chen Hai-Ching
11315829Amorphous layers for reducing copper diffusion and method forming sameLin Jyh-Nan; Wu Chia-Yu; Hsu Kai-Shiung; Liu Ding-I
11315834FinFETs with epitaxy regions having mixed wavy and non-wavy portionsMore Shahaji B.
11315837Semiconductor device and methodLee Yi-Jing; Yang Tsung-Hsi; Yu Ming-Hua
11315838FinFET device and method of forming sameLin Chien; Lee Kun-Yu; More Shahaji B.; Lee Cheng-Han; Chang Shih-Chieh
11315855Package structure with photonic die and methodChen Hsien-Wei; Chen Ming-Fa; Chen Ying-Ju
11315860Semiconductor package and manufacturing process thereofChu Li-Huan; Chen Hsu-Hsien; Lin Liang-Chen; Hsieh Tsung-Yang; Lee  Hsin-Hsien; Tsai Kuen-Hong
11315861Method for forming a homogeneous bottom electrode via (BEVA) top surface  for memoryChen Hsia-Wei; Chu Wen-Ting; Liao Yu-Wen
11315862Semiconductor structure and manufacturing method thereofHo Kuan-Lin; Chen Chin-Liang; Wu Jiun-Yi; Yu Chi-Yang; Liang Yu-Min; Chen  Wei-Yu
11315874Cell structure with intermediate metal layers for power suppliesTien Li-Chun; Chen Chih-Liang; Zhuang Hui-Zhong; Chen Shun Li; Chen Ting  Yu
11315878Photonics integrated circuit packageKuo Feng Wei; Jou Chewn-Pu; Chen Shuo-Mao
11315891Methods of forming semiconductor packages having a die with an  encapsulantTsai Chung-Hao; Lin Chia-Chia; Wu Kai-Chiang; Wang Chuei-Tang; Yu  Chen-Hua
11315896Conical-shaped or tier-shaped pillar connectionsKuo Tin-Hao; Chen Chen-Shien; Lii Mirng-Ji; Yu Chen-Hua; Wu Sheng-Yu;  Chuang Yao-Chun
11315900Bonded semiconductor devices and methods of forming the sameYu Chen-Hua; Shao Tung-Liang; Tung Chih-Hang
11315921Integrated circuit with anti-punch through controlHo Yi-Chen; Lin Chien; Lin Tzu-Wei; Hsieh Ju Ru; Lai Ching-Lun; Lo  Ming-Kai
11315924Isolation structure for preventing unintentional merging of epitaxially  grown source/drainLin Ta-Chun; Yeh Kuan-Lin; Lin Chun-Jun; Pan Kuo-Hua; Chiang Mu-Chi
11315925Uniform gate width for nanostructure devicesHuang Jui-Chien; Chen Shih-Cheng; Wang Chih-Hao; Chiang Kuo-Cheng; Lin  Zhi-Chang; Chang Jung-Hung; Chang Lo-Heng; Ju Shi Ning; Chen Guan-Lin
11315931Embedded transistorTing Yu-Wei; Tsai Chun-Yang; Huang Kuo-Ching
11315933SRAM structure and method for forming the sameWen Ming-Chang; Hsu Kuo-Hsiu; Tian Jyun-Yu; Wu Wan-Yao; Chang Chang-Yun;  Chen Hung-Kai; Hung Lien Jung
11315936Memory device and manufacturing method thereofChang Meng-Sheng; Huang Chia-En; Chiu Yi-Hsun; Wang Yih
11315972BSI image sensor and method of forming sameHsu Hung-Wen; Lu Jiech-Fun; Tu Yeur-Luen; Chen U-Ting; Tsai Shu-Ting;  Cheng Hsiu-Yu
11316023Dumbbell shaped self-aligned capping layer over source/drain contacts and  method thereofHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11316026Recessed channel structure in FDSOILiu Ming Chyi
11316030Fin field-effect transistor device and methodChang Che-Lun; Cheng Shiao-Shin; Tsai Ji-Yin; Tsai Yu-Lin; Huang  Hsin-Chieh; Wu Ming-Yuan; Kuo Jiun-Ming; Huang Ming-Jie; Wang Yu-Wen; Hsu  Che-Yuan
11316033Semiconductor device and manufacturing method thereofChen Jin-Dah; Chen Stan
11316034Post-formation mends of dielectric featuresKao Wan-Yi; Lin Hung Cheng; Chang Che-Hao; Lu Yung-Cheng; Chui Chi On
11316039Method for manufacturing semiconductor deviceWu Chao-Hsin; Chang Li-Cheng; Dai Cheng-Jia; Yang Shun-Cheng
11316046Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.; Tsai Chun Hsiung
11316047Structure and formation method of semiconductor device with monoatomic  etch stop layerKo Chung-Ting; Lu Bo-Cyuan; Li Jr-Hung; Chui Chi-On
11316096Memory deviceChuang Harry-Hak-Lay; Wang Hung Cho; Ong Tong-Chern; Chu Wen-Ting; Liao  Yu-Wen; Shen Kuei-Hung; Tu Kuo-Yuan; Huang Sheng-Huang
11316098High thermal stability by doping of oxide capping layer for spin torque  transfer (STT) magnetic random access memory (MRAM) applicationsJan Guenole; Iwata Jodi Mari; Tong Ru-Ying; Lui Huanlong; Lee Yuan-Jen;  Zhu Jian
11316103Combined physical and chemical etch to reduce magnetic tunnel junction  (MTJ) sidewall damageShen Dongna; Wang Yu-Jen; Tong Ru-Ying; Sundar Vignesh; Patel Sahil
11316473Oscillator circuit, device, and methodLin Chi-Hsien; Chen Ho-Hsiang; Liao Hsien-Yuan; Yeh Tzu-Jin; Lu Ying-Ta
11316548Channel loss compensation circuitsCho Lan-Chou; Jou Chewn-Pu; Kuo Feng Wei; Chen Huan-Neng; Shen William Wu
11318506Apparatus for cleaning semiconductor equipmentLiu Shih-Kuo; Chang Chia-Hsun; Koai Keith Kuang-Kuo; Cheah Wai Hong; Hung  Ming-Chuan
11318579Multiple nozzle slurry dispense schemeHsieh Chih-Hsuan; Huang Tseng-Hsuan; Liao Chen-Hsiang
11320395BioFET and method of manufacturing the sameLin Ching-Hui; Cheng Chun-Ren; Huang Jui-Cheng; Huang Shih-Fen; Chen  Tung-Tsun; Huang Yu-Jie; Huang Fu-Chun
11320733Reticle with conductive material structureChang Hsiao-Lun; Kuo Chueh-Chi; Lee Tsung-Yen; Fu Tzung-Chi; Chen Li-Jui;  Cheng Po-Chung; Hsu Che-Chang
11320738Pattern formation method and material for manufacturing semiconductor  devicesWang Chien-Wei; Chang Ching-Yu; Chang Shang-Wern; Chen Yen-Hao
11320742Method and system for generating photomask patternsHu Yen-Tung; Chen Kuan-Chi; Wu Ya-Hsuan; Lin Shiuan-Li; Huang Chih-Chung;  Tsai Chi-Ming
11320744Method and apparatus for controlling extreme ultraviolet lightChen Ssu-Yu; Chien Shang-Chieh; Chen Li-Jui
11320747Method of manufacturing a semiconductor device and apparatus for  manufacturing the semiconductor deviceYu Shinn-Sheng; Liu Ru-Gun; Huang Hsu-Ting; Yamazoe Kenji; Chen Minfeng;  Chou Shuo-Yen; Lin Chin-Hsiang
11322185Active random access memoryChen Hsin-Cheng; Jiang Jung-Rung; Huang Yen-Hao
11322188Memory device having bitline segmented into bitline segments and related  method for operating memory deviceLu Shih-Lien Linus; Chang Fong-Yuan; Shih Yi-Chun
11322195Compute in memory systemSinangil Mahmut
11322198Multi word line assertionFujiwara Hidehiro; Pan Hsien-Yu; Lin Chih-Yu; Chen Yen-Huei; Zhao  Wei-Chang
11322338Sputter target magnetHe Ming-Jie; Yang Shawn; Lo Szu-Hsien; Tseng Shuen-Liang; Cheng  Wen-Cheng; Chung Chen-Fang; Hsueh Chia-Lin; Chuang Kuo-Pin
11322345Post-CMP cleaning and apparatusHuang Fu-Ming; Chen Liang-Guang; Chang Ting-Kui; Lin Chun-Chieh
11322360Method of manufacturing semiconductor structureHu Yu-Hsiang; Chen Wei-Yu; Kuo Hung-Jui; Lin Wei-Hung; Cheng Ming-Da; Liu  Chung-Shi
11322362Landing metal etch process for improved overlay controlHsiao Chih-Min; Lai Chih-Ming; Lai Chien-Wen; Chang Ya Hui; Liu Ru-Gun
11322391Interconnect structure without barrier layer on bottom surface of viaKuo Tz-Jun; Ho Chien-Hsin; Lee Ming-Han
11322393Method of forming a semiconductor deviceSu Yi-Nien; Suen Shu-Huei; Shieh Jyu-Horng; Liu Ru-Gun
11322394Contact formation method and related structureWang Chao-Hsun; Hsueh Wang-Jung; Chao Kuo-Yi; Wang Mei-Yun
11322395Dielectric capping structure overlying a conductive structure to increase  stabilityHuang Hsin-Yen; Teng Chi-Lin; Chen Hai-Ching; Shue Shau-Lin; Lee  Shao-Kuan; Lee Cheng-Chin; Lo Ting-Ya
11322396Etch stop layer for semiconductor devicesTung Szu-Ping; Wang Jen Hung; Pan Shing-Chyang
11322397Method of manufacturing semiconductor devices including formation of  adhesion enhancement layerChen Hsiao-Min; Lin Jyh-Nan; Hsu Kai-Shiung; Liu Ding-I
11322409Multi-gate devices and method of fabricating the sameChang Lo-Heng; Wang Chih-Hao; Chiang Kuo-Cheng; Chang Jung-Hung; Wang  Pei-Hsun
11322410Threshold voltage tuning for fin-based integrated circuit deviceCheng Chung-Liang; Chen Wei-Jen; Chen Yen-Yu; Lin Ming-Hsien
11322411Pre-deposition treatment for FET technology and devices formed therebyTsai Cheng-Yen; Wu Chung-Chiang; Hwang Tai-Wei; Chung Hung-Chin; Lee  Wei-Chin; Lee Da-Yuan; Su Ching-Hwanq; Chuang Yin-Chuan; Liu Kuan-Ting
11322412Forming nitrogen-containing low-K gate spacerKao Wan-Yi; Ko Chung-Chi
11322419Package with tilted interface between device die and encapsulating  materialChiu Ming-Yen; Huang Hsin-Chieh; Chang Ching Fu
11322421Package structure and method of forming the sameChang Mao-Yen; Lin Chih-Wei; Tsai Hao-Yi; Pan Kuo-Lung; Lin Chun-Cheng;  Kuo Tin-Hao; Lai Yu-Chia; Tai Chih-Hsuan
11322443Standard cell layout for better routabilityZohrabyan Tigran; Shin YangJae; Bregman Konstantin; Villanueva Rolando  A.; Sun Yunle
11322447Dual-sided routing in 3D SiP structureTsai Po-Hao; Chuang Po-Yao; Lin Meng-Liang; Wu Yi-Wen; Jeng Shin-Puu;  Wong Techi
11322449Package with fan-out structuresJeng Shin-Puu; Tsai Po-Hao; Chuang Po-Yao; Wong Techi
11322450Chip package and method of forming the sameHu Yu-Hsiang; Yu Chen-Hua; Kuo Hung-Jui
11322453Semiconductor package having channels formed between  through-insulator-viasHsu Sen-Kuei
11322464Film structure for bond padYang Julie; Wu Chii-Ming; Tsai Tzu-Chung; Chang Yao-Wen
11322470Optical semiconductor package and method for manufacturing the sameWang Chuei-Tang; Chang Chih-Chieh; Liao Yu-Kuang; Hsia Hsing-Kuo; Chang  Chih-Yuan; Hsieh Jeng-Shien; Yu Chen-Hua
11322477Package structure and method of fabricating the sameChen Ming-Fa; Wu Nien-Fang; Yeh Sung-Feng; Liu Tzuan-Horng; Shih Chao-Wen
11322479Semiconductor packages and manufacturing methods thereofLiu Zi-Jheng; Kuo Chen-Cheng; Kuo Hung-Jui
11322481Hybrid bonding technology for stacking integrated circuitsWu Kuo-Ming; Wang Ching-Chun; Yaung Dun-Nian; Lin Hsing-Chih; Liu  Jen-Cheng; Kao Min-Feng; Lin Yung-Lung; Huang Shih-Han; Chen I-Nan
11322493Method (and related apparatus) for forming a semiconductor device with  reduced spacing between nanostructure field-effect transistorsLin Zhi-Chang; Su Huan-Chieh; Chiang Kuo-Cheng
11322495Complementary metal-oxide-semiconductor device and method of  manufacturing the sameVellianitis Georgios
11322505Ferroelectric random access memory devices and methodsYoung Bo-Feng; Yeong Sai-Hooi; Chui Chi On
11322519Semiconductor device and method of fabricating the sameDoornbos Gerben; Manfrini Mauricio
11322540Pad structure for front side illuminated image sensorHsu Kai-Chun; Wang Ching-Chun; Yaung Dun-Nian; Lin Jeng-Shyan; Ting  Shyh-Fann
11322543Method for MRAM top electrode connectionChuang Harry-Hak-Lay; Wang Hung Cho; Chen Sheng-Chang; Huang Sheng-Huang
11322576Inductive deviceChou Wei-Yu; Chen Yang-Che; Lin Chen-Hua; Liang Victor Chiang; Tseng  Huang-Wen; Liu Chwen-Ming
11322577Negative capacitance FET with improved reliability performanceLu Chun-Chieh; Peng Cheng-Yi; Lee Chien-Hsing; Yeh Ling-Yen; Chang  Chih-Sheng; Diaz Carlos H.
11322580Titanium layer as getter layer for hydrogen in a MIM deviceShih Chi-Yuan; Chang Kai-Fung; Huang Shih-Fen; Liao Yan-Jie
11322603Anti-punch-through doping on source/drain regionChang Hsiao-Chun; Shen Guan-Jie
11322609High voltage deviceWang Hung-Sen; Tsai Yun-Ta; Liu Ruey-Hsin; Huang Shih-Fen; Liou Ho-Chun
11322618Semiconductor device and manufacturing method thereofChang Che-Cheng; Lin Chih-Han
11322619Semiconductor device structure and method for forming the sameLin Yu-Chao; Yun Wei-Sheng; Lee Tung-Ying
11322680MRAM cell, MRAM and IC with MRAMYuh Perng-Fei; Wang Yih
11323068Oscillator circuit and deviceLin Chi-Hsien; Chen Ho-Hsiang; Liao Hsien-Yuan; Yeh Tzu-Jin; Lu Ying-Ta
11327228Photonic device and fabrication method thereofHsu Sui-Ying; Lee Yueh-Ying; Wu Chien-Ying; Huang Chen-Hao; Lee  Chien-Chang; Lai Chia-Ping
11327405Method of manufacturing photo masksChen Chien-Cheng; Chen Chia-Jen; Lee Hsin-Chang; Chang Shih-Ming; Shen  Tran-Hui; Ho Yen-Cheng; Hsu Chen-Shao
11327860Memory device and methods for programming and reading memory deviceChih Yu-Der; Chang Jonathan Tsung-Yung
11328762Write driver boost circuit for memory cellsJain Sanjeev Kumar
11328788Method and system for replacement of memory cellsNoguchi Hiroki
11328931Method of manufacturing a semiconductor deviceLin En-Ping; Ko Yu-Ling; Wang I-Chung; Chen Yi-Jen; Jou Sheng-Kai; Liao  Chih-Teng
11328936Structure and formation method of package structure with underfillHuang Kuan-Yu; Huang Sung-Hui; Lai Jui-Hsieh; Hou Shang-Yun
11328952Interconnect structure and methodChou Chia-Cheng; Chi Chih-Chien; Ko Chung-Chi; Chang Yao-Jen; Kao  Chen-Yuan; Kuo Kai-Shiang; Shih Po-Cheng; Lee Tze-Liang; Ruan Jun-Yi
11328957Semiconductor device and manufacturing method thereofPeng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng
11328958Semiconductor device having planar transistor and FinFETChen Wei-Barn; Kuo Ting-Huang; Jangjian Shiu-Ko; Jeng Chi-Cherng; Lo  Kuang-Yao
11328959Semiconductor structure and related methodsChou Hung-Jiu; Peng Yuan-Ching; Kuo Jiun-Ming
11328960Semiconductor structure with gate-all-around devices and stacked FinFET  devicesChu Feng-Ching; Lee Wei-Yang; Lin Chia-Pin
11328962Notched gate structure fabricationChen Chang-Yin; Chang Che-Cheng; Lin Chih-Han
11328963Multi-gate device and related methodsPan Kuan-Ting; Su Huan-Chieh; Lin Zhi-Chang; Ju Shi Ning; Jhan Yi-Ruei;  Chiang Kuo-Cheng; Wang Chih-Hao
11328965Systems and methods for suction pad assembliesChao Yu-Hsiang; Lei Chi-Ping
11328971Semiconductor device and method of manufactureYeh Shu-Shen; Lin Po-Yao; Leu Shyue-Ter; Jeng Shin-Puu; Huang Chih-Kung;  Yeh Tsung-Ming
11328972Temporary bonding schemeLee Wan-Yu; Kuo Ying-Hao; Yee Kuo-Chung
11328975Semiconductor deviceYu Chen-Hua; Yu Chun-Hui; Hung Jeng-Nan; Yee Kuo-Chung; Lin Po-Fan
11328982Air gap seal for interconnect air gap and method of fabricating thereofWu Xusheng; Lin Youbo
11328990Via structure having a metal hump for low interface resistanceYu Li-Zhen; Huang Lin-Yu; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao
11328991Semiconductor structure and method making the sameHuang Hsin-Yen; Cheng Kai-Fang; Teng Chi-Lin; Chen Hai-Ching; Bao Tien-I
11329006Semiconductor device package with warpage control structureYew Ming-Chih; Li Fu-Jen; Lin Po-Yao; Liu Kuo-Chuan
11329008Method for manufacturing semiconductor package for warpage controlChen Chen-Shien; Cheng Ming-Da; Yew Ming-Chih; Su Yu-Tse
11329022Packages for semiconductor devices, packaged semiconductor devices, and  methods of packaging semiconductor devicesYang Ching-Jung; Wang Yen-Ping
11329031Structure and formation method for chip packageHung Jui-Pin; Huang Cheng-Lin; Liu Hsien-Wen; Jeng Shin-Puu
11329042Gate structures having neutral zones to minimize metal gate boundary  effects and methods of fabricating thereofPao Chia-Hao; Chen Chih-Hsuan; Hung Lien Jung; Lin Shih-Hao
11329043Semiconductor device with improved device performanceWang Chih-Hao; Cao Min; Chang Shang-Wen
11329083Wafer level image sensor packageWu Wen-Hau; Chuang Chun-Hao; Hashimoto Kazuaki; Chou Keng-Yu; Chiang  Wei-Chieh; Huang Cheng Yu
11329094Image sensorTsai Bo-Tsung
11329101Method and related apparatus for improving memory cell performance in  semiconductor-on-insulator technologyLiu Jack; Young Charles Chew-Yuen
11329124Semiconductor device structure with magnetic elementKu Chin-Yu; Chen Chi-Cheng; Huang Hon-Lin; Huang Wei-Li; Wu Chun-Yi; Chen  Chen-Shien
11329125Integrated circuit including trench capacitorKuo Wen-Feng; Tseng Chung-Chuan; Lai Chia-Ping
11329128High voltage device with gate extensionsChen Jhih-Bin; Liu Ming Chyi
11329139Semiconductor device with reduced trap defect and method of forming the  sameTsai Chun Hsiung; Yu Kuo-Feng; Lin Yu-Ming; Wann Clement Hsingjen
11329140Semiconductor device and method of manufactureHuang Chen-Huang; Sie Ming-Jhe; Chang Cheng-Chung; Hsu Shao-Hua; Jang  Shu-Uei; Wei An Chyi; Wang Shiang-Bau; Chen Ryan Chia-Jen
11329141Spacer structure with high plasma resistance for semiconductor devicesKao Wan-Yi; Ko Chung-Chi
11329148Semiconductor device having doped seed layer and method of manufacturing  the sameChen Chi-Ming; Liu Po-Chun; Yu Chung-Yi; Tsai Chia-Shiung; Lee Ru-Liang
11329159Strained structure of a semiconductor deviceCheng Chun-Fai; Fung Ka-Hing; Huang Li-Ping; Lu Wei-Yuan
11329160FinFET gate structureWu Cheng-Ta; Jangjian Shiu-Ko; Sun Chung-Ren; Chen Ming-Te; Wang  Ting-Chun; Cheng Jun-Jie
11329163Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.; Tsai Chun Hsiung
11329165Structure and formation method of semiconductor device with isolation  structureChiang Kuo-Cheng; Su Huan-Chieh; Pan Kuan-Ting; Ju Shi-Ning; Wang  Chih-Hao
11329168Semiconductor device with fish bone structure and methods of forming the  sameYang Chih-Chuan; Hsu Kuo-Hsiu
11329216Magnetic tunnel junction devicesTien Hsi-Wen; Liao Wei-Hao; Dai Pin-Ren; Lu Chih-Wei; Lee Chung-Ju
11329218Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM  devicesYang Yi; Wang Yu-Jen
11329221Electrode structure to improve RRAM performanceChu Wen-Ting; Ong Tong-Chern; Wang Ying-Lang
11329647Radio frequency switch circuitLiang Garming; Yeh En-Hsiang
11329659Hybrid analog-to-digital converter with inverter-based residue amplifierKinyua Martin; Soenen Eric
11333708Built-in self test circuit for measuring phase noise of a phase locked  loopChou Mao-Hsuan; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien
11333827Protective ring structure to increase waveguide performanceChang Yung-Chang; Lin Meng-Han
11333983Light source, EUV lithography system, and method for generating EUV  radiationYang Chi; Chen Ssu-Yu; Chien Shang-Chieh; Hsieh Chieh; Fu Tzung-Chi; Liu  Bo-Tsun; Chen Li-Jui; Cheng Po-Chung
11334080Systems and methods for raised floor automated sensor vehiclesHu Cheng-Kang; Chen Cheng-Hung; Chen Yan-Han; Wu Feng-Kuang; Liu  Hsu-Shui; Pai Jiun-Rong; Kuo Shou-Wen
11334703Integrated circuit layouts with fill feature shapesYeh Yu-Cheng; Wang Yen-Sen; Lin Ming-Yi
11335424One-time programmable memory bit cellChang Meng-Sheng; Yang Yao-Jen; Wu Min-Shin
11335552Structure and formation method of semiconductor device with oxide  semiconductor channelChang Chih-Yu; Yeong Sai-Hooi; Lin Yu-Ming
11335553Bonded semiconductor structuresLin Jing-Cheng
11335562Self-aligned contact and manufacturing method thereofTang Bang-Tai; Huang Tai-Chun
11335579Method for manufacturing a semiconductor package and method for testing  bonding strength of composite specimenWang Chih; Kuo Hung-Jui; Tsai Hui-Jung
11335585Vacuum wafer chuck for manufacturing semiconductor devicesLiao Chung-Hsien; Hsieh Chin-Shen
11335589Semiconductor device and method of manufactureHsu Yao-Wen; Ku Ming-Che; Yang Neng-Jye; Wang Yu-Wen
11335592Contact resistance between via and conductive lineChen Chun-Yuan; Chiu Shih-Chuan; You Jia-Chuan; Chang Chia-Hao; Lin  Tien-Lu; Lin Yu-Ming
11335593Interconnect structure of semiconductor device including barrier layer  located entirely in viaShen Bo-Jhih; Chiu Yi-Wei; Chang Hung Jui
11335596Selective deposition for integrated circuit interconnect structuresHuang Hsin-Yen; Lee Shao-Kuan; Lee Cheng-Chin; Chen Hai-Ching; Shue  Shau-Lin
11335603Multi-layered insulating film stackWang Chieh-Ping; Chen Ting-Gang; Lu Bo-Cyuan; Huang Tai-Chun; Chui Chi On
11335604Method of manufacturing a semiconductor device and a semiconductor deviceCheng Chao-Ching; Chen I-Sheng; Chiang Hung-Li; Chen Tzu-Chiang; Chang  Kai-Tai
11335606Power rails for stacked semiconductor deviceYang Chansyun David; Chang Keh-Jeng; Yang Chan-Lon
11335610Semiconductor structure including interconnection to probe pad with probe  mark and method of manufacturing the sameChen Hsien-Wei; Yang Ching-Jung; Chen Jie
11335634Chip package structure and method for forming the sameChen Yu-Huan; Hsu Kuo-Ching; Chen Chen-Shien
11335638Reducing RC delay in semiconductor devicesSingh Gulbagh; Chuang Kun-Tsang; Wang Po-Jen
11335654Devices and methods for enhancing insertion loss-performance of an  antenna switchJin Jun-De
11335655Package structure and manufacturing method thereofWan Albert; Shih Chao-Wen; Chang Shou-Zen; Chuang Nan-Chin
11335656Semiconductor device and method of manufacturingHu Chih-Chia; Yuan Ching-Pin; Yeh Sung-Feng; Jan Sen-Bor; Chen Ming-Fa
11335658Multi-chip package and method of formationLin Jing-Cheng; Yu Chen-Hua; Hung Jui-Pin; Yeh Der-Chyang
11335666Memory device and manufacturing method thereofHuang Ching-Yu; Pu Han-Ping; Liu Ming-Kai; Ko Ting-Chu; Chiang Yung-Ping;  Huang Chang-Wen; Hsieh Yu-Sheng
11335672Semiconductor structure and manufacturing method thereofLu Hsiang-Tai; Chen Shuo-Mao; Wang Mill-Jer; Hsu Feng-Cheng; Yang  Chao-Hsiang; Jeng Shin-Puu; Hong Cheng-Yi; Lin Chih-Hsien; Chen Dai-Jang; Lin  Chen-Hua
11335681Fin-type field effect transistor structure and manufacturing method  thereofChang Che-Cheng; Lin Chih-Han
11335716Photosensing pixel, image sensor and method of fabricating the sameHuang Sin-Yao; Hung Feng-Chi; Lin Chen-Hsien; Hsu Tzu-Hsuan; Lu Yan-Chih
11335721Backside illuminated image sensor device with shielding layerChien Volume; Chang Su-Hua; Wei Chia-Yu; Huang Zen-Fong; Jeng Chi-Cherng
11335726Lens structure configured to increase quantum efficiency of image sensorLu Jiech-Fun; Kuo Chun-Tsung
11335767Package structure and method of fabricating the sameYu Chen-Hua; Wang Chuei-Tang; Tang Tzu-Chun; Chen Wei-Ting; Chen  Chieh-Yen
11335774Contact structure for semiconductor device and methodTsai Yan-Ming; Chang Chih-Wei; Tsai Ming-Hsing; Lin Sheng-Hsuan; Chen  Hung-Hsu; Loh Wei-Yip
11335776Hybrid channel semiconductor device and methodWang Pei-Yu; Wang Pei-Hsun
11335784Field plate structure for high voltage deviceHo Chia-Cheng; Lu Hui-Ting; Wang Pei-Lun; Jong Yu-Chang; Jhou Jyun-Guan
11335786Gate structure in high-&#x3ba; metal gate technologyWu Wei Cheng; Kalnitsky Alexander; Lo Shih-Hao; Ko Hung-Pin
11335806Semiconductor device structure and method for forming the sameYeong Sai-Hooi; Chui Chi-On; Yao Chien-Ning
11335809Stacked Gate-All-Around FinFET and method forming the sameChiang Kuo-Cheng; Liu Chi-Wen; Leung Ying-Keung
11335811Semiconductor arrangement comprising buffer layer and semiconductor  columns over the buffer layer and formation thereofVellianitis Georgios
11335817Composite etch stop layers for sensor devicesLin Cheng-Han; Chang Chao-Ching; Lin Yi-Ming; Chou Yen-Ting; Chen  Yen-Chang; Li Sheng-Chan; Chou Cheng-Hsien
11336174Charge pump system with low ripple output voltageChou Chung-Cheng; Wang Tien-Yen
11336183Multi-phase voltage regulator systemKinder Russell
11336246Amplifier circuitLin Wei Shuo
11336814Integrated circuit deviceHuang Zen-Fong; Chien Volume
11338472Cutting apparatus with auto chuck cleaning mechanismTseng Chih-Min
11340291Testing holders for chip unit and die packageWang Mill-Jer; Liu Kuo-Chuan; Peng Ching-Nen; Lin Hung-Chih; Chen Hao
11340524Photomask, method of fabricating a photomask, and method of fabricating a  semiconductor structure using a photomaskLiu Tzu Han; Wen Chih-Wei; Lin Chung-Hung
11340525Pellicle and method of manufacturing sameLi Po Hsuan; Lin Yu-Ting; Lin Yun-Yue; Yang Huai-Tei
11340531Target control in extreme ultraviolet lithography systems using  aberration of reflection imageCheng Ting-Ya; Chang Han-Lung; Shann Shi-Han; Chen Li-Jui; Su Yen-Shuo
11340584Synchronized parallel tile computation for large area lithography  simulationPeng Danping; Lei Junjiang; Beylkin Daniel; Ho Kenneth Lik Kin; Trivedi  Sagar; Xu Fangbo
11341308Method and layout of an integrated circuitHanchinal Mahantesh; Ying Shu-Yi; Hu Chi Wei; Tsai Min-Yuan
11342015Memory device and memory circuitChiang Hung-Li; Lin Chung-Te; Lin Shy-Jay; Chen Tzu-Chiang; Song  Ming-Yuan; Wong Hon-Sum Philip
11342016Read circuit for magnetic tunnel junction (MTJ) memoryGupta Gaurav; Wu Zhiqiang
11342019Compensation word line driverPao Chia-Hao; Lin Shih-Hao; Lim Kian-Long
11342025Non-volatile memory deviceTseng Yu-Wen; Yang Tsung-Yu; Huang Chung-Jen
11342164High density plasma chemical vapor deposition chamber and method of usingWu Wei-Ching; Liu Ding-I; Lee Wen-Long
11342177Treatment to control deposition rateKao Wan-Yi; Hsu Kuang-Yuan
11342181Semiconductor devices and methods of manufactureChao Tzu-Ang; Pitner Gregory Michael; Chen Tse-An; Li Lain-Jong; Lin Yu  Chao
11342188Methods for doping high-k metal gates for tuning threshold voltagesYu Kuo-Feng; Tsai Chun Hsiung; Chen Jian-Hao; Wong Hoong Shing; Hsu  Chih-Yu
11342193Method of manufacturing semiconductor devicesLiu Ru-Gun; Lai Chih-Ming; Lin Wei-Liang; Yen Yung-Sung; Hsieh Ken-Hsien;  Lin Chin-Hsiang
11342196Integrated circuit package pad and methods of formingChen Hsien-Wei; Yu Chen-Hua; Wu Chi-Hsi; Yeh Der-Chyang; Su An-Jhih; Chen  Wei-Yu
11342199Wafer carrier assemblyWu Ming-Tung; Kuang Hsun-Chung
11342202Automated wafer cleaningLee Chun-Yu; Peng Sen-Yeo; Peng Chui-Ya
11342219Chemical mechanical polishing topography reset and control on  interconnect metal linesFu Shih-Kang; Lee Ming-Han
11342222Self-aligned scheme for semiconductor device and method of forming the  sameHsueh Hsiu-Wen; Wu Cai-Ling; Tseng Ya-Ching; Chen Chii-Ping; Yang  Neng-Jye
11342225Barrier-free approach for forming contact plugsChen Ching-Yi; Lin Sheng-Hsuan; Loh Wei-Yip; Chen Hung-Hsu; Chang  Chih-Wei
11342228Semiconductor device with multi-layered source/drain regions having  different dopant concentrations and manufacturing method thereofMa Chih-Yu; Pan Zheng-Yang; More Shahaji B.; Chang Shih-Chieh; Lee  Cheng-Han
11342229Method for forming a semiconductor device structure having an electrical  connection structureChiu Shih-Chuan; You Jia-Chuan; Chang Chia-Hao; Chen Chun-Yuan; Lin  Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11342231Integrated circuit device with low threshold voltageCheng Chung-Liang; Fang Ziwei
11342253Package structures and methods for forming the sameHsiao Ching-Wen; Cheng Ming-Da; Lin Chih-Wei; Chen Chen-Shien; Chen  Chih-Hua; Kuo Chen-Cheng
11342255Semiconductor structure and manufacturing method thereofChen Shuo-Mao; Hsu Feng-Cheng; Jeng Shin-Puu
11342266Method for integrating complementary metal-oxide-semiconductor (CMOS)  devices with microelectromechanical systems (MEMS) devices using a flat  surface above a sacrificial layerCheng Chun-Wen; Chu Chia-Hua
11342269Package structure with reinforcement structures in a redistribution  circuit structure and manufacturing method thereofYu Chen-Hua; Lu Chun-Lin; Pu Han-Ping; Wu Kai-Chiang
11342291Semiconductor packages with crack preventing structureChuang Yao-Chun; Shue Hong-Seng; Chiu Chen-Nan; Chu Li-Huan; Lii Mirng-Ji
11342295Electronic assembly, package structure having hollow cylinders and method  of fabricating the sameYu Chen-Hua; Liu Chung-Shi; Tsai Hao-Yi; Kuo Tin-Hao
11342296Semiconductor structure, semiconductor package and method of fabricating  the sameChen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Wang Po-Han
11342297Package structure and manufacturing method thereofChen Ming-Fa; Chen Hsien-Wei; Chen Jie; Jan Sen-Bor; Yeh Sung-Feng
11342302Bonding with pre-deoxide process and apparatus for performing the sameYu Chen-Hua; Huang Ying-Jui; Tung Chih-Hang; Shao Tung-Liang; Hsieh  Ching-Hua; Hwang Chien Ling; Hsiao Yi-Li; Yang Su-Chun
11342306Multi-chip wafer level packagesChen Shuo-Mao; Hsu Feng-Cheng; Huang Han-Hsiang; Liu Hsien-Wen; Jeng  Shin-Puu; Lee Hsiao-Wen
11342309Semiconductor packages and methods of forming sameYu Chen-Hua; Tung Chih-Hang; Yee Kuo-Chung
11342321Manufacturing method of package on package structureKuo Hsuan-Ting; Hsieh Ching-Hua; Chen Cheng-Ting; Lin Hsiu-Jen; Pei  Hao-Jan; Tsai Yu-Peng; Chang Chia-Lun; Tsao Chih-Chiang; Chung Philip  Yu-Shuan
11342322Seal ring structures and methods of forming sameWu Kuo-Ming; Liu Kuan-Liang; Wang Wen-De; Lin Yung-Lung
11342325Integration of multiple fin structures on a single substrateChou Chih-Chao; Wang Chih-Hao; Ju Shi Ning; Chiang Kuo-Cheng; Lan  Wen-Ting
11342326Self-aligned etch in semiconductor devicesChiu Yi-Hsun; Tsai Ching-Wei; Huang Yu-Xuan; Chuang Cheng-Chi; Chang  Shang-Wen
11342334Memory cell and methodLin Meng-Han; Chia Han-Jong; Yeong Sai-Hooi; Chui Chi On; Lin Yu-Ming
11342337Structure and method for FinFET SRAMLiaw Jhon Jhy
11342338Memory device with improved margin and performance and methods of  formation thereofLin Shih-Hao; Lim Kian-Long; Yang Chih-Chuan; Pao Chia-Hao; Lin Jing-Yi
11342340Layout of static random access memory periphery circuitLin Yangsyu; Lee Chi-Lung; Tien Chien-Chi; Cheng Chiting
11342341Integrated circuit layout, method, structure, and systemChang Meng-Sheng; Chen Chien-Ying; Huang Chia-En; Wang Yih
11342343Semiconductor structure and method for manufacturing the sameChang Chih-Yu; Yeong Sai-Hooi; Lin Yu-Ming; Wang Chih-Hao
11342372Image sensor device with reflective layerFang Chun-Chieh; Wu Ming-Chi; Jiang Ji-Heng; Wen Chi-Yuan; Tu Chien-Nan;  Yeh Yu-Lung; Chen Shih-Shiung; Lin Kun-Yu
11342373Manufacturing method of image sensing deviceWu Wei-Chuang; Wang Ming-Tsong; Hung Feng-Chi; Wang Ching-Chun; Liu  Jen-Cheng; Yaung Dun-Nian
11342374Mechanisms for forming image-sensor device with deep-trench isolation  structureLin Jeng-Shyan; Yaung Dun-Nian; Liu Jen-Cheng; Hung Feng-Chi
11342378Magnetic tunnel junction device with residue-protection sidewall spacer  and the method for forming a magnetic tunnel junction device with  residue-protection sidewall spacerHsu Chern-Yow
11342379Trench formation scheme for programmable metallization cell to prevent  metal redepositSung Fu-Ting; Min Chung-Chiang; Tseng Yuan-Tai
11342380Memory devices with selector layer and methods of forming the sameChiang Hung-Li; Chiu Jung-Piao; Chen Tzu-Chiang; Chen Yu-Sheng; Bao Xinyu
11342408Metal-insulator-metal structure and methods of fabrication thereofHuang Chih-Fan; Kao Hung-Chao; Hsiao Yuan-Yang; Hsiao Tsung-Chieh; Shen  Hsiang-Ku; Chen Hui-Chi; Chen Dian-Hau; Chen Yen-Ming
11342413Selective liner on backside via and method thereofHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11342422Method of manufacturing semiconductor device and associated memory deviceXu Nuo; Wu Zhiqiang
11342434Method of manufacturing semiconductor devices and semiconductor devicesMore Shahaji B.; Savant Chandrashekhar Prakash; Yu Tien-Wei; Tsai  Chia-Ming
11342444Dielectric spacer to prevent contacting shortingChen Ting-Gang; Huang Tai-Chun; Wen Ming-Chang; Ku Shu-Yuan; Yang Fu-Kai;  Lee Tze-Liang; Lu Yung-Cheng; Fu Yi-Ting
11342454Semiconductor device and methodChan Chia-Ling; Liu Meng-Yueh; Lin Wei-Ken
11342455Minimization of silicon germanium facets in planar metal oxide  semiconductor structuresWang Yi-Sin; Cheng Shan-Yun; Kao Ching-Hung; Chou Jing-Jyu; Chen Yi-Ting
11342458Semiconductor structure and fabricating method thereofChang Che-Cheng; Cheng Tung-Wen; Chen Chang-Yin; Lin Mu-Tsang
11342501Memory cell, method of forming the same, and semiconductor device having  the sameLin Yu-Chao; Lee Tung-Ying
11343433Image processing apparatus having overlapping sub-regionsGoel Sandeep Kumar; Lee Yun-Han; Mehta Ashok
11344910Spin dispenser module substrate surface protection systemYang Ching-Hai; Kao Yao-Hwan; Li Shang-Sheng; Chen Kuo-Pin; Tseng  Hsiang-Kai; Chen Chuan-Wei
11345591Device for protecting FEOL element and BEOL elementYeh Ping-Chun; Tsai Lien-Yao; Yu Shao-Chi
11345991Semiconductor device, method and machine of manufactureWang Jen-Chun; Lee Ya-Lien; Chi Chih-Chien; Su Hung-Wen
11347001Semiconductor structure and method of fabricating the sameChen Hsien-Wei; Chen Ming-Fa
11347143Cleaning method, method for forming semiconductor structure and system  thereofKo Wu-Hung; Lin Chung-Hung; Wen Chih-Wei
11347920Circuit synthesis optimization for implements on integrated circuitLo Chao-Chun; Huang Boh-Yi; Yu Chih-Yuan Stephen; Chuang Yi-Lin; Hou  Chih-Sheng
11347922Method of fabricating semiconductor device including  standard-cell-adapted power grid arrangementBiswas Hiranmay; Wang Chung-Hsing; Lin Chin-Shen; Yang Kuo-Nan
11347926Optical mode optimization for wafer inspectionChao Bing-Siang
11348638Memory sense amplifier with prechargeLin Zheng-Jun; Chou Chung-Cheng; Tseng Pei-Ling
11348790Apparatus and method for wafer bondingLin Yeong-Jyh; Tu Yeur-Luen; Liang Chin-Wei
11348792Reduce well dopant loss in FinFETs through co-implantationLiu Sih-Jie; Nieh Chun-Feng; Chang Huicheng
11348800Ultra narrow trench patterning with dry plasma etchingChen Chao-Hsuan; Huang Yuan-Sheng
11348811Thermal chamber exhaust structure and methodHsieh Hsien-Chang; Lin Chun-Chih; Shih Tah-Te; Wu Wen-Hsong; Yang  Chune-Te; Su Yu-Jen
11348816Systems and methods for die container warehousingKuo Tsung-Sheng; Huang Chih-Hung; Wang Hsueh-Lei; Chu Yang-Ann; Lee  Hsuan; Pai Jiun-Rong
11348817Wafer transport system and method for transporting wafersChen Wei-Chih; Chen Shi-Chi; Wang Ting-Wei; Wang Jen-Ti; Chuang Kuo-Fong
11348818Slit door assembly and method of operating the sameChen Yen-Ji; Yang Jer-Shien; Lin Chien-Hung; Lin Pei-Sheng
11348828Interconnect structure and method of forming the sameCheng Jye-Yen; Shyu Chen-Yu; Liang Ming-Shuoh
11348829Patterning methods for semiconductor devices and structures resulting  therefromPeng Tai-Yen; Chen Wen-Yen; Chen Chih-Hao
11348830Method of forming trenches with different depthsWang Chao-Hsun; Wang Hsien-Cheng; Wang Mei-Yun
11348835Ion implantation for nano-FETLin Yu-Chang; Nieh Chun-Feng; Chang Huicheng; Yeo Yee-Chia
11348836Semiconductor structure with nanostructure and method for manufacturing  the sameWang Pei-Hsun; Lin Chun-Hsiung; Wang Chih-Hao; Chou Chih-Chao
11348837Semiconductor device and manufacturing method thereofLim Peng-Soon; Fang Zi-Wei
11348839Method of manufacturing semiconductor devices with multiple silicide  regionsLoh Wei-Yip; Tsai Yan-Ming; Chen Hung-Hsu; Chang Chih-Wei; Lin  Sheng-Hsuan
11348840Semiconductor device and methodLiu Wei-Min; Sung Hsueh-Chang; Yeo Yee-Chia
11348841Semiconductor device structure with recessed spacerTsai Ming-Heng; Liang Chun-Sheng; Wu Pei-Lin; Chen Yi-Ren; Chang  Shih-Hsun
11348874Semiconductor packages and forming methods thereofWu Kai-Chiang; Chen Chin-Liang; Wu Jiun-Yi; Wang Yen-Ping
11348879Semiconductor structureWang Rung-De; Liu Chen-Hsun; Ku Chin-Yu; Pang Te-Hsun; Wang Chia-Hua;  Tsai Pei-Shing; Lin Po-Chang
11348881Device crack-stop structure to prevent damage due to dicing crackWu Tung-Ting; Wang Chen-Jong; Liu Jen-Cheng; Huang Yimin; Kuo Chin-Chia
11348884Organic interposer including a dual-layer inductor structure and methods  of forming the sameChiang Wei-Han; Cheng Ming-Da; Cheng Ching-Ho; Chang Wei Sen; Shue  Hong-Seng; Hsiao Ching-Wen; Chen Chun-Hung
11348886Integrated fan-out packageWang Chuei-Tang; Tang Tzu-Chun; Chen Chieh-Yen; Hsu Che-Wei
11348889Semiconductor device and bump formation processHsiao Yi-Li; Yu Chen-Hua; Jeng Shin-Puu; Tung Chih-Hang; Wei Cheng-Chang
11348917Semiconductor device with isolation structureWang Chieh-Ping; Huang Tai-Chun; Lu Yung-Cheng; Chen Ting-Gang; Chui Chi  On
11348920Vertical semiconductor device with steep subthreshold slopeChiang Hung-Li; Huang Szu-Wei; Yeh Chih-Chieh; Yeo Yee-Chia
11348921Semiconductor structure and method of manufacturing the sameChen Wei-Lun
11348926Conductive layers with different thicknessesLiaw Jhon Jhy
11348927Conductive feature formationHuang Yu-Lien
11348929Memory device and method for forming the sameSu Hsin-Wen; Huang Chia-En; Lin Shih-Hao; Hung Lien-Jung; Wang Ping-Wei
11348935Memory devices and method of fabricating sameWu Chang-Ming; Wu Wei Cheng; Liu Shih-Chang; Chuang Harry-Hak-Lay; Tsai  Chia-Shiung
11348944Semiconductor wafer with devices having different top layer thicknessesSingh Gulbagh; Liu Kuan-Liang; Po-Jen Wang; Chuang Kun-Tsang; Chen  Hsin-Chi
11348958Image sensing device with grid structure and fabrication method thereofChen Yun-Hao; Wu Kuo-Yu; Lu Tse-Hua
11349002Isolation structure for for isolating epitaxially grown source/drain  regions and method of fabrication thereofLin Ta-Chun; Yeh Kuan-Lin; Lin Chun-Jun; Pan Kuo-Hua; Chiang Mu-Chi; Liaw  Jhon Jhy
11349004Backside vias in semiconductor deviceYu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao
11349005Silicide structures in transistors and methods of formingTzeng Kai-Di; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun
11349008Negative capacitance transistor having a multilayer ferroelectric  structure or a ferroelectric layer with a gradient doping profileHsu Chi-Hsing; Yeong Sai-Hooi; Chang Chih-Yu; Tsai Ching-Wei; Cheng  Kuan-Lun
11349009High-k gate dielectricPao Chia-Hao; Chen Chih-Hsuan; Lin Yu-Kuan
11349010Schottky barrier diode with reduced leakage current and method of forming  the sameLin Meng-Han; Chen Te-An
11349014Air spacer and method of forming sameSie Ming-Jhe; Huang Chen-Huang; Hsu Shao-Hua; Chang Cheng-Chung; Lee  Szu-Ping; Wei An Chyi; Wang Shiang-Bau; Chen Chia-Jen
11349015Dual metal via for contact resistance reductionCheng Chung-Liang; Chen Yen-Yu
11349016Fin field effect transistor (FinFET) with a liner layerChing Kuo-Cheng; Pan Kuan-Ting; Ju Shi-Ning; Wang Chih-Hao
11349022Tunnel field-effect transistor with reduced trap-assisted tunneling  leakageVasen Timothy; Doornbos Gerben; Passlack Matthias
11349023Integration of p-channel and n-channel E-FET III-V devices without  parasitic channelsKwan Man-Ho; Yao Fu-Wei; Tsai Chun Lin; Yu Jiun-Lei Jerry; Chang Ting-Fu
11349025Multi-channel device to improve transistor speedChiang Hsin-Chih
11349027Structure and method for FinFET device with asymmetric contactLiaw Jhon Jhy
11349035Semiconductor device including non-volatile memory cellsShu Cheng-Bo; Wu Yun-Chi; Huang Chung-Jen
11349069Resistive memory devices using a carbon-based conductor line and methods  for forming the sameChiang Hung-Li; Cheng Chao-Ching; Chen Tzu-Chiang; Li Lain-Jong
11349070Phase-change random access memory device with doped  Ge&#x2014;Sb&#x2014;Te layers and method of making the sameWu Jau-Yi
11349462Selector-based random number generator and method thereofKhwa Win-San; Wu Jui-Jen; Liu Jen-Chieh; Ambrosi Elia; Bao Xinyu; Chang  Meng-Fan
11349484Compensation technique for the nonlinear behavior of digitally-controlled  oscillator (DCO) gainLi Chao Chieh; Yuan Min-Shueh; Staszewski Robert Bogdan; Liao Chia-Chun
11351509Filter with seal treatmentLo Kuan-Hsin; Chang Ching-Yu
11351635Apparatus and method for directional etch with micron zone beam and angle  controlYang Chansyun David; Lin Li-Te; Lin Pinyen
11351648Chemical mechanical polishing apparatus, slurry, and method of using the  sameChou Tung-He; Kuang Hsun-Chung
11353324Method for validating measurement dataChiu Chui-Jung; Lo Jen-Chieh; Cheng Ying-Chou; Liu Ru-Gun
11353421Direct sensing BioFETs and methods of manufactureChang Yi-Hsien; Cheng Chun-Ren; Lin Shih-Wei; Liu Yi-Shao
11354465Function safety and fault management modeling at electrical system level  (ESL)Ting Kai-Yuan; Goel Sandeep Kumar; Lee Yun-Han; Wong Mei; Chen Hsin-Cheng
11354481Phase shifter circuit, phase shifter layout and method of forming the  sameLin Chi-Hsien; Chen Ho-Hsiang; Liao Hsien-Yuan; Yeh Tzu-Jin; Lu Ying-Ta
11355173Power supply generator assistChang Yen-An; Lee Po-Hao; Shih Yi-Chun
11355183Memory device with word line pulse recoveryHsieh Wei-jer; Hsu Yu-Hao; Chang Zhi-Hao; Lee Cheng Hung
11355318Adjustable fastening device for plasma gas injectorsHsu Yung-Shun; Chang Ching-Yu; Chang Chiao-Kai; Cheah Wai Hong; Lin  Chien-Fang
11355339Forming nitrogen-containing layers as oxidation blocking layersKao Wan-Yi; Ko Chung-Chi
11355363Semiconductor devices and methods of manufacturingLiaw Jhon Jhy
11355366Systems and methods for shuttered wafer cleaningWang Tsui-Wei; Tsai Yung-Li; Peng Chui-Ya
11355370Nozzle having real time inspection functionsChuang Kai-Lin; Chen Tsung-Chi; Chang Pei-Jung; Huang Chun-Wei; Liu Jun  Xiu
11355378Fan-out interconnect structure and methods forming the sameHu Yu-Hsiang; Liu Chung-Shi; Kuo Hung-Jui; Cheng Ming-Da
11355387Semiconductor device and method of fabricating the sameYou Jia-Chuan; Chang Chia-Hao; Lien Wai-Yi; Lin Yu-Ming
11355388Semiconductor device and manufacturing method thereofHuang Yan-Jhi; Chen Yu-Yu
11355390Interconnect strucutre with protective etch-stopLee Shao-Kuan; Chen Hai-Ching; Huang Hsin-Yen; Shue Shau-Lin; Lee  Cheng-Chin
11355395Integrated circuit in hybrid row height structureKao Jerry Chang-Jui; Zhuang Hui-Zhong; Hsu Li-Chung; Yeh Sung-Yen; Chien  Yung-Chen; Yang Jung-Chan; Lin Tzu-Ying
11355396Method of forming a semiconductor structure including laterally etching  semiconductor material in fin recess region and depositing metal gate thereinChing Kuo-Cheng; Lin Zhi-Chang; Ju Shi Ning; Wang Chih-Hao; Pan Kuan-Ting
11355398Semiconductor device structure and methods of forming the samePan Kuan-Ting; Chiang Kuo-Cheng; Chang Shang-Wen; Tsai Ching-Wei; Cheng  Kuan-Lun; Wang Chih-Hao
11355399Gap patterning for metal-to-source/drain plugs in a semiconductor deviceHuang Yu-Lien; Fu Ching-Feng; Lin Huan-Just; Li Fu-Sheng; Ho Tsai-Jung;  Hsieh Bor Chiuan; Chen Guan-Xuan; Wang Guan-Ren
11355400Using a metal-containing layer as an etching stop layer and to pattern  source/drain regions of a FinFETChen Yen-Ting; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming
11355406Non-vertical through-via in packageHuang Cheng-Lin; Chang Jung-Hua; Gau Jy-Jie; Lin Jing-Cheng
11355410Thermal dissipation in semiconductor devicesHuang Wen-Sheh; Chen Yu-Hsiang; Chen Chii-Ping
11355418Package structure and manufacturing method thereofYu Chen-Hua; Yu Chun-Hui; Hung Jeng-Nan; Yee Kuo-Chung
11355428Semiconductor packageWu Jiun Yi; Yu Chen-Hua; Liu Chung-Shi
11355430Capping layer overlying dielectric structure to increase reliabilityLo Ting-Ya; Teng Chi-Lin; Chen Hai-Ching; Huang Hsin-Yen; Shue Shau-Lin;  Lee Shao-Kuan; Lee Cheng-Chin
11355432Semiconductor device with patterned ground shieldingYen Hsiao-Tsung; Kuo Chin-Wei; Luo Cheng-Wei; Liang Kung-Hao
11355436Semiconductor device and manufacturing method thereofWu Yu-Bey; Chen Dian-Hau; Cheng Jye-Yen; Wei Sheng-Hsuan; Lee Li-Yu; Wu  TaiYang
11355454Package structure and manufacturing method thereofTsai Tsung-Fu; Lin Shih-Ting; Lu Szu-Wei; Tsai Chen-Hsuan; Huang I-Ting
11355461Integrated fan-out package and manufacturing method thereofYu Chi-Yang; Chen Chin-Liang; Chen Hai-Ming; Ho Kuan-Lin; Liang Yu-Min
11355463Semiconductor package and methodWu Jiun Yi; Yu Chen-Hua
11355466Package structure and manufacturing method of package structure thereofTeng Po-Yuan; Tsai Hao-Yi; Pan Kuo-Lung; Hsu Sen-Kuei; Kuo Tin-Hao; Lei  Yi-Yang; Tseng Ying-Cheng; Lai Chi-Hui
11355468Structure and method of forming a joint assemblyChen Ying-Ju; Su An-Jhih; Chen Hsien-Wei; Yeh Der-Chyang; Wu Chi-Hsi; Yu  Chen-Hua
11355471System for processing semiconductor devicesHuang Kuei-Wei; Lin Hsiu-Jen; Ang Ai-Tee; Cheng Ming-Da; Liu Chung-Shi
11355474Semiconductor package and method manufacturing the sameHsu Feng-Cheng; Jeng Shin-Puu
11355475Singulation and bonding methods and structures formed therebyYu Chen-Hua; Wu Tsang-Jiuh; Chiou Wen-Chih
11355487Layout designs of integrated circuits having backside routing tracksLai Wei-An; Peng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng
11355488Integrated circuit layout method, device, and systemChen Chien-Ying; Lu Lee-Chung; Tien Li-Chun; Guo Ta-Pen
11355491ESD protection deviceSu Shu-Yu; Lee Jam-Wem; Lin Wun-Jie
11355493Method to embed planar FETs with finFETsChuang Harry-Hak-Lay; Wu Wei Cheng; Teng Li-Feng; Liu Li-Jung
11355496High-density 3D-dram cell with scaled capacitorsManfrini Mauricio; Lin Chung-Te
11355499Static random access memory cellHsu Jordan; Lin Yu-Kuan; Lu Shau-Wei; Yang Chang-Ta; Wang Ping-Wei; Lo  Kuo-Hung
11355500Static random access memory cell and manufacturing method thereofLee Yi-Jing; Kwok Tsz-Mei; Yu Ming-Hua; Li Kun-Mu
11355501Method for manufacturing static random access memory deviceLiaw Jhon Jhy
11355502Semiconductor device with gate recess and methods of forming the sameLiaw Jhon Jhy
11355507Semiconductor device and manufacturing method thereofLin Meng-Han; Chen Te-An
11355516Three-dimensional memory device and methodYang Feng-Cheng; Lin Meng-Han; Wang Sheng-Chen; Chia Han-Jong; Lin  Chung-Te
11355518Semiconductor device having buried bias padsWu Jian; Han Feng; Zhang Shuai
11355544Image sensor with improved light conversion efficiencyLiao Shih-Yu; Hung Tsai-Hao; Chen Ying-Hsun
11355545Semiconductor image sensor device having back side illuminated image  sensors with embedded color filtersChen Chiu-Jung; Chou Chun-Hao; Chen Hsin-Chi; Lee Kuo-Cheng; Chien  Volume; Hsu Yung-Lung; Cheng Yun-Wei
11355551Multi-level magnetic tunnel junction NOR device with wrap-around gate  electrodes and methods for forming the sameChia Han-Jong; Young Bo-Feng; Yeong Sai-Hooi; Wang Chenchen Jacob; Lin  Meng-Han; Lin Yu-Ming
11355587Source/drain EPI structure for device boostMore Shahaji B.
11355590Steep sloped vertical tunnel field-effect transistorRamvall Peter; Passlack Matthias
11355596High power device with self-aligned field plateLiu Ming Chyi
11355601Semiconductor devices with backside power rail and backside self-aligned  viaChiang Kuo-Cheng; Ju Shi Ning; Cheng Kuan-Lun; Wang Chih-Hao; Chuang  Cheng-Chi
11355603Methods and structures of novel contact featureWu Wei-Hao; Chang Chia-Hao; Wang Chih-Hao; You Jia-Chuan; Lin Yi-Hsiung;  Lin Zhi-Chang; Kuo Chia-Hao; Yu Ke-Jing
11355605Semiconductor device structure and method for forming the sameLee Tung-Ying; Chang Kai-Tai
11355611Multi-gate device and method of fabrication thereofChing Kuo-Cheng; Huang Ching-Fang; Hsieh Wen-Hsing; Leung Ying-Keung;  Wang Chih-Hao; Diaz Carlos H.
11355615FinFET having fluorine-doped gate sidewall spacersMin Wei-Lun; Liu Chang-Miao; Wu Xu-Sheng
11355616Air spacers around contact plugs and method forming sameHuang Chen-Huang; Sie Ming-Jhe; Lin Yih-Ann; Wei An Chyi; Chen Ryan  Chia-Jen
11355620FinFET device and method of forming sameLee Chien-Wei; Lin Che-Yu; Sung Hsueh-Chang; Yeo Yee-Chia
11355635Semiconductor structure and methods of forming sameTsai Chun-Hsiung; Yu Kuo-Feng; Chen Kei-Wei
11355637Semiconductor device and methodFu Ching-Feng; Wang Guan-Ren; Chang Yun-Min; Huang Yu-Lien
11355638Semiconductor device and a method for fabricating the sameHuang Yu-Lien; Chang Meng-Chun
11355641Merged source/drain featuresLin Chun-An; Lu Wei-Yuan; Yang Feng-Cheng; Lin Tzu-Ching; Su Li-Li
11355642Method for manufacturing semiconductor structureHsu Ju-Wang; Ting Chih-Yuan; Zhong Tang-Xuan; Su Yi-Nien; Tsai  Jang-Shiang
11355696Magnetic tunnel junction structures and related methodsChen Jun-Yao; Liao Chun-Heng; Wang Hung Cho
11355701Integrated circuitLiao Wei-Hao; Tien Hsi-Wen; Lu Chih-Wei; Dai Pin-Ren; Lee Chung-Ju
11355927Device and method for operating the samePeng Po-Lin; Su Yu-Ti; Hsu Chia-Wei; Tsai Ming-Fu; Su Shu-Yu; Chu Li-Wei;  Lee Jam-Wem; Chang Chia-Jung; Cheng Hsiang-Hui
11356108Frequency generator and associated methodLiao Chia-Chun; Yuan Min-Shueh; Li Chao-Chieh; Staszewski Robert Bogdan
11356115Loop gain auto calibration using loop gain detectorChou Mao-Hsuan; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien
11356140Clock and data recovery circuitLan Po-Hsiang; Hsieh Cheng-Hsiang
11356625Semiconductor structure and operating method for improving charge  transfer of image sensor deviceTakahashi Seiji; Sze Jhy-Jyi
11358252Method of using a polishing systemLin Shih-Chi; Wu Kun-Tai; Chou You-Hua; Lee Chih-Tsung; Hong Min Hao; Wu  Chih-Jen; Huang Chen-Ming; Huang Soon-Kang; Chang Chin-Hsiang; Yang Chih-Yuan
11360045Integrated biological sensing platformChen Tsung-Tsun; Chang Allen Timothy; Huang Jui-Cheng
11360073Semiconductor device for determining a biomolecule characteristicChen Kun-Lung; Chen Tung-Tsun; Hsieh Cheng-Hsiang; Huang Yu-Jie; Huang  Jui-Cheng
11360376Extreme ultraviolet mask and method for forming the sameLin Yun-Yue
11360379Photo mask data correction methodTien Fu An; Huang Hsu-Ting; Liu Ru-Gun
11360383Mask optimization processBeylkin Daniel; Trivedi Sagar Vinodbhai
11360384Method of fabricating and servicing a photomaskYang Chun-Fu; Hsu Pei-Cheng; Lien Ta-Cheng; Lee Hsin-Chang
11360392Photolithography device having illuminator and method for adjusting  intensity uniformityHsu Che-Chang; Cheng Chieh-Jen; Chen Li-Jui; Chien Shang-Chieh; Chang  Chao-Chen; Chen Ssu-Yu
11361141Method and system for manufacturing a semiconductor deviceHuang Hsuan-Ming; Teng An Shun; Chang Mingni; Wang Ming-Yih; Lu Yinlung
11361810Power mode wake-up for memory on different power domainsYeh Che-Ju; Shieh Hau-Tai; Chen Yi-Tzu
11361812Sub-word line driver placement for memory deviceChen Yi-Tzu; Wu Ching-Wei; Shieh Hau-Tai; Liao Hung-Jen
11361818Memory device with global and local latchesKatoch Atul; Singh Sahil Preet
11361943Adjustable fastening device for plasma gas injectorsHsu Yung-Shun; Chang Ching-Yu; Chang Chiao-Kai; Cheah Wai Hong; Lin  Chien-Fang
11361971High aspect ratio Bosch deep etchChang Yu-Hsing; Liu Ming Chyi; Liu Shih-Chang
11361977Gate structure of semiconductor device and method of manufactureWang Tsan-Chun; Nieh Chun-Feng
11361986Using a liner layer to enlarge process window for a contact viaYu Li-Zhen; Chuang Cheng-Chi; Wang Chih-Hao; Lin Yu-Ming; Huang Lin-Yu
11361989Method for manufacturing interconnect structures including air gapsLee Cheng-Chin; Lee Shao-Kuan; Huang Hsin-Yen; Chen Hai-Ching; Shue  Shau-Lin
11361994Fully self-aligned interconnect structureChen Hsin-Ping; Shue Shau-Lin; Cao Min
11362000Wrap-around contact on FinFETWang Sung-Li; Chen Neng-Kuo; Shih Ding-Kang; Chang Meng-Chun; Lin Yi-An;  Huang Gin-Chen; Hsu Chen-Feng; Lin Hau-Yu; Ko Chih-Hsin; Sun Sey-Ping; Wann  Clement Hsingjen
11362001Method for manufacturing nanostructures with various widthsLiu Hsiao-Han; Wang Chih-Hao; Chiang Kuo-Cheng; Ju Shi-Ning; Cheng  Kuan-Lun
11362002Adjusting work function through adjusting deposition temperatureLee Hsin-Yi; Chen Ji-Cheng; Hung Cheng-Lung; Chang Weng; Chui Chi On
11362003Prevention of contact bottom void in semiconductor fabricationLee Yun; Ko Chung-Ting; Lee Chen-Ming; Wang Mei-Yun; Yang Fu-Kai
11362004FinFET devices and methods of formingChiang Kuo-Cheng; Liu Chi-Wen
11362006Semiconductor device and method of manufactureHsu Yao-Wen; Huang Ming-Chi; Chuang Ying-Liang
11362009Package structure and method of fabricating the sameLin Sheng-Ta; Lu Chun-Lin; Wu Kai-Chiang
11362010Structure and formation method of chip package with fan-out featureLin Meng-Liang; Tsai Po-Hao; Chuang Po-Yao; Wu Yi-Wen; Wong Techi; Jeng  Shin-Puu
11362013Package structure for heat dissipationYu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa
11362026Embedded metal insulator metal structureKuo Feng-Wei; Liao Wen-Shiang
11362029Integrated circuit structure of capacitive deviceChen Tai-Yi; Peng Yung-Chow; Yang Chung-Chieh
11362030Sidewall spacer structure enclosing conductive wire sidewalls to increase  reliabilityDai Yu-Teng; Lee Chung-Ju; Lu Chih Wei; Yao Hsin-Chieh; Tien Hsi-Wen;  Liao Wei-Hao
11362035Diffusion barrier layer for conductive via to decrease contact resistanceHsueh Hsiu-Wen; Chen Chii-Ping; Yang Neng-Jye; Lee Ya-Lien; Fu An-Jiao;  Tseng Ya-Ching
11362037Integrated fan-out packageChiu Ming-Yen; Chang Ching-Fu; Huang Hsin-Chieh
11362038Photolithography alignment process for bonded wafersLin Yeong-Jyh; Li Ching I; Chiou De-Yang; Chen Sz-Fan; Hu Han-Jui; Wang  Ching-Hung; Lee Ru-Liang; Yu Chung-Yi
11362039Semiconductor structure and fabricating method thereofLee Kuo-Hung; Lee Chih-Fei; Chang Fu-Cheng; Kao Ching-Hung
11362046Semiconductor packageLin Jing-Cheng; Chang Chin-Chuan; Hung Jui-Pin
11362064Semiconductor package with shared barrier layer in redistribution and viaChen Hsien-Wei; Yang Ching-Jung; Chen Ming-Fa; Yeh Sung-Feng; Chen  Ying-Ju
11362065Package and manufacturing method thereofChen Hsien-Wei; Chen Jie; Chen Ming-Fa
11362066Semiconductor structure and manufacturing method thereofChen Ying-Ju; Chen Hsien-Wei; Chen Ming-Fa
11362069Three-dimensional stacking structure and manufacturing method thereofChen Hsien-Wei; Chen Jie; Chen Ming-Fa
11362077Photonic semiconductor device and methodChang Chih-Chieh; Tsai Chung-Hao; Wang Chuei-Tang; Hsia Hsing-Kuo; Yu  Chen-Hua
11362087Systems and methods for fabricating FinFETs with different threshold  voltagesLiu Chi-Wen; Wang Chao-Hsiung
11362089Gate structure with additional oxide layer and method for manufacturing  the sameLin Chih-Wei; Wang Chih-Lin; Kuo Kang-Min; Lian Cheng-Wei
11362090Semiconductor device having buried logic conductor type of complementary  field effect transistor, method of generating layout diagram and system for  sameWu Guo-Huei; Wang Pochun; Chen Chih-Liang; Tien Li-Chun
11362096Semiconductor device structure and method for forming the sameLin Ta-Chun; Pan Kuo-Hua
11362108Semiconductor structure with a logic device and a memory device being  formed in different levels, and method of forming the sameYoung Bo-Feng; Chia Han-Jong; Yeong Sai-Hooi; Lin Yu-Ming; Lin Chung-Te
11362110Semiconductor structure and method for manufacturing the sameWang Pochun; Wu Guo-Huei; Zhuang Hui-Zhong; Chen Chih-Liang; Tien Li-Chun
11362169Capacitor structure and semiconductor structureKao Chih-Kuang
11362170Metal-insulator-metal (MIM) capacitor structure and method for forming  the sameHuang Chih-Fan; Pai Chih-Yang; Hsiao Yuan-Yang; Hsiao Tsung-Chieh; Chen  Hui-Chi; Chen Dian-Hau; Chen Yen-Ming
11362176RFSOI semiconductor structures including a nitrogen-doped charge-trapping  layer and methods of manufacturing the sameWu Cheng-Ta; Chen Chiu Hua
11362180Semiconductor device and manufacturing method thereofWang Yun-Yuan; Hsiao Chih-Hsiang; Ni I-Chih; Wu Chih-I
11362185Memory device and method for manufacturing the sameLin Yu-Chu; Jen Chi-Chung; Wang Yen-Di; Ko Jia-Yang; Tsai Men-Hsi
11362191Semiconductor device and method for making the sameChuang Chi-Yi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao
11362198Semiconductor structure and method of forming the sameChen Zheng-Long
11362199Semiconductor device and methodWong I-Hsieh; Chen Yen-Ting; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming
11362213Method for manufacturing a FinFET device with a backside power rail and a  backside self-aligned via by etching an extended source trenchJu Shi Ning; Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun
11362217Method of forming transistors of different configurationsMin Wei-Lun; Liu Chang-Miao
11362265Semiconductor structure and method of manufacturing the sameSung Fu-Ting; Min Chung-Chiang; Tseng Yuan-Tai; Hsu Chern-Yow; Liu  Shih-Chang
11362267Memory device having resistance switching element surrounding top  electrode and integrated circuit device including the sameTseng Hsin-Hsiang; Wang Chih-Lin; Wu Yi-Huang
11362268Semiconductor structure and associated operating and fabricating methodYu Chwen; Lin Shy-Jay
11362271Switching layer scheme to enhance RRAM performanceTrinh Hai-Dang; Tsai Cheng-Yuan; Lin Hsing-Lien; Chu Wen-Ting
11362277Sidewall protection for PCRAM deviceLin Yu-Chao; Tu Yuan-Tien; Yu Shao-Ming; Lee Tung-Ying
11362624Varainductor having ground and floating planes and method of usingLiu Yi-Hsuan; Hsieh Hsieh-Hung; Jou Chewn-Pu; Hsueh Fu-Lung
11362660Level shifter circuit and method of operating the sameOu Yu-Lun; Lin Ji-Yung; Chien Yung-Chen; Sun Ruei-Wun; Ma Wei-Hsiang; Kao  Jerry Chang Jui; Hsieh Shang-Chih; Lu Lee-Chung
11362845Secure communication between server device and clients utilizing strong  physical unclonable functionsLu Shih-Lien Linus
11365115Piezoelectric anti-stiction structure for microelectromechanical systemsHu Fan; Cheng Chun-Ren; Chen Hsiang-Fu; Tai Wen-Chuan
11366160Jitter monitoring circuitHuang Tien-Chien
11366951Method for evaluating failure-in-timeLin Chin-Shen; Lin Ming-Hsien; Yang Kuo-Nan; Wang Chung-Hsing
11367468Sense amplifierLee Chia-Fu; Lin Hon-Jarn; Chih Yu-Der
11367479SRAM structure and methodYang Chih-Chuan; Lin Shih-Hao
11367482Read method, write method and memory circuit using the sameDiaz Carlos H.; Chiang Hung-Li; Chen Tzu-Chiang; Wang Yih
11367494Memory structure with doping-induced leakage pathsSu Hsin-Wen; Lin Shih-Hao; Chen Jui-Lin; Hung Lien Jung; Wang Ping-Wei
11367500Method for LUT-free memory repairChiang Katherine H.
11367507Memory device and electronic deviceHuang Chien-Yu; Huang Chia-En; Lee Cheng Hung; Shieh Hua-Tai
11367591Composite plasma modulator for plasma chamberLiao Han-Wen
11367616Method of patterning material layerChou You-Hua; Chuang Kuo-Sheng
11367621Semiconductor device and manufacturing method thereofChang Tien-Shun; Nieh Chun-Feng; Chang Huicheng; Yeo Yee-Chia
11367623Structure and method to expose memory cells with different sizesChen Sheng-Chau; Hsiao Cheng-Tai; Tsai Cheng-Yuan; Kuang Hsun-Chung;  Chang Yao-Wen
11367632Heater lift assembly spring damperWu Kai-Wen; Chen Chun-Ta; Hsieh Chin-Shen; Huang Cheng-Yi
11367637Method of operating transport systemChu Chi-Yuan; Wang Jen-Ti; Chen Wei-Chih; Chuang Kuo-Fong; Hung Cheng-Ho
11367644System and method for correcting non-ideal wafer topographyLin Cheng-Mu; Liao Chi-Hung; Dai Yi-Ming; Yang Yueh Lin
11367658Semiconductor die singulation and structures formed therebyChang Fu-Chen; Huang Cheng-Lin; Chen Wen-Ming
11367659FinFET channel on oxide structures and related methodsChing Kuo-Cheng; Tsai Ching-Wei; Leung Ying-Keung
11367660Semiconductor method and deviceYen Cheng-Hsiung; Ma Ta-Chun; Su Chien-Chang; Chen Jung-Jen; Jeng  Pei-Ren; Li Chii-Horng; Chen Kei-Wei
11367663Interconnect structure for fin-like field effect transistorLiaw Jhon Jhy
11367695Interposer with capacitorsChang Fong-yuan; Yeh Cheng-Hung; Chang Hsiang-Ho; Huang Po-Hsiang; Chien  Chin-Her; Chen Sheng-Hsiung; Khan Aftab Alam; Chang Keh-Jeng; Liu Chin-Chou;  Cheng Yi-Kan
11367721Semiconductor structureHuang Jing-Jung; Chen Ching En; Kao Jung-Hui; Thei Kong-Beng
11367731Memory device and method of manufacturing the sameLu Hau-Yan; Ko Chun-Yao; Tsui Felix Ying-Kit
11367745Apparatus and methods for sensing long wavelength lightCheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng; Chen Ying-Hao
11367778MOSFET device structure with air-gaps in spacer and methods for forming  the sameSingh Gulbagh; Wang Po-Jen; Chuang Kun-Tsang
11367782Semiconductor manufacturingLiaw Jhon Jhy
11367783Method of manufacturing a semiconductor deviceYeh Ling-Yen
11367784Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.; Lin Chien; Lee Cheng-Han; Chang Shih-Chieh; Kuan Shu
11367803Light detecting device, optical device and method of manufacturing the  sameJou Chewn-Pu; Cho Lan-Chou; Song Weiwei
11367832Method of making magnetoresistive random access memory deviceHsu Chern-Yow; Liu Shih-Chang; Tsai Chia-Shiung
11371133Undercut-free patterned aluminum nitride structure and methods for  forming the sameHsieh Yuan-Chih; Wang Yi-Ren; Lin Hung-Hua
11372160Package, optical device, and manufacturing method of packageChen Hsien-Wei; Chen Ming-Fa
11373690Circuits and methods for compensating a mismatch in a sense amplifierLin Ku-Feng; Chih Yu-Der; Shih Yi-Chun; Lee Chia-Fu
11373702Boost schemes for write assistHsieh Wei-Jer; Cheng Chiting; Lin Yangsyu; Wu Shang-Chi
11373706Memory circuit, method, and electronic device for implementing ternary  weight of neural cell networkChih Yu-Der
11373866Dielectric material and methods of forming samePeng Yu-Yun
11373867Integrated circuits having source/drain structure and method of makingHuang Shih-Hsien; Pai Yi-Fang; Su Chien-Chang
11373870Method for manufacturing semiconductor device including performing  thermal treatment on germanium layerWu Cheng-Hsien
11373878Technique for semiconductor manufacturingLin Han-Yu; Lin Li-Te; Lin Tze-Chung; Lee Fang-Wei; Chen Yi-Lun; Chang  Jung-Hao; Lo Yi-Chen; Lin Fo-Ju; Sano Kenichi; Lin Pinyen
11373879Chemical mechanical polishing methodChen Tung-Kai; Tsai Ching-Hsiang; Liao Kao-Feng; Chang Chih-Chieh; Kung  Chun-Hao; Chih Fang-I; Ho Hsin-Ying; Hsu Chia-Jung; Huang Hui-Chi; Chen  Kei-Wei
11373902Semiconductor structure and method for manufacturing the sameSun Hung-Chang; Chang Po-Chin; Mineji Akira; Fang Zi-Wei; Lin Pinyen
11373905Semiconductor device pre-cleaningChu Li-Wei; Su Ying-Chi; Chen Yu-Kai; Loh Wei-Yip; Chen Hung-Hsu; Chang  Chih-Wei; Tsai Ming-Hsing
11373910Semiconductor device including a Fin-FET and method of manufacturing the  sameOkuno Yasutoshi; Peng Cheng-Yi; Fang Ziwei; Chang I-Ming; Mineji Akira;  Lin Yu-Ming; Hsiao Meng-Hsuan
11373918Planar passivation layersWang Po-Shu
11373922Semiconductor packages having thermal through vias (TTV)Hsu Sen-Kuei; Yang Ching-Feng; Pan Hsin-Yu; Wu Kai-Chiang; Chiang Yi-Che
11373946Semiconductor package and manufacturing method thereofHuang Chi-Ming; Huang Ping-Kang; Chiu Sao-Ling; Hou Shang-Yun
11373947Methods of forming interconnect structures of semiconductor deviceChou Chia-Cheng; Ko Chung-Chi; Lee Tze-Liang
11373952Deep trench protectionKuo Fu-Chiang; Liu Tao-Cheng; Kuo Shih-Chi; Lee Tsung-Hsien
11373953Semiconductor structure and manufacturing method thereofYang Ching-Jung; Chen Hsien-Wei; Chen Ming-Fa
11373962Advanced seal ring structure and method of making the sameChen Hsien-Wei
11373969Semiconductor package and method of forming the sameWu Chi-Hsi; Yeh Der-Chyang; Chen Hsien-Wei; Chen Jie
11373970Semiconductor device having a redistribution lineCheng Anhao; Liu Chun-Chang; Yeh Sheng-Wei
11373971Semiconductor device structure and methods of forming the sameChen Hsin-Chi; Huang Hsun-Ying; Lee Chih-Ming; Wu Shang-Yen; Yang  Chih-An; Ho Hung-Wei; Chang Chao-Ching; Huang Tsung-Wei
11373981Package and manufacturing method thereofChen Ming-Fa; Yeh Sung-Feng; Hong Jian-Wei
11373993Integrated standard cell structureChen Fang; Liaw Jhon Jhy
11374000Trench capacitor with lateral protrusion structureLee Ru-Liang; Liu Ming Chyi; Liu Shih-Chang
11374003Integrated circuitWu Guo-Huei; Wang Po-Chun; Zhuang Hui-Zhong; Chen Chih-Liang; Tien  Li-Chun
11374005Semiconductor structure and method of forming the samePeng Shih-Wei; Chiu Te-Hsin; Lin Wei-Cheng; Tzeng Jiann-Tyng
11374006Semiconductor device and method of forming the sameChien Yu-San; Liang Chun-Sheng; Liaw Jhon-Jhy; Pan Kuo-Hua; Chiang  Hsin-Che
11374046Semiconductor structure and method of manufacturing the sameLi Sheng-Chan; Chen I-Nan; Chen Tzu-Hsiang; Wang Yu-Jen; Chiang Yen-Ting;  Chou Cheng-Hsien; Tsai Cheng-Yuan
11374057Vertical metal oxide semiconductor channel selector transistor and  methods of forming the sameWu Yong-Jie; Ho Yen-Chung; Hsu Pin-Cheng; Manfrini Mauricio; Lin Chung-Te
11374088Leakage reduction in gate-all-around devicesLiaw Jhon Jhy
11374089Shallow trench isolation (STI) contact structures and methods of forming  sameWang Tai-Yuan; Chen Shu-Fang
11374090Gate structures for semiconductor devicesChen Yen-Yu; Cheng Chung-Liang
11374093Semiconductor device structure and methods of forming the sameHuang Lin-Yu; Yu Li-Zhen; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao
11374095GE based semiconductor device and a method for manufacturing the sameHolland Martin Christopher; Duriez Blandine
11374104Methods of reducing capacitance in field-effect transistorsChen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun
11374105Nanosheet device with dipole dielectric layer and methods of forming the  sameHsu Chung-Wei; Chiang Kuo-Cheng; Chu Lung-Kun; Huang Mao-Lin; Yu Jia-Ni;  Wang Chih-Hao
11374107Semiconductor deviceYou Jheng-Sheng; Lin Hsin-Chih; Huang Kun-Ming; Chen Lieh-Chuan; Chu  Po-Tao; Wang Shen-Ping; Kuo Chien-Li
11374108Fin semiconductor device having a stepped gate spacer sidewallChen Yi-Lun; Wang Bau-Ming; Lin Chun-Hsiung
11374110Partial directional etch method and resulting structuresWang Shiang-Bau
11374114Semiconductor device and method for forming the sameSavant Chandrashekhar P.; Yu Tien-Wei; Liu Ke-Chih; Tsai Chia-Ming
11374115Method for forming semiconductor device having boron-doped germanium tin  epitaxy structureTsai Chung-En; Lu Fang-Liang; Chen Pin-Shiang; Liu Chee-Wee
11374126FinFET structure with fin top hard mask and method of forming the sameYang Che-Yu; Yang Kai-Chieh; Tsai Ching-Wei; Cheng Kuan-Lun
11374127Multi-layer film device and methodChang Yao-Jen; Chi Chih-Chien; Kao Chen-Yuan; Su Hung-Wen; Kuo  Kai-Shiang; Shih Po-Cheng; Ruan Jun-Yi
11374128Method and structure for air gap inner spacer in gate-all-around devicesChen Shih-Chiang; Lee Wei-Yang; Lin Chia-Pin; Peng Yuan-Ching
11374136Semiconductor package and forming method thereofTai Chih-Hsuan; Tsai Hao-Yi; Huang Yu-Chih; Chang Chih-Hao; Liu  Chia-Hung; Wu Ban-Li; Tseng Ying-Cheng; Lin Po-Chun
11374169Magnetic random access memoryNiu Baohua; Ying Ji-Feng
11374303Package structure and method of fabricating the sameLiang Fang-Yu; Tsao Chih-Chiang
11374403Single-gate-oxide power inverter and electrostatic discharge protection  circuitChen Po-Hung; Chen Kuo-Ji; Chou Shao-Yu
11374561Integrated circuit and method of testingChien Jinn-Yeh
11374584Frequency divider circuit, and method for frequency divider circuitChou Mao-Hsuan; Sheen Ruey-Bin; Chang Chih-Hsien
11376744Method of handling a substrateChou You-Hua; Chuang Kuo-Sheng
11378750Germanium photodetector embedded in a multi-mode interferometerSong Weiwei; Rusu Stefan; Jou Chewn-Pu; Chen Huan-Neng
11378882Chemical composition for tri-layer removalChen Li-Min; Huang Kuo Bin; Yang Neng-Jye; Wu Chia-Wei; Lian Jian-Jou
11378884Extreme ultraviolet photoresist and methodLiu Chen-Yu; Chang Ya-Ching; Wu Cheng-Han; Chang Ching-Yu; Lin  Chin-Hsiang
11378886Method for removing resist layer, and method of manufacturing  semiconductorKuo Hung-Jui; Tsai Hui-Jung; Chang Tai-Min
11378888Lens adjustment for an edge exposure toolWu Yong-Ting; Chen Yu Kai
11378889Immersion lithography system and method of usingLee Yung-Yao; Lin Wei Chih; Lin Chih Chien
11378892Overlay-shift measurement systemLee Yu-Ching; Fang Yu-Piao
11378894Lithography system with an embedded cleaning moduleChien Shang-Chieh; Chen Jeng-Horng; Wu Jui-Ching; Chen Chia-Chen; Hsieh  Hung-Chang; Lu Chi-Lun; Yu Chia-Hao; Chang Shih-Ming; Yen Anthony
11379298Memory address protection circuit and methodAdham Saman M. I.; Shariat-Yazdi Ramin; Lu Shih-Lien Linus
11379643Integrated circuit design method, system and computer program productPatidar Ankita; Goel Sandeep Kumar; Lee Yun-Han
11380369Semiconductor device including memory cells and method for manufacturing  thereofChiang Hung-Li; Chen Yu-Sheng; Cheng Chao-Ching; Chen Tzu-Chiang
11380371Sense amplifier and operating method for non-volatile memory with reduced  need on adjusting offset to compensate the mismatchLin Ku-Feng; Chih Yu-Der
11380415Dynamic error monitor and repairNoguchi Hiroki; Lin Ku-Feng; Wang Yih
11380542Selective capping processes and structures formed therebyChi Chih-Chien; Lee Pei-Hsuan; Su Hung-Wen; Wei Hsiao-Kuan; Chien  Jui-Fen; Hsu Hsin-Yun
11380548Method of manufacturing semiconductor structure through  multi-implantation to fin structuresChen Chia-Chung; Chu Chung-Hao; Huang Chi-Feng; Liang Victor Chiang
11380549Semiconductor device with a work function layer having a concentration of  fluorineTsai Jung-Shiung; Wu Chung-Chiang; Liao Wei-Fan; Hsiaw Han-Ti
11380566System for a semiconductor fabrication facility and method for operating  the sameLi Fu-Hsien; Yu Sheng-Kang; Tung Chi-Feng; Shen Hsiang Yin; Li Guancyun
11380569Shiftless wafer bladesChen Chien-Chih; Yu Yao-Min; Lee Ching-Ling; Lee Ren-Dou
11380570Apparatus and methods for determining wafer charactersKang Wei-Da; Tsai Wen-Ting
11380580Etch stop layer for memory device formationHuang Sheng-Huang; Min Chung-Chiang; Chuang Harry-Hak-Lay; Wang Hung Cho;  Chen Sheng-Chang
11380590Mechanisms for forming FinFET deviceChang Che-Cheng; Chen Chang-Yin; Lin Jr-Jung; Lin Chih-Han; Chang  Yung-Jung
11380591Method for manufacturing nanostructure with various widthsChing Kuo-Cheng; Ju Shi-Ning; Cheng Kuan-Lun; Wang Chih-Hao
11380593Semiconductor fin cutting process and structures formed therebyHuang Shih-Wen; Chang Jaming; Cheng Kai Hung; Lin Chia-Hui; Chen Jei Ming
11380598Integrated circuit package and method of forming sameChen Hsien-Wei; Chen Ming-Fa; Yu Chen-Hua
11380611Chip-on-wafer structure with chiplet interposerChen Weiming Chris; Ting Kuo-Chiang; Hou Shang-Yun
11380620Semiconductor package including cavity-mounted deviceWu Jiun Yi; Yu Chen-Hua
11380626Package structure and method for fabricating the sameChuang Harry-Hak-Lay; Chen Chia-Hsiang; Shih Meng-Chun; Wang Ching-Huang;  Chiang Tien-Wei
11380632Semiconductor package device with integrated inductor and manufacturing  method thereofLiao Wen-Shiang; Chen Huan-Neng
11380639Shielding structuresHuang Chih-Fan; Chen Hui-Chi; Chang Kuo-Chin; Yeh Chien-Huang; Shue  Hong-Seng; Chen Dian-Hau; Chen Yen-Ming
11380645Semiconductor structure comprising at least one  system-on-integrated-circuit componentYu Chen-Hua; Yu Chun-Hui; Hung Jeng-Nan; Yee Kuo-Chung; Lin Po-Fan
11380653Die stack structure and manufacturing method thereofYu Chen-Hua; Chen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng; Liu Tzuan-Horng
11380655Die stacks and methods forming sameYu Chen-Hua; Tsai Chung-Hao; Wang Chuei-Tang
11380666Fan-out package with cavity substrateTsai Po-Hao; Wong Techi; Chuang Po-Yao; Jeng Shin-Puu; Chou Meng-Wei; Lin  Meng-Liang
11380671Integrated circuitMa Ya-Qi; Pan Lei; Tang Zhen
11380673Electrostatic discharge deviceLin Wun-Jie; Yang Han-Jen; Su Yu-Ti
11380680Semiconductor device for a low-loss antenna switchJin Jun-De; Yeh Tzu-Jin
11380682Integrated circuits with FinFET gate structuresChing Kuo-Cheng; Su Huan-Chieh; Lin Zhi-Chang; Wang Chih-Hao
11380693Semiconductor device including anti-fuse cell structureChang Meng-Sheng; Yang Yao-Jen
11380708Analog non-volatile memory device using poly ferroelectric film with  random polarization directionsChang Chih-Sheng
11380721Wide channel gate structureWei Chia-Yu; Chang Fu-Cheng; Chen Hsin-Chi; Kao Ching-Hung; Cheng  Chia-Pin; Lee Kuo-Cheng; Huang Hsun-Ying; Lin Yen-Liang
11380728Charge release layer to remove charge carriers from dielectric grid  structures in image sensorsSu Ching-Chung; Lu Jiech-Fun
11380729Image sensor having lens layer and manufacturing method thereofChen Chia-Chan
11380762Semiconductor device having semiconductor alloy layer adjacent a gate  structureHuang Chien-Chao; Yeo Yee-Chia; Wang Chao-Hsiung; Lin Chun-Chieh; Hu  Chenming
11380768Semiconductor device and manufacturing method thereofChen Shih-Cheng; Lin Chun-Hsiung; Wang Chih-Hao
11380769Select gate spacer formation to facilitate embedding of split gate flash  memoryLin Meng-Han; Hsieh Chih-Ren
11380772Gate structure and patterning method for multiple threshold voltagesCheng Chung-Liang; Fang Ziwei
11380774Etching back and selective deposition of metal gateLim Peng-Soon; Hung Cheng-Lung; Huang Mao-Lin; Chang Weng
11380775Gate structure of a semiconductor device and method of makingZhu Ming; Lin Hui-Wen; Chuang Harry Hak-Lay; Young Bao-Ru; Huang  Yuan-Sheng; Chen Ryan Chia-Jen; Chen Chao-Cheng; Ching Kuo-Cheng; Hsieh  Ting-Hua; Diaz Carlos H.
11380776Field-effect transistor device with gate spacer structureHsieh Wei-Che; Wang Chunyao
11380779Semiconductor device and manufacturing method thereofHan Feng; Shi Lei; Tsai Hung-Chih; Su Liang-Yu; Fan Hang
11380781Contact and via structures for semiconductor devicesKhaderbad Mrunal A.; Lin Keng-Chu
11380782Variable size fin structuresLiu Su-Hao; Chang Huicheng; Chan Chien-Tai; Chen Liang-Yin; Yeo Yee-Chia;  Chen Szu-Ying
11380783Structure and method for FinFET device with buried SiGe oxideChiang Kuo-Cheng; Diaz Carlos H.; Wang Chih-Hao; Wu Zhiqiang
11380785Semiconductor device and manufacturing method thereofSu Sheng-Kai
11380793Fin field-effect transistor device having hybrid work function layer  stackLin Chun-Neng; Yeh Ming-Hsi; Chung Hung-Chin; Hsu Hsin-Yun
11380794Fin field-effect transistor device having contact plugs with re-entrant  profileHuang Yu-Lien; Wang Guan-Ren; Fu Ching-Feng; Chang Yun-Min
11380803Semiconductor device structure and method for forming the sameChen Hou-Yu; Cheng Chao-Ching; Chen Tzu-Chiang; Yang Yu-Lin; Chen I-Sheng
11380840Memory cell with magnetic access selector apparatusManfrini Mauricio
11385538Cleaning method for photo masks and apparatus thereforLee Hsin-Chang; Hsu Pei-Cheng; Cheng Hao-Ping; Lien Ta-Cheng
11385555Semiconductor manufacturing system and particle removal methodYao Chih-Yuan; Chen Yu-Yu; Tsou Hsiang-Lung
11386253Power-aware scan partitioningPatidar Ankita; Goel Sandeep Kumar; Lee Yun-Han
11386936Memory device, sensing amplifier, and method for sensing memory cellNoguchi Hiroki; Lin Ku-Feng; Wang Yih
11386942Systems and methods for controlling power assertion in a memory deviceJain Sanjeev Kumar
11387102Stacked nanowire transistorsLee Tung Ying; Yu Shao-Ming
11387104Grafting design for pattern post-treatment in semiconductor manufacturingWang Siao-Shan; Chang Ching-Yu; Lin Chin-Hsiang
11387105Loading effect reduction through multiple coat-etch processesChen Jin-Dah; Shieh Ming-Feng; Wu Han-Wei; Lin Yu-Hsien; Liu Po-Chun;  Chen Stan
11387109CMP process and methods thereofChang Che-Lun; Su Pin-Chuan; Huang Hsin-Chieh; Wu Ming-Yuan; Lin Tzu kai;  Wang Yu-Wen; Hsu, deseased Che-Yuan
11387113Method of fabricating semiconductor device with reduced trench  distortionsYen Yung-Sung; Lee Chung-Ju; Chen Chun-Kuang; Wu Chia-Tien; Yu Ta-Ching;  Chen Kuei-Shun; Liu Ru-Gun; Shue Shau-Lin; Gau Tsai-Sheng; Wu Yung-Hsu
11387114Semiconductor device with dummy gate and metal gate and method of  fabricating the sameKalnitsky Alexander; Wu Wei-Cheng; Chuang Harry-Hak-Lay
11387118Integrated circuit packages and methods of forming sameYu Chen-Hua; Lee Chien-Hsun; Yu Chi-Yang; Cheng Jung Wei; Chen Chin-Liang
11387123Metrology method in wafer transportationHuang Powen; Shang Yao-Yuan; Tseng Kuo-Shu; Chen Yen-Yu; Lin Chun-Chih;  Dai Yi-Ming
11387124Wafer container and method for holding waferLiu Wei-Kang; Jen Chi-Chung; Huang Jui-Ming; Liao Wan-Ting
11387138Integrated circuit isolation feature and method of forming the samePeng Chih-Tang; Liang Shuen-Shin; Lin Keng-Chu; Tsai Teng-Chun
11387140Enlarging contact area and process window for a contact viaYu Li-Zhen; Chuang Cheng-Chi; Wang Chih-Hao; Lin Yu-Ming; Huang Lin-Yu
11387143Redistribution lines with protection layers and method forming sameCheng Ming-Da; Lu Wen-Hsiung; Kang Chin Wei; Chuang Yung-Han; Mao  Lung-Kai; Lin Yung-Sheng
11387146Semiconductor device with air gaps between metal gates and method of  forming the sameMin Wei-Lun; Wu Xusheng; Liu Chang-Miao
11387164Semiconductor device and manufacturing method thereofWu Chung-Jung; Tung Chih-Hang; Shao Tung-Liang; Hsiao Sheng-Tsung; Wang  Jen-Yu
11387167Semiconductor structure and manufacturing method for the sameKao Min-Feng; Yaung Dun-Nian; Liu Jen-Cheng; Wang Ching-Chun; Huang  Kuan-Chieh; Lin Hsing-Chih; Chu Yi-Shin
11387168Semiconductor devicesYang Cheng-Lung; Su Chih-Hung; Chen Chen-Shien; Huang Hon-Lin; Tsai  Kun-Ming; Lin Wei-Je
11387171Method of packaging a semiconductor dieHuang Hui-Min; Hu Shou-Cheng; Lin Chih-Wei; Cheng Ming-Da; Liu Chung-Shi;  Chen Chen-Shien
11387177Package structure and method for forming the sameChien Chin-Her; Huang Po-Hsiang; Yeh Cheng-Hung; Wang Tai-Yu; Tsai  Ming-Ke; Tsai Yao-Hsien; Lin Kai-Yun; Huang Chin-Yuan; Liu Kai-Ming; Chang  Fong-Yuan; Liu Chin-Chou; Cheng Yi-Kan
11387181Integrated circuits with backside power railsChou Chih-Chao; Chiang Kuo-Cheng; Ju Shi Ning; Lan Wen-Ting; Wang  Chih-Hao
11387183Semiconductor package having a semiconductor device bonded to a circuit  substrate through connection terminals and dummy conductors and method of  manufacturing the sameHsu Feng-Cheng; Jeng Shin-Puu
11387191Integrated circuit package and methodYu Chen-Hua; Huang Tzu Yun; Ho Ming-Che; Kuo Hung-Jui
11387204Semiconductor structure and method of fabricating the sameChen Jie; Chen Hsien-Wei
11387205Semiconductor die connection system and methodChen Ming-Fa; Yu Chen-Hua; Jan Sen-Bor
11387209Package structureYeh Sung-Feng; Chen Hsien-Wei; Chen Ming-Fa
11387217Semiconductor device and method of manufactureLin Jing-Cheng; Yu Chen-Hua; Tsai Po-Hao
11387222Integrated circuit package and methodYu Chen-Hua; Chang Wei Ling; Wang Chuei-Tang; Chen Chieh-Yen
11387232Semiconductor device and manufacturing method thereofWu Cheng-Han; Lin Chie-Iuan; Chang Kuei-Ming; Hsieh Rei-Jay
11387233Semiconductor device structure and methods of forming the sameSu Huan-Chieh; Chen Chun-Yuan; Wang Pei-Yu; Chuang Cheng-Chi; Wang  Chih-Hao
11387237Semiconductor component having a fin and an epitaxial contact structure  over an epitaxial layer thereofChing Kuo-Cheng; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao
11387240Compact electrical connection that can be used to form an SRAM cell and  method of making the sameLin Yu-Kuan; Yang Chang-Ta; Wang Ping-Wei; Chao Kuo-Yi; Wang Mei-Yun
11387242Non-volatile memory (NVM) cell structure to increase reliabilityChen Shih-Hsien; Ko Chun-Yao; Tsui Felix Ying-Kit
11387274Method of forming semiconductor deviceLu Chi-Ming; Huang Chih-Hui; Li Sheng-Chan; Tsao Jung-Chih; Liang  Yao-Hsiang
11387321Integrated circuit structure with non-gated well tap cellLin Jiefeng; Yeh Jeng-Ya; Lin Chih-Yung
11387322Semiconductor device having nanosheet transistor and methods of  fabrication thereofWang Chih-Ching; Lee Wei-Yang; Wen Ming-Chang; Hung Jo-Tzu; Hsieh  Wen-Hsing; Cheng Kuan-Lun
11387331Source/drain contact structureFang Ting; Cai Chung-Hao; Lin Jui-Ping; Yao Chia-Hsien; Lee Chen-Ming;  Yang Fu-Kai; Wang Mei-Yun
11387341Semiconductor devices and methods of manufacturing thereofLin Shih-Yao; Lin Chih-Han; Lee Hsiao Wen
11387344Method of manufacturing a semiconductor device having a doped  work-function layerLee Chia-Ching; Chung Hung-Chin; Wu Chung-Chiang; Tung Hsuan-Yu; Chiu  Kuan-Chang; Chen Chien-Hao; Chui Chi On
11387346Gate patterning process for multi-gate devicesHuang Mao-Lin; Chu Lung-Kun; Hsu Chung-Wei; Yu Jia-Ni; Chiang Kuo-Cheng;  Wang Chih-Hao
11387347Fin structures having varied fin heights for semiconductor deviceChiang Kuo-Cheng; Wang Chih-Hao; Ju Shi Ning
11387351Semiconductor device and methodYoung Bo-Feng; Chang Che-Cheng; Wu Po-Chi
11387360Transistor with a negative capacitance and a method of creating the sameYuan Feng; Lin Ming-Shiang; Ho Chia-Cheng; Cai Jin; Wang Tzu-Chung; Lee  Tung Ying
11387362Semiconductor device and manufacturing method thereofVellianitis Georgios; Doornbos Gerben; Van Dal Marcus
11387363Source/drain junction formationTsai Chun Hsiung; Yu Sheng-Wen; Fang Ziwei
11387365Method of manufacturing a semiconductor device for recessed fin structure  having rounded cornersYu Cheng-Yen; Wu Po-Chi; Lai Yueh-Chun
11387406Magnetic of forming magnetic tunnel junction device using protective maskPeng Tai-Yen; Wei Hui-Hsien; Lin Han-Ting; Yang Sin-Yi; Chen Yu-Shu;  Chang An-Shen; Fu Qiang; Wang Chen-Jung
11387411Logic compatible RRAM structure and processChang Chih-Yang; Chen Hsia-Wei; Yang Chin-Chieh; Tu Kuo-Chi; Chu  Wen-Ting; Liao Yu-Wen
11387683Composite integrated circuits and methods for wireless interactions  therewithWang Min-Jer; Peng Ching-Nen; Jou Chewn-Pu; Kuo Feng Wei; Chen Hao; Lin  Hung-Chih; Chen Huan-Neng; Yen Kuang-Kai; Liu Ming-Chieh; Lee Tsung-Hsiung
11387748Self-aligned dielectric liner structure for protection in MEMS comb  actuatorHsu Chiao-Chun; Chen Chih-Ming; Yu Chung-Yi; Pan Lung Yuan
11387818Level conversion device and methodOu Yu-Lun; Hsieh Wei-Chih; Hsieh Shang-Chih
11388014Transistor-based physically unclonable functionLu Shih-Lien Linus
11389928Method for conditioning polishing padLee Shen-Nan; Hou Te-Chien; Tsai Teng-Chun; Hsu Chung-Wei; Wu Chen-Hao
11390000Wafer level transfer molding and apparatus for performing the sameJang Bor-Ping; Lin Yeong-Jyh; Hwang Chien Ling; Liu Chung-Shi; Chen  Meng-Tse; Cheng Ming-Da; Yu Chen-Hua
11390520Systems and methods for uniform target erosion magnetic assembliesChung Chen-Fang; Cheng Wen-Cheng; Tsai Tsez-Chong; Tseng Shuen-Liang; Lo  Szu-Hsien; Yang Po-Wen; He Ming-Jie
11392022Extreme ultraviolet lithography method, extreme ultraviolet mask and  formation method thereofShih Chih-Tsung; Wu Yu-Hsun; Liu Bo-Tsun; Lee Tsung-Chuan
11392024Photomask assembly and method of forming the sameLee Kuo-Hao; Hsu Hsi-Cheng; Weng Jui-Chun; Pan Han-Zong; Chen Hsin-Yu;  Jhang You-Cheng
11392025Apparatus for removing a pellicle frame from a photomask and the method  thereofHuang Wei Cheng; Hsieh Kun-Lung
11392036Photoresist and methodChang Liang-Yi; Huang Tai-Chun; Chui Chi On
11392039Photoresist baking apparatus with cover plate having uneven exhaust hole  distributionChen Po-Hung; Chen Yu-Kai
11392040System and method for performing extreme ultraviolet photolithography  processesChen Tai-Yu; Khivsara Sagar Deepak; Liu Kuo-An; Hsieh Chieh; Chien  Shang-Chieh; Chang Gwan-Sin; Lam Kai Tak; Chen Li-Jui; Liu Heng-Hsin; Wu  Chung-Wei; Wu Zhiqiang
11392041Particle removal device and methodWu Cheng-Hsuan; Tsai Ming-Hsun; Chien Shang-Chieh; Chen Li-Jui; Liu  Heng-Hsin
11392045Method for manufacturing semiconductor device and system for performing  the sameWang Wen-Yun; Lin Hua-Tai; Liu Chia-Chu
11392742Method for coloring circuit layout and system for performing the sameChiang Chia-Ping; Chih Ming-Hui; Hsu Chih-Wei; Wu Ping-Chieh; Chang  Ya-Ting; Wang Tsung-Yu; Cheng Wen-Li; Yin Hui En; Huang Wen-Chun; Liu Ru-Gun;  Gau Tsai-Sheng
11392743MultiplexerLiu Chi-Lin; Hsieh Shang-Chih; Li Jian-Sing; Ma Wei-Hsiang; Chen Yi-Hsun;  Lei Cheok-Kei
11392745Method for improving circuit layout for manufacturabilityWu Yun-Lin; Kuo Cheng-Cheng; Chiang Chia-Ping; Hsu Chih-Wei; Lin Hua-Tai;  Chen Kuei-Shun; Lung Yuan-Hsiang; Tsai Yan-Tso
11392747Layout method of a semiconductor device and associated systemXu Jin-Wei; Zhuang Hui-Zhong; Chen Chih-Liang
11392748Integrated circuit design using fuzzy machine learningTong Chao; Deng Qingwen
11392749Integrated circuit layout generation method and systemSu Ke-Ying; Ho Jon-Hsu; Su Ke-Wei; Chen Liang-Yi; Hsieh Wen-Hsing; Lai  Wen-Koi; Kuo Keng-Hua; Lu KuoPei; Chang Lester; Wu Ze-Ming
11393509Control circuit of memory deviceWan He-Zhou; Yang Xiu-Li; Li Pei-Le; Wu Ching-Wei
11393512Memory deviceLai Chien-An; Chou Chung-Cheng; Chih Yu-Der
11393514Turbo mode SRAM for high performanceClinton Michael
11393528RRAM circuit and methodChou Chung-Cheng; Lai Chien-An; Chen Hsu-Shun; Lin Zheng-Jun; Tseng  Pei-Ling
11393674Forming low-stress silicon nitride layer through hydrogen treatmentHsieh Wei-Che; Huang Ching Yu; Yeh Hsin-Hao; Wang Chunyao; Lee Tze-Liang
11393677Semiconductor device structure with gate spacerTu Guan-Yao; Peng Yu-Yun
11393695Ion exposure method and apparatusChen Chia-Cheng; Chien Wei-Ting; Chen Liang-Yin; Chang Huicheng; Yeo  Yee-Chia
11393701Anisotropic carrier for high aspect ratio fanoutYu Chen-Hua; Hwang Chien Ling
11393704Semiconductor processing deviceLin Yii-Cheng; Sun Chih-Ming; Lin Pinyen
11393711Silicon oxide layer for oxidation resistance and method forming sameKao Wan-Yi; Ko Chung-Chi
11393713Semiconductor device and manufacturing method thereforeWann Clement Hsinghen; Tsai Chun Hsiung; More Shahaji B.; Hsu Che-Chih;  Su Chinyu; Tseng Po-Han; Hung Wen Han; Ko Chih-Hsin; Lin Yu-Ming
11393717Insulating cap on contact structure and method for forming the sameTsai Kuo-Chiang; Su Fu-Hsiang; Yu Ke-Jing; Chen Jyh-Huei
11393718Semiconductor structure and method for forming the sameChu Hwei-Jay; Wu Chieh-Han; Tsai Cheng-Hsiung; Lu Chih-Wei; Lee Chung-Ju
11393724Semiconductor device and methodWu Shih-Chieh; Wu Pang-Chi; Chao Kuo-Yi; Wang Mei-Yun; Liao Hsien-Huang;  Hsieh Tung-Heng; Young Bao-Ru
11393726Metal gate structure of a CMOS semiconductor device and method of forming  the sameZhu Ming; Young Bao-Ru; Chuang Harry Hak-Lay
11393727Structure and formation method of fin-like field effect transistorJangjian Shiu-Ko; Lin Tzu-Kai; Jeng Chi-Cherng
11393746Reinforcing package using reinforcing patchesHsu Chia-Kuei; Yew Ming-Chih; Lin Po-Yao; Chen Shuo-Mao; Hsu Feng-Cheng;  Jeng Shin-Puu
11393749Stacked via structureWang Po-Han; Kuo Hung-Jui; Hu Yu-Hsiang
11393750Semiconductor device and manufacturing method thereofLiaw Jhon-Jhy
11393763Integrated fan-out (info) package structure and methodHo Ming-Che; Kuo Hung-Jui; Lee Tzung-Hui
11393769Alignment structure for semiconductor device and method of forming sameLai Chi-Sheng; Sun Wei-Chung; Chen Li-Ting; Kao Kuei-Yu; Lin Chih-Han
11393770Semiconductor device and method of manufactureLin Jing-Cheng; Yu Chen-Hua; Tsai Po-Hao
11393771Bonding structures in semiconductor packaged device and method of forming  sameLiu Hao Chun; Hsiao Ching-Wen; Hsu Kuo-Ching; Lii Mirng-Ji
11393783Dummy structure of stacked and bonded semiconductor deviceCheng Li-Hui; Tsai Po-Hao; Lin Jing-Cheng
11393797Chip package with redistribution layersChen Jie; Chen Hsien-Wei
113938053D semiconductor packagesYu Chen-Hua; Yu Chun-Hui; Yee Kuo-Chung; Yen Liang-Ju
11393809Semiconductor device having improved electrostatic discharge protectionHsu Sheng-Fu; Kung Ta-Yuan; Chu Chen-Liang; Tsai Chih-Chung
11393814Method for forming semiconductor device with helmet structure between two  semiconductor finsChing Kuo-Cheng; Ju Shi-Ning; Wang Chih-Hao
11393815Transistors with varying width nanosheetLin Yi-Hsiung; Chiu Yi-Hsun; Chang Shang-Wen; Tsai Ching-Wei; Huang  Yu-Xuan; Cheng Kuan-Lun; Wang Chih-Hao
11393816Embedded semiconductor region for latch-up susceptibility improvementHuang Chien Yao; Su Yu-Ti
11393817Structure and method for gate-all-around metal-oxide-semiconductor  devices with improved channel configurationsLiaw Jhon Jhy
11393830Semiconductor device and manufacturing method thereofOhtou Tetsu; Tsai Ching-Wei; Cheng Kuan-Lun; Okuno Yasutoshi; Huang  Jiun-Jia
11393831Optimized static random access memoryWang Ping-Wei; Hung Lien Jung; Hsu Kuo-Hsiu; Lim Kian-Long; Lin Yu-Kuan;  Pao Chia-Hao; Yang Chih-Chuan; Lin Shih-Hao; Yeap Choh Fei
11393833Ferroelectric random access memory device with seed layerLee Bi-Shen; Lin Hsing-Lien; Kuang Hsun-Chung; Wei Yi Yang
11393863Pixel device on deep trench isolation (DTI) structure for image sensorTakahashi Seiji; Sze Jhy-Jyi; Chen Tzu-Hsiang
11393866Method for forming an image sensorLiu Po-Chun; Chang Yung-Chang; Chen Eugene I-Chun
11393898Method of manufacturing a semiconductor device and a semiconductor deviceKuan Shu; More Shahaji B.; Lin Chien; Lee Cheng-Han; Chang Shih-Chieh
11393910Semiconductor device and formation method thereofHung Wei-Chiang
11393912Semiconductor structure with metal cap layerCheng Chung-Liang; Fang Ziwei
11393924Structure and formation method of semiconductor device with high contact  areaLiang Shuen-Shin; Tsai Pang-Yen; Lin Keng-Chu; Wang Sung-Li; Lin Pinyen
11393925Semiconductor device structure with nanostructureChiang Hung-Li; Lin Yu-Chao; Cheng Chao-Ching; Chen Tzu-Chiang; Lee  Tung-Ying
11393926Multi-gate deviceWei Huan-Sheng; Chiang Hung-Li; Liu Chia-Wen; Sheu Yi-Ming; Wu Zhiqiang;  Wu Chung-Cheng; Leung Ying-Keung
11393937QE approach by double-side, multi absorption structureHuang Po-Han; Tu Chien Nan; Wen Chi-Yuan; Wu Ming-Chi; Yeh Yu-Lung; Kuo  Hsin-Yi
11393939Photo sensing device and method of fabricating the photo sensing deviceChern Chan-Hong; Song Weiwei; Lin Chih-Chang; Cho Lan-Chou; Hsu  Min-Hsiang
11393940Photodetector and method for forming the sameChern Chan-Hong
11394359Band stop filter structure and method of formingTsai Ming Hsien
11394388Low power clock networkLu Po Chun; Wang Shao-Yu
11395373Wafer holder with tapered regionLin Yi-Hung; Wang Li-Ting; Lee Tze-Liang
11396695Electromagnetic module for physical vapor depositionChu Hsuan-Chih; Pan Chien-Hsun; Chen Yen-Yu; Lin Chun-Chih
11397078Thin film metrologyChen Chih Hung; Chen Kei-Wei; Kung Te-Ming
11397226Ferromagnetic resonance (FMR) electrical testing apparatus for spintronic  devicesJan Guenole; Le Son; Thomas Luc; Guisan Santiago Serrano
11397385Apparatus and a method of forming a particle shieldCheng Wen-Hao
11397842Method for generating layout diagram including protruding pin cell  regions and semiconductor device based on sameChang Fong-Yuan; Liu Chin-Chou; Chen Sheng-Hsiung; Huang Po-Hsiang
11398257Header layout design including backside power railMori Haruki; Tien Chien-Chi; Huang Chia-En; Fujiwara Hidehiro; Chen  Yen-Huei; Chen Feng-Lun
11398261Method and signal generator for controlling timing of signal in memory  deviceYang Xiu-Li; Wan He-Zhou; Ye Mu-Yang; Kong Lu-Ping; Chang Ming-Hung
11398271Memory device having a comparator circuitShah Jaspal Singh; Katoch Atul
11398275Memory computation circuit and methodChen Yen-Huei; Liao Hung-Jen; Chang Jonathan Tsung-Yung; Fujiwara  Hidehiro
11398380Method and structure of middle layer removalChen Nai-Chia; Hsu Wan Hsuan; Wu Chia-Wei; Yang Neng-Jye; Chou Chun-Li
11398381Method for forming semiconductor structureSu Chia-Wei; Yen Fu-Ting; Tsai Teng-Chun
11398384Methods for manufacturing a transistor gate by non-directional  implantation of impurities in a gate spacerPeng Yu-Jiun; Tsao Hsiu-Hao; Chen Shu-Han; Syu Chang-Jhih; Yu Kuo-Feng;  Chen Jian-Hao; Yu Chih-Hao; Chang Chang-Yun
11398385Semiconductor device and methodHuang Yu-Lien; Wang Guan-Ren; Fu Ching-Feng
11398391Substrate processing apparatus and method for processing substrateWang Po-Yuan; Chiang Tzu Ang; Lian Jian-Jou; Wang Yu Shih; Lin Chun-Neng;  Yeh Ming-Hsi
11398393Vapor shield replacement system and methodLin Ping-Tse; Lin Chun-Chih; Lien Wen-Cheng; Ho Monica
11398396Apparatus and methods for handling die carriersKuo Tsung-Sheng; Huang Kai-Chieh; Hsiao Wei-Ting; Chu Yang-Ann; Yang  I-Lun; Lee Hsuan
11398403Multiple thickness semiconductor-on-insulator field effect transistors  and methods of forming the sameSingh Gulbagh; Wang Po-Jen; Chuang Kun-Tsang
11398404Semiconductor structure with air gap and method sealing the air gapSun Hung-Chang; Mineji Akira; Fang Ziwei
11398405Method and apparatus for back end of line semiconductor device processingWu Chung-Wen; Ting Chih-Yuan; Shieh Jyu-Horng
11398406Selective deposition of metal barrier in damascene processesKuo Chia-Pang; Lee Ya-Lien; Shen Chieh-Yi
11398416Package structure and method of fabricating the sameLee Tzung-Hui; Kuo Hung-Jui; Ho Ming-Che
11398422Package structure and fabricating method thereofLu Chun-Lin; Wu Jiun-Yi; Wu Kai-Chiang
11398440Polymer layers embedded with metal pads for heat dissipationChuang Hao-Hsiang; Liang Shih-Wei; Yang Ching-Feng; Wu Kai-Chiang; Tsai  Hao-Yi; Wang Chuei-Tang; Yu Chen-Hua
11398444Semiconductor packages having conductive pillars with inclined surfaces  and methods of forming the sameChu Chiang-Jui; Hsiao Ching-Wen; Liu Hao-Chun; Cheng Ming-Da; Wu  Young-Hwa; Chang Tao-Sheng
11398467Methods for forming integrated circuit having guard ringsSheu Ming-Song; Lee Jian-Hsing; Jong Yu-Chang; Tsai Chun-Chien
11398476Structure and formation method of semiconductor device with hybrid finsNg Jin-Aun; Chiang Kuo-Cheng; Chiang Hung-Li; Chen Tzu-Chiang; Chen  I-Sheng
11398477Semiconductor device and methodHsueh Jen-Chih; Hung Chih-Chang; Yin Tsung Fan; Chiu Yi-Wei
11398482Semiconductor device and methodWang Chun-Chieh; Pai Yueh-Ching; Yang Huai-Tei
11398512Photo-sensing device and manufacturing method thereofChen Chia-Chan
11398516Conductive contact for ion through-substrate viaTsai Min-Ying; Wu Cheng-Ta; Tu Yeur-Luen
11398550Semiconductor device with facet S/D feature and methods of forming the  sameLin Zhi-Chang; Chen Shih-Cheng; Chang Jung-Hung; Chang Lo-Heng
11398553Source/drain featuresLin Ruei-Ping; Tzeng Kai-Di; Lee Chen-Ming; Lee Wei-Yang
11398559Mitigation of time dependent dielectric breakdownHuang Yi-Jyun; Young Bao-Ru; Hsieh Tung-Heng
11398567Semiconductor device with negative capacitance comprising ferroelectric  layer including amorphous and crystalsTsai Wilman; Yeh Ling-Yen
11398597Transistor, semiconductor device including the same, and manufacturing  method thereofManfrini Mauricio
11398811Circuits and methods for reducing kickback noise in a comparatorChang Chin-Ho; Horng Jaw-Juinn; Peng Yung-Chow
11398933Multi-tap decision feed-forward equalizer with precursor and postcursor  tapsPalusa Chaitanya; Abbott Rob; Chen Wei-Li; Lan Po-Hsiang; Pfaff Dirk;  Hsieh Cheng-Hsiang
11401860Method of using oscillating assembly and exhaust systemHuang Po-Hsuan; Yang Tung-Lin
11402580Integrated optical devices and methods of forming the sameChern Chan-Hong; Hsu Min-Hsiang
11402743Mask defect preventionLu Chi-Ta; Tu Chih-Chiang; Lin Cheng-Ming; Chen Ching-Yueh; Hu Wei-Chung;  Hsu Ting-Chang; Chen Yu-Tung
11402745Mask for EUV lithography and method of manufacturing the sameLin Yun-Yue
11402747Multiple-mask multiple-exposure lithography and masksYu Peter; Hsu Chih-Tung; Wang Kevin; Hu Chih-Chia; Chen Roger
11402828Method, system and non-transitory computer-readable medium for reducing  work-in-progressWang Po-Yi; Cheng Chao-Ming
11403033Memory circuit including an array control inhibitorJain Sanjeev Kumar; O'Connell Cormac Michael
11403448Apparatus and method for mapping foundational components during design  porting from one process technology to another process technologyYu Chih-yuan Stephen; Huang Boh-Yi; Lo Chao-Chun; Guo Xiang
11404091Memory array word line routingLin Meng-Han; Wang Chenchen Jacob; Liu Yi-Ching; Chia Han-Jong; Yeong  Sai-Hooi; Lin Yu-Ming; Wang Yih
11404099Using split word lines and switches for reducing capacitive loading on a  memory systemWang Sheng-Chen; Lin Meng-Han; Huang Chia-En; Liu Yi-Ching
11404113Memory device including a word line with portions with different sizes in  different metal layersNien Yi-Hsin; Zhao Wei-Chang; Lin Chih-Yu; Fujiwara Hidehiro; Chen  Yen-Huei; Wang Ru-Yu
11404114Low voltage memory deviceSinangil Mahmut; Chen Yen-Huei; Lin Yen-Ting; Liao Hung-Jen; Chang  Jonathan Tsung-Yung
11404115Memory with write assist schemeFujiwara Hidehiro; Liao Hung-Jen; Chen Yen-Huei
11404140Method and memory device with increased read and write marginYu Hung-Chang
11404245DC bias in plasma processPan Sheng-Liang; Chen Bing-Hung; Hung Chia-Yang; Shieh Jyu-Horng; Suen  Shu-Huei; Jang Syun-Ming; Kuo Jack Kuo-Ping
11404250Plasma etcher edge ring with a chamfer geometry and impedance designWang Chien-Yu; Lin Hung-Bin; Hong Shih-Ping; Chen Shih-Hao; Lu  Chen-Hsiang; Lee Ping-Chung
11404274Source/drain structure for semiconductor deviceChang Hsiao-Chun; Shen Guan-Jie
11404308Semiconductor package and methodKuo Hung-Jui; Hsieh Yun Chen; Tsai Hui-Jung
11404309Vias for cobalt-based interconnects and methods of fabrication thereofChang Yu-Jen; Hsieh Min-Yann; Chen Hua Feng; Pan Kuo-Hua
11404312Contact plug with impurity variationWu Chung-Chiang; Tsau Hsueh Wen; Lee Chia-Ching; Hung Cheng-Lung; Su  Ching-Hwanq
11404315Method for manufacturing semiconductor deviceChang Chia-Hao; You Jia-Chuan; Lin Yu-Ming; Wang Chih-Hao; Lien Wai-Yi
11404316System, device and methods of manufactureYu Chen-Hua; Chang Wei Ling; Wang Chuei-Tang; Kuo Tin-Hao; Hsu Che-Wei
11404320Fin field effect transistor device structureChang Shang-Wen; Lin Yi-Hsiung; Chiu Yi-Hsun
11404321Semiconductor structure and method of manufacturing the sameHuang Yuan-Sheng; Chen Ryan Chia-Jen
11404322Method of manufacturing a semiconductor deviceTsai Chun Hsiung; Lin Yu-Ming; Yu Kuo-Feng; Yeh Ming-Hsi; More Shahaji  B.; Savant Chandrashekhar Prakash; Ko Chih-Hsin; Wann Clement Hsingjen
11404323Formation of hybrid isolation regions through recess and re-depositionKo Chung-Ting; Chui Chi On
11404324Fin isolation structures of semiconductor devicesChiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun; Chen Yen-Ming
11404325Silicon and silicon germanium nanowire formationNg Jin-Aun; Chiang Kuo-Cheng; Diaz Carlos H.; Colinge Jean-Pierre
11404327Gate structure and method of forming sameMore Shahaji B.; Savant Chandrashekhar Prakash; Tsai Chun Hsiung
11404341Package and package-on-package structure having elliptical columns and  ellipsoid joint terminalsChiu Sheng-Huan; Chen Chun-Jen; Chen Chen-Shien; Liu Kuo-Chio; Chang  Kuo-Hui; Lin Chung-Yi; Cheng Hsi-Kuei; Lai Yi-Jen
11404342Package structure comprising buffer layer for reducing thermal stress and  method of forming the sameChen Wei-Chih; Lee Chien-Hsun; Liu Chung-Shi; Hou Hao-Cheng; Kuo  Hung-Jui; Cheng Jung-Wei; Wang Tsung-Ding; Hu Yu-Hsiang; Liao Sih-Hao
11404366Hybrid interconnect structure for self aligned viaYang Shin-Yi; Lee Ming-Han; Shue Shau-Lin
11404367Method for forming semiconductor device with self-aligned conductive  featuresYang Tai-I; Chu Wei-Chen; Wu Yung-Hsu; Lee Chung-Ju
11404368Etch stop layer in integrated circuitsJangJian Shiu-Ko; Hong Tsung-Hsuan; Lin Chun Che; Wu Chih-Nan
11404369Semiconductor device structure with resistive elementChen Wan-Te; Chen Chung-Hui; Chen Wei-Chih; Chen Chii-Ping; Huang  Wen-Sheh; Lin Bi-Ling; Liu Sheng-Feng
11404376Interconnection structure, fabricating method thereof, and semiconductor  device using the sameLin Yu-Hung; Liu Chi-Wen; Tseng Horng-Huei
11404381Chip package with fan-out structureChen Shing-Chao; Lin Chih-Wei; Chiang Tsung-Hsien; Cheng Ming-Da; Hsieh  Ching-Hua
11404383Magnetic shielding material with insulator-coated ferromagnetic particlesLu Tsung-Hsing; Tsao Pei-Haw; Chu Li-Huan
11404394Chip package structure with integrated device integrated beneath the  semiconductor chipHsu Feng-Cheng; Jeng Shin-Puu; Chen Shuo-Mao
11404404Semiconductor structure having photonic die and electronic dieChen Hsien-Wei; Chen Ming-Fa
11404406Protection circuitHuang Chien-Yao
11404409Electrostatic discharge protection circuitTsai Ming-Fu; Chang Tzu-Heng; Su Yu-Ti; Huang Kai-Ping
11404410Semiconductor device having different voltage regionsLin Meng-Han; Chen Te-An
11404413Semiconductor device and manufacturing method thereofChen Kuan-Jung; Chen I-Chih; Huang Chih-Mu; Wu Kai-Di; Lee Ming-Feng;  Kuan Ting-Chun
11404416Low resistance fill metal layer material as stressor in metal gatesKhaderbad Mrunal A; Fang Ziwei; Lin Keng-Chu; Tsau Hsueh Wen
11404417Low leakage deviceChung Cheng-Ting; Tsai Ching-Wei; Cheng Kuan-Lun
11404418Semiconductor device and manufacturing method thereofLiao Chia-Chun; Liang Chun-Sheng; Wang Shu-Hui; Chang Shih-Hsun; Chen  Yi-Jen
11404423Fin-based strap cell structure for improving memory performanceLiaw Jhon Jhy
11404424Static random access memory with magnetic tunnel junction cellsWang Ping-Wei; Chen Jui-Lin; Lin Yu-Kuan
11404426Controlling trap formation to improve memory window in one-time program  devicesSu Hsin-Wen; Hung Lien Jung; Wang Ping-Wei; Lin Yu-Kuan; Lin Shih-Hao
11404444Three-dimensional memory device and methods of formingLu Chun-Chieh; Yeong Sai-Hooi; Young Bo-Feng; Lin Yu-Ming; Chia Han-Jong
11404460Vertical gate field effect transistorChen Chun-Yuan; Wang Ching-Chun; Tseng Hsiao-Hui; Liu Jen-Cheng; Sze  Jhy-Jyi; Ting Shyh-Fann; Wu Wei Chuang; Chiang Yen-Ting; Liao Chia Ching;  Chen Yen-Yu
11404465Epitaxial semiconductor liner for enhancing uniformity of a charged layer  in a deep trench and methods of forming the sameLee Ru-Liang; Cheng Yu-Hung; Tu Yeur-Luen
11404468Wavelength tunable narrow band filterHuang Cheng Yu; Chuang Chun-Hao; Hashimoto Kazuaki; Chou Keng-Yu; Chiang  Wei-Chieh; Wu Wen-Hau; Chang Chih-Kung
11404470Method of forming deep trench isolation in radiation sensing substrate  and image sensor deviceLu Chi-Ming; Huang Chih-Hui; Tsao Jung-Chih; Liang Yao-Hsiang; Huang  Chih-Chang; Hsu Ching-Ho
11404476Bipolar selector with independently tunable threshold voltagesLai Sheng-Chih; Lin Chung-Te; Cao Min; Osborne Randy
11404477Memory array and method of forming thereofLee I-Che; Huang Huai-Ying
11404480Memory arrays including continuous line-shaped random access memory  strips and method forming sameLin Yi-Tzu; Tzeng Kuo-Chyuan; Lin Kao-Chao; Huang Chang-Chih
11404484Image sensors with organic photodiodes and methods for forming the sameLiang Chin-Wei; Tsai Chia-Shiung; Tsai Cheng-Yuan; Lin Hsing-Lien
11404534Backside capacitor techniquesKao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng
11404537Semiconductor device with air-void in spacerSingh Gulbagh; Chuang Kun-Tsang; Chen Hsin-Chi
11404543Semiconductor device and manufacturing method thereofVellianitis Georgios
11404548Capacitance reduction for backside power rail deviceYu Li-Zhen; Chuang Cheng-Chi; Wang Chih-Hao; Su Huan-Chieh; Huang Lin-Yu
11404552Fin Field-Effect Transistor and method of forming the samePan Yu-Chi; Chuang Ying-Liang; Yeh Ming-Hsi; Huang Kuo-Bin
11404553Semiconductor device and manufacturing method thereofWang Xin-Yong; Han Liu
11404554Transistor gates and method of formingLee Hsin-Yi; Hung Cheng-Lung; Chui Chi On
11404555Metal gates and manufacturing methods thereofLu Jen-Hsiang; Tsai Tsung-Han; Chang Shih-Hsun
11404557Method of forming a high electron mobility transistorHsu Chun-Wei; Yu Jiun-Lei Jerry; Yao Fu-Wei; Yu Chen-Ju; Yang Fu-Chih;  Tsai Chun Lin
11404558Semiconductor device and a method for fabricating the sameChiou Yao-De; Chen Hui-Chi; Yeh Jeng-Ya
11404561Semiconductor device and manufacturing method thereofMore Shahaji B.; Chang Shih-Chieh
11404569Sidewall spacer structure to increase switching performance of  ferroelectric memory deviceChia Han-Jong
11404570Semiconductor devices with embedded ferroelectric field effect  transistorsChang Chia-Hao; Huang Lin-Yu; Chia Han-Jong; Young Bo-Feng; Lin Yu-Ming
11404574P-type strained channel in a fin field effect transistor (FinFET) deviceMore Shahaji B.; Yang Huai-Tei; Chang Shih-Chieh; Kuan Shu; Lee Cheng-Han
11404576Dielectric fin structureLu Yu-Shan; Yang Chung-I; Chao Kuo-Yi; Hsieh Wen-Hsing; Kuo Jiun-Ming;  Wang Chih-Ching; Peng Yuan-Ching
11404577Semiconductor device and method of forming doped channel thereofWang Tai-Yuan
11404586Transistors with enhanced dopant profile and methods for forming the sameDai Min-Kun; Chang I-Cheng; Wu Cheng-Yi; Tsai Han-Ting; Lin Tsann; Lin  Chung-Te; Chiu Wei-Gang
11404590Photo sensing device and method of fabricating the photo sensing deviceChern Chan-Hong
11404633Method for forming MTJS with lithography-variation independent critical  dimensionGallagher William J.
11404635Memory stacks and methods of forming the sameLee Tung-Ying; Yu Shao-Ming; Lin Yu-Chao
11404638Multi-doped data storage structure configured to improve resistive memory  cell performanceLee Bi-Shen; Trinh Hai-Dang; Jiang Fa-Shen; Kuang Hsun-Chung
11407083Polishing head, chemical-mechanical polishing system and method for  polishing substrateHsu Shu-Bin; Lin Ren-Guei; Wu Feng-Inn; Wang Sheng-Chen; Li Jung-Yu
11407636Inter-poly connection for parasitic capacitor and die size improvementCheng Shyh-Wei; Wang Chih-Yu; Hsu Hsi-Cheng; Chiang Ji-Hong; Weng  Jui-Chun; Lin Shiuan-Jeng; Wu Wei-Ding; Hu Ching-Hsiang
11409139Semiconductor device including waveguide heater, and method and system  for generating layout diagram of sameKuo Feng-Wei; Jou Chewn-Pu; Chen Huan-Neng; Cho Lan-Chou
11409200Substrate measuring device and a method of using the sameWu Min-Cheng; Liao Chi-Hung
11409201Substrate measuring device and a method of using the sameWu Min-Cheng; Liao Chi-Hung
11409915Method and apparatus for protecting a PUF generatorLu Shih-Lien Linus
11409937Semiconductor device including cell region having more similar cell  densities in different height rows, and method and system for generating  layout diagram of sameLin Wei-Cheng; Yang Hui-Ting; Tzeng Jiann-Tyng; Yuan Lipen; Lai Wei-An
11409938Integrated circuit and method of manufacturing sameChiang Ting-Wei; Zhuang Hui-Zhong; Tien Li-Chun
11410714Magnetoresistive memory device and manufacturing method thereofLuo Zong-You; Tsou Ya-Jui; Liu Chee-Wee; Lin Shao-Yu; Chung Liang-Chor;  Wang Chih-Lin
11410719SRAM arrayLiaw Jhon-Jhy
11410740Multi-fuse memory cell circuit and methodChang Meng-Sheng; Huang Chia-En; Chou Shao-Yu; Wang Yih
11410846Method for metal gate surface cleanSuen Shich-Chang; Wu Li-Chieh; Liu Chi-Jen; Peng He Hui; Chen  Liang-Guang; Chen Yung-Chung
11410857Wafer holding pins and methods of using the sameChen Chia-Lun; Hung Ming-Sung; Shih Po-Jen; Hsu Wen-Hung
11410876Semiconductor device with air gaps and method of fabrication thereofChang Chia-Hao; Huang Lin-Yu; Yu Li-Zhen; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11410877Source/drain contact spacers and methods of forming sameWu Xusheng
11410878Semiconductor device with contact pad and method of makingYen Chun-Hsu; Hsu Yu Chuan; Yang Chen-Hui
11410880Phase control in contact formationHuang Chun-Hsien; Chen I-Li; Chen Pin-Wen; Hsu Yuan-Chen; Lin Wei-Jung;  Chang Chih-Wei; Tsai Ming-Hsing
11410882Method of forming semiconductor device having a dual material  redistribution line and semiconductor deviceCheng Anhao; Liu Chun-Chang
11410886Dummy fin with reduced height and method forming sameLin Shih-Yao; Liu Te-Yung; Lin Chih-Han
11410887FinFET device having oxide region between vertical fin structuresChing Kuo-Cheng; Leung Ying-Keung
11410889Semiconductor device and manufacturing method thereofWang Chun-Chieh; Pai Yueh-Ching
11410890Epitaxial layers in source/drain contacts and methods of forming the sameShih Ding-Kang; Tsai Pang-Yen
11410897Semiconductor structure having a dielectric layer edge covering circuit  carrierWu Chih-Wei; Lu Szu-Wei; Shih Ying-Ching
11410910Packaged semiconductor device including liquid-cooled lid and methods of  forming the sameHsiao Sheng-Tsung; Wang Jen Yu; Wu Chung-Jung; Shao Tung-Liang; Tung  Chih-Hang
11410918Method of making an integrated circuit package including an integrated  circuit die soldered to a bond pad of a carrierYu Chen-Hua; Kuo Hung-Jui; Ho Ming-Che; Lee Tzung-Hui
11410923Semiconductor device, integrated fan-out package and method of forming  the sameTsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Chen Wei-Ting
11410925Electrical fuse structure and method of formationWu Shien-Yang; Kung Wei-Chang
11410926E-fuse enhancement by underlayer layout designFu An-Jiao; Huang Po-Hsiang; Hsu Derek; Hsueh Hsiu-Wen; Chang Meng-Sheng
11410927Semiconductor structure and method for forming thereofChang Jen-Yuan; Lai Chia-Ping
11410929Semiconductor device and method of manufactureChang Fong-yuan; Ettuveettil Noor Mohamed; Huang Po-Hsiang; Jan Sen-Bor;  Chen Ming-Fa; Liu Chin-Chou; Cheng Yi-Kan
11410930Semiconductor device and methodWang Pei-Yu; Huang Yu-Xuan
11410932Semiconductor device and method of manufacturing the sameTseng Ming-Hung; Hsieh Cheng-Chieh; Tsai Hao-Yi
11410939Chip package with lidYeh Shu-Shen; Wang Chin-Hua; Lee Kuang-Chun; Lin Po-Yao; Leu Shyue-Ter;  Jeng Shin-Puu
11410948Semiconductor structure and manufacturing method thereofChen Ming-Fa; Chen Hsien-Wei; Chen Jie
11410952Filter and capacitor using redistribution layer and micro bump layerYen Hsiao-Tsung; Lu Jhe-Ching; Lin Yu-Ling; Kuo Chin-Wei; Jeng Min-Chie
11410953Via structure for packaging and a method of formingHo Ming-Che; Wu Yi-Wen; Hwang Chien Ling; Kuo Hung-Jui; Liu Chung-Shi
11410956Chip package structure with bumpChen Wei-Yu; Huang Li-Hsien; Su An-Jhih; Chen Hsien-Wei
11410968Semiconductor device and method of forming the sameWu Jiun Yi; Yu Chen-Hua; Hou Shang-Yun
11410972Hybrid bonding technology for stacking integrated circuitsWu Kuo-Ming; Wang Ching-Chun; Yaung Dun-Nian; Lin Hsing-Chih; Liu  Jen-Cheng; Kao Min-Feng; Lin Yung-Lung; Huang Shih-Han; Chen I-Nan
11410982Semiconductor devices and methods of manufacturingYang Chang-Yi; Chuang Po-Yao; Jeng Shin-Puu
11410986Power cell for semiconductor devicesYang Chung-Chieh; Lu Chung-Ting; Peng Yung-Chow
11410991Series resistor over drain region in high voltage deviceHuo Ker Hsiao; Yang Fu-Chih; Tsai Chun Lin; Chen Yi-Min; Chan Chih-Yuan
11410993Method of manufacturing semiconductor deviceChuang Harry Hak-Lay; Wu Wei Cheng
11410995Semiconductor structure and method of forming thereofSong Jhu-Min; Chou Chien-Chih; Thei Kong-Beng; Fan Fu-Jier
11410996Field-effect transistors having transition metal dichalcogenide channels  and methods of manufactureYeo Yee-Chia; Yeh Ling-Yen; Sun Yuan-Chen
11410999Boundary design for high-voltage integration on HKMG technologyChen Yi-Huan; Chou Chien-Chih; Kalnitsky Alexander; Thei Kong-Beng; Liu  Ming Chyi; Hsiao Shih-Chung; Chen Jhih-Bin
11411001Integrated circuit and manufacturing method thereofChang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei
11411011Semiconductor structure having memory device and method of forming the  sameWu Chao-I; Lin Yu-Ming; Chia Han-Jong
114110253D ferroelectric memoryLai Sheng-Chih; Lin Chung-Te
11411033Image sensor device and manufacturing method thereofChiu Wei-Chao; Chang Chun-Wei; Kuo Ching-Sen; Shiu Feng-Jia
11411079Semiconductor device and methodLee Hsin-Yi; Chen Ji-Cheng; Chui Chi On
11411082Nanowire stack GAA device with selectable numbers of channel stripsTsou Ya-Jui; Luo Zong-You; Huang Wen Hung; Yan Jhih-Yang; Liu Chee-Wee
11411083Semiconductor structureHsiao Meng-Hsuan; Chen Winnie Victoria Wei-Ning; Lee Tung Ying
11411086Field plate and isolation structure for high voltage deviceChen Kaochao; Ho Chia-Cheng; Liu Ming Chyi
11411089Semiconductor device and manufacturing method thereofVellianitis Georgios
11411090Contact structures for gate-all-around devices and methods of forming the  sameLiaw Jhon Jhy
11411094Contact with a silicide regionCheng Yu-Wen; Lin Cheng-Tung; Chang Chih-Wei; Lee Hong-Mao; Tsai  Ming-Hsing; Lin Sheng-Hsuan; Lin Wei-Jung; Tsai Yan-Ming; Wang Yu-Shiuan;  Chen Hung-Hsu; Loh Wei-Yip; Cheng Ya-Yi
11411097Semiconductor deviceLin Yi-Chuan; Chuang Chiang-Ming; Wu Shang-Yen
11411098Devices with strained source/drain structures and method of forming the  sameKwok Tsz-Mei; Sung Hsueh-Chang; Chen Kuan-Yu; Lin Hsien-Hsin
11411100Method of forming backside power railsWang Ping-Wei; Yang Chih-Chuan; Lin Yu-Kuan; Yeap Choh Fei
11411103Tunnel field-effect transistor with reduced trap-assisted tunneling  leakageVasen Timothy; Doornbos Gerben; Passlack Matthias
11411107FinFET structure and method with reduced fin bucklingLai Wei-Jen; Chen Yen-Ming; Lee Tsung-Lin
11411108Semiconductor device and manufacturing method thereofChen Chih-Fen; Peng Chui-Ya; Yu Ching; Lin Pin-Hen; Chuang Yen; Fan  Yuh-Ta
11411109MOS devices having epitaxy regions with reduced facetsSung Hsueh-Chang; Li Kun-Mu; Lee Tze-Liang; Li Chii-Horng; Kwok Tsz-Mei
11411112Gate structure, method of forming the same, and semiconductor device  having the sameWang Chun-Chieh; Yeh Sheng-Wei; Pai Yueh-Ching; Yang Chi-Jen
11411113FinFETs and methods of forming FinFETsLin Chin-Hsiang; Huang Tai-Chun; Bao Tien-I
11411123Semiconductor device and method of formationHuang Chin-Yi; Shih Wade
11411174Silicon oxynitride based encapsulation layer for magnetic tunnel  junctionsSundar Vignesh; Wang Yu-Jen; Shen Dongna; Patel Sahil; Tong Ru-Ying
11411176Gradient protection layer in MTJ manufacturingPeng Tai-Yen; Chen Yu-Shu; Yang Sin-Yi; Wang Chen-Jung; Huang Chien  Chung; Lin Han-Ting; Shieh Jyu-Horng; Fu Qiang
11411178Resistive random access memory deviceMo Chun-Chieh; Kuo Shih-Chi
11411180Phase-change memory device and methodLee Tung Ying; Lin Yu Chao; Yu Shao-Ming
11411181Phase-change memory device and methodLee Tung Ying; Yu Shao-Ming; Lin Yu Chao
11411535Semiconductor device and operation method thereofHwu Jenn-Gwo; Hsu Ting-Hao
11411554Comparing device and method of controlling comparing deviceChuang Mei-Chen
11411571Phase-locked loop monitor circuitGoel Sandeep Kumar; Chen Ji-Jan; John Stanley; Lee Yun-Han; Huang Yen-Hao
11412167Image sensor including pixel circuitsLiu Chih-Min
11414757Gas tube, gas supply system and manufacturing method of semiconductor  device using the sameChen Yi-Shiung; Huang Cheng-Yi; Yang Chih-Shen; Kuo Shou-Wen; Chai Po-Wen
11414759Mechanisms for supplying process gas into wafer process apparatusLin Su-Horng
11414763Manufacturing method of sensor in an internet-of-thingsLei Ming-Ta; Chu Chia-Hua; Chiang Hsin-Chih; Chen Tung-Tsun; Cheng  Chun-Wen
11415762Optical bench, method of making and method of usingKuo Ying-Hao; Hou Shang-Yun; Lee Wan-Yu
11415820Waveguide structureChern Chan-Hong
11415878Pellicle frame with stress relief trenchesLee Kuo-Hao; Jhang You-Cheng; Pan Han-Zong; Weng Jui-Chun; Chung  Chiu-Hua; Lin Sheng-Yuan; Chen Hsin-Yu
11415879Reticle enclosure for lithography systemsShih Chih-Tsung; Chien Tsung-Chih; Lee Tsung Chuan; Chang Hao-Shiang
11415890Method of mask data synthesis and mask makingHuang Hsu-Ting; Lo Shih-Hsiang; Liu Ru-Gun
11416666Integrated circuit and method for forming the sameLai Ming-Fang; Chen Guan-Yu; Chang Yi-Feng
11417370Memory deviceLin Chien-Chen; Chan Wei Min
11417377Three-dimensional (3-D) write assist scheme for memory cellsChiu Chih-Chieh; Huang Chia-En; Wu Fu-An; Huang I-Han; Yang Jung-Ping
11417512Method for cleaning semiconductor wafer backside surface by hybrid brush  assemblyMai Hsuan-Ying; Lee Hui-Chun
11417520Semiconductor structure having sets of III-V compound layers and method  of formingChen Chi-Ming; Liu Po-Chun; Yu Chung-Yi; Tsai Chia-Shiung
11417539Bump structure and method of making the sameLu Wen-Hsiung; Cheng Ming-Da; Lin Su-Fei; Liu Hsu-Lun; Chan Chien-Pin;  Lin Yung-Sheng
11417566Semiconductor device structure with interconnect structure and method for  forming the sameKung Chun-Hao; Chang Chih-Chieh; Liao Kao-Feng; Huang Hui-Chi; Chen  Kei-Wei
11417569Package structure having integrated circuit component with conductive  terminals of different dimensionsChiu Ming-Yen
11417571Dopant profile control in gate structures for semiconductor devicesSavant Chandrashekhar Prakash; Tsai Chia-Ming; Yu Tien-Wei
11417580Package structures and methods of forming the sameYu Chen-Hua; Wei Wen-Hsin; Wu Chi-Hsi; Hou Shang-Yun; Lin Jing-Cheng; Hu  Hsien-Pin; Shih Ying-Ching; Lu Szu-Wei
11417582Package structure and method of manufacturing the sameChen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Cho Hung-Chun
11417587Package structure and method of fabricating the sameChen Hsien-Wei; Chen Jie; Chen Ming-Fa; Yeh Sung-Feng
11417588Semiconductor structure and layout method of a semiconductor structureChen Wei-Ren; Chen Chih-Liang; Chang Wei-Ling; Zhuang Hui-Zhong; Tien  Li-Chun
114175943DIC package integration for high-frequency RF systemLiao Wen-Shiang
11417596Optical routing structure on backside of substrate for photonic devicesSong Weiwei; Chern Chan-Hong; Kuo Feng-Wei; Cho Lan-Chou; Rusu Stefan
11417599Plurality of different size metal layers for a pad structureChen Hsien-Wei; Yang Ching-Jung; Tu Chia-Wei
11417601Semiconductor device and manufacturing method thereofWang Xin-Yong; Han Liu; Tien Li-Chun; Chen Chih-Liang
11417602Semiconductor device having an extra low-k dielectric layer and method of  forming the sameShih Po-Cheng; Chou Chia Cheng; Te Li Chun
11417604Dense redistribution layers in semiconductor packages and methods of  forming the sameYu Chen-Hua; Kuo Hung-Jui; Tsai Hui-Jung
11417606Package structure and method of fabricating the sameLin Shih-Ting; Wu Chi-Hsi; Yu Chen-Hua; Lu Szu-Wei
11417610Post-passivation interconnect structureChen Hsien-Wei; Tsai Hao-Yi; Lii Mirng-Ji; Yu Chen-Hua
11417616Package structure and manufacturing method thereofWu Kai-Chiang; Pu Han-Ping; Wang Yen-Ping
11417619Package and manufacturing method thereofChen Ming-Fa; Chen Hsien-Wei; Yeh Sung-Feng
11417620Semiconductor device encapsulated by molding material attached to  redestribution layerJeng Shin-Puu; Chen Shuo-Mao; Hsu Feng-Cheng
11417629Three-dimensional stacking structure and manufacturing method thereofChen Ming-Fa; Yeh Sung-Feng; Liu Tzuan-Horng; Shih Chao-Wen
11417633Integrated circuit package and methodYu Chen-Hua; Lai Chi-Hui; Kuo Tin-Hao; Tsai Hao-Yi; Liu Chung-Shi
11417638Semiconductor structuresLai Chi-Hui; Yu Chen-Hua; Liu Chung-Shi; Tsai Hao-Yi; Kuo Tin-Hao
11417643Package-on-package with redistribution structureLiu Yu-Chih; Ho Kuan-Lin; Lin Wei-Ting; Chen Chin-Liang; Lu Jing Ruei
11417649Semiconductor deviceChen Yi-Sheng; Thei Kong-Beng; Fan Fu-Jier; Kao Jung-Hui; Chen Yi-Huan;  Lin Kau-Chu
11417653Semiconductor structure and method for forming the sameYu Jia-Ni; Chiang Kuo-Cheng; Chu Lung-Kun; Hsu Chung-Wei; Wang Chih-Hao;  Huang Mao-Lin
11417670Structure and method for single gate non-volatile memory deviceTsui Felix Ying-Kit; Tseng Huang-Wen
11417684Semiconductor device and manufacturing method thereofHung Tsung-Yu; Lee Pei-Wei; Tsai Pang-Yen
11417698Semiconductor package and method of forming the sameChang Chia-Lun; Hsieh Ching-Hua; Tsai Chung-Hao; Liu Chung-Shi; Wang  Chuei-Tang; Lin Hsiu-Jen
11417700Image sensing device and manufacturing method thereofHuang Chih-Chang; Lu Chi-Ming; Chen Jian-Ming; Tsao Jung-Chih; Liang  Yao-Hsiang
11417729Transistors with channels formed of low-dimensional materials and method  forming sameCheng Chao-Ching; Chao Tzu-Ang; Lu Chun-Chieh; Chiang Hung-Li; Chen  Tzu-Chiang; Li Lain-Jong
11417739Contacts for semiconductor devices and methods of forming the sameLin Meng-Han; Yeong Sai-Hooi; Chui Chi On
11417740Methods for forming recesses in source/drain regions and devices formed  thereofHuang Yu-Lien
11417741Integrated chip with a gate structure over a recessHuang Yong-Sheng; Liu Ming Chyi
11417745Structure and formation method of semiconductor device with metal gate  stackYou Jia-Chuan; Su Huan-Chieh; Chiang Kuo-Cheng; Wang Chih-Hao
11417748Semiconductor device and method of fabricating a semiconductor deviceKo Chung-Ting; Wu Bi-Fen; Chui Chi-On
11417749Semiconductor arrangement with airgap and method of formingSingh Gulbagh; Po-Jen Wang; Chuang Kun-Tsang; Tsai Tsung-Han
11417750Gate air spacer for fin-like field effect transistorYao Chien Ning; Young Bo-Feng; Yeong Sai-Hooi; Cheng Kuan-Lun; Wang  Chih-Hao
11417751Semiconductor device structure and method for forming the sameLin Tze-Chung; Lin Han-Yu; Lin Li-Te; Lin Pinyen
11417753Method of making semiconductor device comprising flash memory and  resulting deviceLin Chien-Hung; Mo Chun-Chieh; Kuo Shih-Chi
11417764Interface profile control in epitaxial structures for semiconductor  devicesChen Winnie Victoria Wei-Ning; Tsai Pang-Yen; Okuno Yasutoshi
11417766Transistors having nanostructuresChung Cheng-Ting; Tsai Ching-Wei; Cheng Kuan-Lun
11417767Semiconductor devices including backside vias and methods of forming the  sameChang Che-Lun; Lee Wei-Yang; Lin Chia-Pin; Peng Yuan-Ching
11417777Enlargement of GAA nanostructureChang Lo-Heng; Chang Jung-Hung; Lin Zhi-Chang; Chiang Kuo-Cheng; Wang  Chih-Hao
11417832Semiconductor device and manufacturing method thereofYin Yu-Feng; Peng Tai-Yen; Chang An-Shen; Tsai Han-Ting; Fu Qiang; Lin  Chung-Te
11417835Nitride capping layer for spin torque transfer (STT) magnetoresistive  random access memory (MRAM)Iwata Jodi Mari; Jan Guenole; Tong Ru-Ying; Sundar Vignesh; Zhu Jian; Liu  Huanlong
11417839Memory device, memory integrated circuit and manufacturing method thereofSung Fu-Ting
11418025Device and method for electrostatic discharge protectionFan Hang; Lai Ming-Fang; Cheng Shui-Ming
11418887MEMS device with enhanced membrane structure and method of forming the  sameCheng Chun-Wen; Tsai Chun Yin; Chu Chia-Hua
11419203EUV radiation modification methods and systemsChang Chun-Lin Louis; Yeh Jen-Hao; Fu Tzung-Chi; Liu Bo-Tsun; Chen  Li-Jui; Cheng Po-Chung
11420866Composite spring structure to reinforce mechanical robustness of a MEMS  deviceChang Kuei-Sung; Tsai Shang-Ying; Mao Wei-Jhih
11422465Extreme ultraviolet photoresist with high-efficiency electron transferLai Wei-Han; Lin Chin-Hsiang; Wang Chien-Wei
11422466Photomask including fiducial mark and method of making semiconductor  device using the photomaskLee Hsin-Chang; Lin Ping-Hsun; Lin Chih-Cheng; Chen Chia-Jen
11422475Multi-metal fill with self-aligned patterning and dielectric with voidsYang Tai-I; Chu Wei-Chen; Liu Hsiang-Wei; Shue Shau-Lin; Su Li-Lin; Wu  Yung-Hsu
11422819Power efficient multi-bit storage systemHuang Kai-Chi; Liu Chi-Lin; Ma Wei-Hsiang; Hsieh Shang-Chih
11423204System and method for back side signal routingChen Sheng-Hsiung; Kao Jerry Chang Jui; Yang Kuo-Nan; Liu Jack
11423526Optical inspection of a waferChen Chih-Lieh; Hu Cheng-Kang; Wu Cheng-Lung; Pai Jiun-Rong
11423960Memory deviceChang Meng-Sheng; Huang Chia-En; Liu Yi-Ching; Wang Yih
11423962Bit line pre-charge circuit and methodYeh Che-Ju; Hsu Yu-Hao; Shieh Hau-Tai; Lee Cheng
11423966Memory array staircase structureLin Meng-Han; Chia Han-Jong; Wang Sheng-Chen; Yang Feng-Cheng; Lin  Yu-Ming; Lin Chung-Te
11423974Method of forming semiconductor device including distributed write  driving arrangementFujiwara Hidehiro; Liao Hung-Jen; Wang Li-Wen; Chang Jonathan Tsung-Yung;  Chen Yen-Huei
11423977Static random access memory with write assist circuitFujiwara Hidehiro; Lin Chih-Yu; Singh Sahil Preet; Pan Hsien-Yu; Chen  Yen-Huei; Liao Hung-Jen
11423978Write assist for a memory device and methods of forming the sameSingh Sahil Preet; Chen Yen-Huei; Liao Hung-Jen
11423982Resistive memory device with trimmable driver and sinker and method of  operations thereofChou Chung-Cheng
11424101Machine learning on wafer defect reviewChou Chung-Pin; Huang Sheng-Wen; Liu Jun-Xiu
11424107Temperature-controlled plasma generation systemLiu Li-Shi
11424111Sputtering target assembly to prevent overetch of backing plate and  methods of using the sameChung Chen-Fang; Cheng Wen-Cheng; Yang Po Wen; He Ming-Jie; Lu Yan-Zi;  Teng Cheng-Yi
11424154Buried metal for FinFET device and methodChou Lei-Chun; Chen Chih-Liang; Tzeng Jiann-Tyng; Lai Chih-Ming; Liu  Ru-Gun; Young Charles Chew-Yuen
11424165Method of manufacturing semiconductor devices having different gate  dielectric thickness within one transistorChou Jen-Chun; Cheng Tung-Wen
11424174Semiconductor device and method of forming the samePan Chih-Chien; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei
11424175Semiconductor device with heating structureShih Chih-Tsung; Jou Chewn-Pu; Rusu Stefan; Kuo Feng-Wei
11424185Semiconductor device and manufacturing method thereofChang Cheng-Wei; Chu Chia-Hung; Lin Kao-Feng; Chang Hsu-Kai; Liang  Shuen-Shin; Wang Sung-Li; Liu Yi-Ying; Yeh Po-Nan; Wang Yu Shih; Chiu U-Ting;  Lin Chun-Neng; Yeh Ming-Hsi
11424188Methods of fabricating integrated circuit devices having raised via  contactsTsai Kuo-Chiang; Chen Jyh-Huei; Cheng Jye-Yen
11424189Pad structure design in fan-out packageYu Chen-Hua; Jeng Shin-Puu; Yeh Der-Chyang; Chen Hsien-Wei
11424191Semiconductor devices and methods of manufactureChen Hsien-Wei; Chen Ming-Fa
11424194Three dimensional integrated circuit (3DIC) with support structuresWu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei; Lin Jing-Cheng
11424197Package, package structure with redistributing circuits and antenna  elements and method of manufacturing the sameWang Chuei-Tang; Tsai Chung-Hao; Yu Chen-Hua; Lu Chun-Lin; Pu Han-Ping;  Wu Kai-Chiang
11424199Connector formation methods and packaged semiconductor devicesCheng Jung Wei; Chen Hai-Ming; Lee Chien-Hsun; Hou Hao-Cheng; Lin  Hung-Jen; Chuang Chun-Chih; Liu Ming-Che; Wang Tsung-Ding
11424205Semiconductor interconnect structure and methodChen Jie; Chen Hsien-Wei
11424213Semiconductor structure including a first surface mount component and a  second surface mount component and method of fabricating the semiconductor  structureChang Mao-Yen; Lin Chih-Wei; Tsai Hao-Yi; Pan Kuo-Lung; Lin Chun-Cheng;  Kuo Tin-Hao; Lai Yu-Chia; Tai Chih-Hsuan
11424219Package structure and method of fabricating the sameShen Wen-Wei; Huang Sung-Hui; Hou Shang-Yun
11424220Semiconductor structure and manufacturing method thereofYu Chi-Yang; Ho Kuan-Lin; Chen Chin-Liang; Liang Yu-Min
11424228Semiconductor structure and method for manufacturing the sameKao Min-Feng; Yaung Dun-Nian; Liu Jen-Cheng; Lin Hsing-Chih; Wang  Ching-Chun
11424233Memory circuits and related methodsLiu Yi-Ching; Wang Yih; Huang Chia-En
11424237Memory deviceMa Yuan; Shang Ke-Liang; Wang Xin-Yong
11424242Structure and formation method of semiconductor device with isolation  structureJu Shi-Ning; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao
11424243Semiconductor device and manufacturing method thereofChing Kuo-Cheng; Wang Chih-Hao; Chen Chih-Liang; Ju Shi Ning
11424244Integrated circuit having a vertical power MOS transistorNg Chun-Wai; Chou Hsueh-Liang; Su Po-Chih; Liu Ruey-Hsin
11424255Semiconductor device and manufacturing method thereofLiu Ming-Chyi; Hsieh Chih-Ren; Chen Sheng-Chieh
11424261Integrated circuit with different memory gate work functionsWu Yun-Chi; Shu Cheng-Bo; Liu Chien Hung
11424263Boundary design to reduce memory array edge CMP dishing effectWu Wei Cheng; Chang Chien-Hung
11424268Semiconductor structure and manufacturing method thereofChia Han-Jong; Lin Yu-Ming; Wu Zhiqiang; Yeong Sai-Hooi
11424319Multilayer capacitor electrodeShen Hsiang-Ku; Chen Dian-Hau
11424332Gap spacer for backside contact structureYu Li-Zhen; Huang Lin-Yu; Cheng Kuan-Lun; Wang Chih-Hao
11424338Metal source/drain featuresWang Pei-Yu
11424339Integrated chip and method of forming thereofLin Meng-Han; Huang Chia-En
11424341Semiconductor deviceLo Yi-Chen; Lin Li-Te; Lin Pinyen
11424347Semiconductor device and methodLiao Ssu-Yu; Su Tsu-Hui; Fan Chun-Hsiang; Wang Yu-Wen; Yeh Ming-Hsi;  Huang Kuo-Bin
11424359Semiconductor device structure with high voltage deviceLin Hung-Chou; Chiu Yi-Cheng; Murukesan Karthick; Chen Yi-Min; Lin  Shiuan-Jeng; Chiang Wen-Chih; Chang Chen-Chien; Chan Chih-Yuan; Wu Kuo-Ming;  Tsai Chun-Lin
11424364FinFET device and method of formingChen Xi-Zong; Hsiung Te-Chih; Chao Cha-Hsin; Chiu Yi-Wei
11424366Semiconductor deviceChang Che-Cheng; Lin Chih-Han
11424371Multi-trench Schottky diodeTsai Yi-Lung; Imam Syed Sarwar; Chuang Yao-Wei; Tung Ming-Lou
11424399Integrated thermoelectric devices in Fin FET technologyWang Jhong-Sheng; Shih Jiaw-Ren; Hsu Hsiao-Hsuan
11424405Post treatment to reduce shunting devices for physical etching processWang Yu-Jen; Shen Dongna; Sundar Vignesh; Patel Sahil
11424406Generating self-aligned heater for PCRAM using filamentsLai Sheng-Chih
11424724Ampilfier with VCO-based ADCKinyua Martin; Soenen Eric
11424726Differential amplifierChang Chin-Hao; Mhala Manoj M.; Chao Calvin Yi-Ping
11424740Multi-voltage input output devicePan Lei; Tang Zhen; Ma Miranda
11424751Programmable regulator voltage controlled ring oscillatorTsai Tsung-Hsien; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang
11426965Box erecting apparatus and methodHuang Szu-Chen; Li Fu-Hsien; Chiu Mao-Jung; Lien Mao-Shun; Chiu Po-Hsien
11427924Apparatus for electro-chemical platingHou Kuo-Lung; Lin Ming-Hsien; Wu Tsung-Cheng
11428583Temperature sensor based on different wire temperature coefficient of  resistance (TCR)Wang Lorraine; Lu Shih-Lien Linus
11428870Semiconductor structure and method of fabricating the sameKuo Feng-Wei; Liao Wen-Shiang
11428871Optical device for coupling lightChern Chan-Hong
11428879Method for forming a package structure for optical fiberHuang Sung-Hui; Lai Jui-Hsieh; Hou Shang-Yun
11429019Method for manufacturing semiconductor deviceHu Wei-Chung; Lu Chi-Ta; Tsai Chi-Ming
11429027Photolithography method and apparatusYu Shinn-Sheng; Liu Ru-Gun; Huang Hsu-Ting; Lin Chin-Hsiang
11429028Method of cutting conductive patternsHsu Chin-Hsiung; Chen Huang-Yu; Ou Tsong-Hua; Chen Wen-Hao
11429482Systems and methods for correcting data errors in memoryChih Yu-Der; Wang Ching-Huang; Shih Yi-Chun; Shih Meng-Chun; Wang C. Y.
11429774Variable width nano-sheet field-effect transistor cell structureLai Wei-An; Lin Wei-Cheng; Chen Yan-Hao; Tzeng Jiann-Tyng; Yuan Lipen;  Zhuang Hui-Zhong; Huang Yu-Xuan
11429775Automatic generation of sub-cells for an analog integrated circuitChang Chih-Chiang; Chou Wen-Shen; Peng Yung-Chow; Chuang Yung-Hsu; Yang  Yu-Tao; Kasina Bindu Madhavi
11430108Defect offset correctionLiao Chien-Ko; Hsueh Ya-Hsun; Chuang Sheng-Hsiang; Liu Hsu-Shui; Pai  Jiun-Rong; Kuo Shou-Wen
11430491Device and method for reading data in memoryHorng Jaw-Juinn; Chang Chin-Ho; Peng Yung-Chow; Tsao Szu-Chun
11430507Memory device with enhanced access capability and associated methodLu Shih-Lien Linus
11430508Circuit for reducing voltage degradation caused by parasitic resistance  in a memory deviceLiaw Jhon Jhy
11430512Semiconducting metal oxide memory device using hydrogen-mediated  threshold voltage modulation and methods for forming the sameVan Dal Marcus Johannes Henricus; Doornbos Gerben; Vellianitis Georgios;  Duriez Blandine; Manfrini Mauricio
11430652Controlling threshold voltages through blocking layersLee Chia-Ching; Wu Chung-Chiang; Chiu Shih-Hang; Tung Hsuan-Yu; Lee  Da-Yuan
11430666Semiconductor device and method of manufacturing semiconductor deviceChuu Chih-Piao; Li Ming-Yang; Li Lain-Jong
11430670Stacked semiconductor devices and methods of forming sameChen Hsien-Wei; Yeh Der-Chyang; Huang Li-Hsien
11430671Ozone wafer cleaning module having an ultraviolet lamp module with  rotatable reflectorsLin Chen-Yang; Liu Chung-Hsuan; Sung Ku-Hsiang; Lin Kuan-Wen; Chen  Chia-Jen; Lee Hsin-Chang
11430677Wafer taping apparatus and methodLee Chien-Yi; Liu Wen-Kuei
11430691Polishing interconnect structures in semiconductor devicesChang Pang-Sheng; Wang Chao-Hsun; Chao Kuo-Yi; Yang Fu-Kai; Wang Mei-Yun;  Wu Li-Chieh; Hsu Chun-Wei
11430692Thermally stable copper-alloy adhesion layer for metal interconnect  structures and methods for forming the sameTsai Cheng-Lun; Hsieh Huei-Wen; Chen Chun-Sheng; Kuo Kai-Shiang; Liu  Jen-Wei; Weng Cheng-Hui; Lin Chun-Chieh; Su Hung-Wen
11430694Metal gates of transistors having reduced resistivityTsai Chia-Ching; Chiu Yi-Wei; Hsu Li-Te
11430698In-situ formation of metal gate modulatorsTsai Hsin-Han; Wu Chung-Chiang; Hung Cheng-Lung; Chang Weng; Chui Chi On
11430699Method of manufacturing semiconductor devicesYeh Ling-Yen; Diaz Carlos H.; Tsai Wilman
11430700Trench isolation with conductive structuresSavant Chandrashekhar Prakash; Tsai Chia-Ming; Fan Yuh-Ta; Yu Tien-Wei
11430701Gate oxide structures in semiconductor devicesCheng Chung-Liang
11430702Semiconductor structure and method for manufacturing thereofKwan Man-Ho; Yao Fu-Wei; Su Ru-Yi; Tsai Chun Lin; Kalnitsky Alexander
11430729MIM capacitor with a symmetrical capacitor insulator structureLin Hsing-Lien; Lee Cheng-Te; Chu Rei-Lin; Wu Chii-Ming; Tu Yeur-Luen; Yu  Chung-Yi
11430733Method of testing waferHo Yen-Hsung; Tseng Chia-Yi; Lin Chih-Hsun; Chuang Kun-Tsang; Hsu  Yung-Lung
11430739Structure and formation method of package structure with fan-out  structureTsai Po-Hao; Liu Hsien-Wen; Jeng Shin-Puu; Lin Meng-Liang; Peng  Shih-Yung; Hung Shih-Ting
11430776Semiconductor devices and methods of manufacturingWu Yi-Wen; Chuang Po-Yao; Lin Meng-Liang; Wong Techi; Hung Shih-Ting;  Tsai Po-Hao; Jeng Shin-Puu
11430788Integrated circuit with latch-up immunityLin Jing-Yi; Yang Chih-Chuan; Lin Shih-Hao
11430789Semiconductor devices with backside contacts and isolationChen Chun-Yuan; Su Huan-Chieh; Chuang Cheng-Chi; Wang Chih-Hao
11430790Semiconductor device and methodMore Shahaji B.
11430799Semiconductor device and manufacturing method thereofLiu Chen-Chin; Wu Wei Cheng; Lu Yi Hsien; Wang Yu-Hsiung; Yang Juo-Li
11430823Method for manufacturing semiconductor image sensor device having deep  trench isolationChiang Yen-Ting; Chen Chun-Yuan; Tseng Hsiao-Hui; Li Sheng-Chan; Wang  Yu-Jen; Wu Wei Chuang; Ting Shyh-Fann; Liu Jen-Cheng; Yaung Dun-Nian
11430832Semiconductor MRAM device and methodLin Shy-Jay; Song MingYuan; Noguchi Hiroki
11430865Semiconductor device and methodChen Shu-Han; Chen Tsung-Ju; Chen Chun-Heng; Chui Chi On
11430867Channel mobility improvementLee Pei-Wei; Okuno Yasutoshi; Tsai Pang-Yen
11430878Method for fabricating semiconductor deviceLee Yen-Ru; Li Chii-Horng; Kuo Chien-I; Ting Heng-Wen; Tai Jung-Chi; Su  Lilly; Hsiao Yang-Tai
11430890Integrated circuits with channel-strain linerWu Xusheng; Liu Chang-Miao; Shang Huiling
11430891Gate all around structure with additional silicon layer and method for  forming the sameWang Chen-Han; Wang Pei-Hsun; Lin Chun-Hsiung; Wang Chih-Hao
11430892Inner spacers for gate-all-around transistorsChiang Kuo-Cheng; Lin Zhi-Chang; Chen Shih-Cheng; Wang Chih-Hao; Wang  Pei-Hsun; Chang Lo-Heng; Chang Jung-Hung
11430893Method of manufacturing a semiconductor device and a semiconductor deviceShen Yan-Ting; Yu Chia-Chi; Liao Chih-Teng; Lin Yu-Li; Cheng Chih Hsuan;  Weng Tzu-Chan
11430909BSI chip with backside alignment markSung Chih Wei; Tseng Chung-Bin; Liao Keng-Ying; Wu Yen-Jou; Chen Po-Zen;  Yeh Su-Yu; Su Ching-Chung
11430945MTJ device performance by adding stress modulation layer to MTJ device  structureHaq Jesmin; Zhong Tom; Lam Vinh; Sundar Vignesh; Teng Zhongjian
11430947Sub 60nm etchless MRAM devices by ion beam etching fabricated t-shaped  bottom electrodeYang Yi; Shen Dongna; Wang Yu-Jen
11430951Resistive memory cell with switching layer comprising one or more dopantsJiang Fa-Shen; Tsai Cheng-Yuan; Trinh Hai-Dang; Lin Hsing-Lien; Kuang  Hsun-Chung; Lee Bi-Shen
11430953Resistive random access memory deviceWang Huei-Tsz; Wang Po-Shu; Wang Wei-Ming
11430956RRAM cell structure with conductive etch-stop layerLiu Ming Chyi; Tseng Yuan-Tai; Hsu Chern-Yow; Liu Shih-Chang; Tsai  Chia-Shiung
11431339Level shifting circuit and methodMa Yaqi; Pan Lei; Hu JunKui
11432372Warpage control in the packaging of integrated circuitsCheng Ming-Da; Lin Hsiu-Jen; Chen Cheng-Ting; Chen Wei-Yu; Lee Chien-Wei;  Liu Chung-Shi
11433440Cleaning device for cleaning electroplating substrate holderWang Yu-Young; Kao Chung-En; Lu Victor Y.
11434129Semiconductor structure and method for fabricating the sameCheng Chun-Wen; Teng Yi-Chuan; Hsieh Cheng-Yu; Tseng Lee-Chuan; Liu  Shih-Chang; Lin Shih-Wei
11435257System and method for monitoring vacuum valve closing condition in vacuum  processing systemChiu Pei Cheng
11435660Photomask and method of fabricating a photomaskLee Hsin-Chang; Lin Ping-Hsun; Ho Yen-Cheng; Lin Chih-Cheng; Chen  Chia-Jen
11435669Radiation source supply system for lithographic toolsLiao Chi-Hung; Yang Yueh Lin
11435670Multi-component kernels for vector optical image simulationHo Kenneth Lik Kin; Lai Chien-Jen; Yamazoe Kenji; Zhou Xin; Peng Danping
11437081Buffer control of multiple memory banksLu Shih-Lien Linus
11437084Embedded ferroelectric memory cellChen Tzu-Yu; Tu Kuo-Chi; Chu Wen-Ting; Tsair Yong-Shiuan
11437092Systems and methods to store multi-level dataLu Shih-Lien Linus
11437099Memory device current limiterChou Chung-Cheng; Wang Tien-Yen
11437161Lithography apparatus and method for using the sameChang Chun-Lin; Hsieh Chieh; Chien Shang-Chieh; Chang Han-Lung; Liu  Heng-Hsin; Chen Li-Jui; Lin Chin-Hsiang
11437235Epitaxies of a chemical compound semiconductorYu Hung-Wei; Chang Yi; Wang Tsun-Ming
11437239Method for forming semiconductor device structureLai Chih-Ming; Chang Shih-Ming; Lin Wei-Liang; Tseng Chin-Yuan; Liu  Ru-Gun
11437240Transistor gate structure and method of formingLee Hsin-Yi; Hung Cheng-Lung; Chui Chi On
11437245Germanium hump reductionFu Shih-Hao; Chou Hung-Ju; Chang Che-Lun; Kuo Jiun-Ming; Peng Yuan-Ching;  Lin Sung-En; Cheng Nung-Che; Wang Chunyao
11437258Workpiece storage system, method of storing workpiece, and method of  transferring workpiece using the sameChiu Tzu-Chi; Wang Jen-Ti; Wang Ting-Wei; Chuang Kuo-Fong
11437277Forming isolation regions for separating fins and gate stacksKo Chung-Ting; Huang Tai-Chun; Li Jr-Hung; Lee Tze-Liang; Chui Chi On
11437278Method for forming semiconductor deviceChang Chang-Yun; Wu Bone-Fong; Wen Ming-Chang; Lin Ya-Hsiu
11437279Method for fabricating a semiconductor deviceChen Chun-Yuan; Yu Li-Zhen; Su Huan-Chieh; Chang Lo-Heng; Chuang  Cheng-Chi; Wang Chih-Hao
11437280Semiconductor device and method of manufactureLee Chia-Ching; Tsai Hsin-Han; Chiu Shih-Hang; Tang Tsung-Ta; Wu  Chung-Chiang; Chung Hung-Chin; Lee Hsien-Ming; Lee Da-Yuan; Chen Jian-Hao;  Chen Chien-Hao; Yu Kuo-Feng; Chen Chia-Wei; Hsu Chih-Yu
11437287Transistor gates and methods of forming thereofLin Shih-Yao; Lin Chih-Han; Jang Shu-Uei; Tsai Ya-Yi; Ku Shu-Yuan
11437313Structure and method of forming a semiconductor device with resistive  elementsChan Hong-Wei; Cheng Yung-Shih; Huang Wen-Sheh
11437319Integrated circuit having a high cell densityChen Sheng-Hsiung; Wang Chung-Hsing; Chang Fong-yuan; Lu Lee-Chung; Tien  Li-Chun; Huang Po-Hsiang; Wang Shao-huan; Chen Ting Yu; Chen Yen-Pin; Chen  Chun-Chen; Lin Tzu-Hen; Cheng Tai-Yu
11437321Standard-cell layout structure with horn power and smart metal cutFan Ni-Wan; Chiang Ting-Wei; Huang Cheng-I; Yang Jung-Chan; Tseng  Hsiang-Jen; Yuan Lipen; Lu Chi-Yu
11437327Integrated fan-out packagingPu Han-Ping; Lee Hsiao-Wen
11437331Chip structure and method for forming the sameHuang Chih-Fan; Wang Mao-Nan; Chen Hui-Chi; Chen Dian-Hau; Chen Yen-Ming
11437332Package structure and method of manufacturing the sameChang Jen-Yuan; Lai Chia-Ping
11437334Chip package structureHuang Kuan-Yu; Huang Sung-Hui; Hou Shang-Yun
11437344Wafer bonding methodLin Yung-Chi; Wu Tsang-Jiuh; Chiou Wen-Chih; Yu Chen-Hua
11437361LTHC as charging barrier in InFO package formationLai Yi-Jen; Chung-Yi Lin; Cheng Hsi-Kuei; Chen Chen-Shien; Liu Kuo-Chio
11437371Field effect transistors with negative capacitance layersYang Chansyun David; Yang Chan-Lon; Chang Keh-Jeng
11437372Liner structuresHsiao Tsung-Chieh; Chen Johnson; Tsai Tzung-Yi; Lee Tsung-Lin; Chen  Yen-Ming
11437373Multi-gate device structureLiaw Jhon Jhy
11437385FinFET SRAM cells with reduced fin pitchWang Chih-Hao; Chiu Yi-Hsun; Lin Yi-Hsiung; Chang Shang-Wen
11437386System and method for reducing cell area and current leakage in anti-fuse  cell arrayChang Meng-Sheng; Huang Chia-En; Chou Shao-Yu; Wang Yih
11437393Semiconductor device, memory array and method of forming the sameLai Sheng-Chih; Lin Chung-Te
11437416Pixel device layout to reduce pixel noiseTakahashi Seiji
11437420Image sensor with overlap of backside trench isolation structure and  vertical transfer gateHung Feng-Chi; Yaung Dun-Nian; Liu Jen-Cheng; Wu Wei Chuang; Chen Yen-Yu;  Yu Chih-Kuan
11437422Hybrid bonded structureTsai Bo-Tsung
11437431Memory device with flat-top bottom electrodes and methods for forming the  sameMin Chung-Chiang
11437433Techniques for MRAM top electrode via connectionChen Sheng-Chang; Chuang Harry-Hak-Lay; Wang Hung Cho; Huang Sheng-Huang
11437434Magnetic device and magnetic random access memoryTsai Wilman; Lin Shy-Jay; Song Mingyuan
11437466Avalanche-protected transistors using a bottom breakdown current path and  methods of forming the sameSu Liang-Yu; Tsai Hung-Chih; Liu Ruey-Hsin; Lei Ming-Ta; Yang Chang-Tai;  Hsia Te-Yin; Jong Yu-Chang; Yang Nan-Ying
11437468Isolation structures of semiconductor devicesChiang Hung-Li; Cheng Chao-Ching; Chen Tzu-Chiang; Chen I-Sheng
11437469Reducing parasitic capacitance in semiconductor devicesYu Chia-Ta; Hsu Hsiao-Chiu; Yang Feng-Cheng
11437474Gate structures in transistors and method of forming sameLee Hsin-Yi; Hung Cheng-Lung; Chui Chi On
11437477Fluorine-free interface for semiconductor device performance gainTsai Yu-Ting; Cheng Chung-Liang; Lo Hong-Ming; Lin Chun-Chih; Ni  Chyi-Tsong
11437479Wrap around silicide for FinFETsChiang Kuo-Cheng; Liu Chi-Wen; Leung Ying-Keung
11437480Forming a cavity with a wet etch for backside contact formationChen Yi-Hsiu; Kelly Andrew Joseph
11437484Gate structure and method of forming the sameChen Yi-Chun; Yin Tsung Fan; Hsu Li-Te; Hsia Ying Ting; Chiu Yi-Wei
11437491Non-conformal capping layer and method forming sameLin Ming-Ho; Lin Cheng-I; Chen Chun-Heng; Chui Chi On
11437492Semiconductor device and method of manufactureKao Wan-Yi; Lin Hung Cheng; Chang Che-Hao; Lu Yung-Cheng; Chui Chi On
11437493Gate spacer structures and methods for forming the sameTsai Chun Hsiung; Wann Clement Hsingjen; Yu Kuo-Feng; Yeh Ming-Hsi; More  Shahaji B.; Lin Yu-Ming
11437495Semiconductor device and method of manufacturing the sameChen I-Chih; Hsiao Ru-Shang; Lin Ching-Pin; Huang Chih-Mu; Tsai Fu-Tsun
11437497Semiconductor device and methodTsai Ji-Yin; Chen Jung-Jen; Jeng Pei-Ren; Li Chii-Horng; Chen Kei-Wei;  Yeo Yee-Chia
11437498FinFET device and methodWu Chung-Shu; Hsu Ying-Ya; Pan Ching-Yu; Tsao Hsiu-Hao; Wei An Chyi; Chiu  Yuan-Hung
11437513Multi-gate device and method of fabrication thereofChing Kuo-Cheng; Tsai Ching-Wei; Diaz Carlos H.; Wang Chih-Hao; Lien  Wai-Yi; Leung Ying-Keung
11437515Source and drain stressors with recessed top surfacesLi Kun-Mu; Kwok Tsz-Mei; Sung Hsueh-Chang; Li Chii-Horng; Lee Tze-Liang
11437516Mechanisms for growing epitaxy structure of finFET deviceYang Szu-Chi; Huang Chih-Hsiang
11437517Semiconductor structures and methods with high mobility and high energy  bandgap materialsWu Cheng-Hsien; Ko Chih-Hsin; Wann Clement Hsingjen
11437518Metal gate with silicon sidewall spacersFang Wen-Han; Wu Po-Chi
11437573Semiconductor device and method for manufacturing the sameTrinh Hai-Dang; Lin Hsing-Lien; Jiang Fa-Shen
11437594Method of manufacturing a field effect transistor using carbon nanotubes  and a field effect transistorVasen Timothy; Van Dal Mark; Doornbos Gerben; Passlack Matthias
11437708Antenna effect protection and electrostatic discharge protection for  three-dimensional integrated circuitHuang Po-Hsiang; Chang Fong-Yuan; Wang Tsui-Ping; Chu Yi-Shin
11437785VCSEL with self-aligned microlens to improve beam divergenceChen Jhih-Bin; Liu Ming Chyi
11437843Under-floor charging stationWu Cheng-Lung; Li Sing-Tsung; Wu Ren-Hau; Chu Yang-Ann; Pai Jiun-Rong; Wu  Feng-Kuang
11437982Flip flop standard cellSamra Nick; Rusu Stefan; Guo Ta-Pen
11437990Generating high dynamic voltage boostChern Chan-Hong; Liu Tysh-Bin; Chen Kun-Lung
11437998Integrated circuit including back side conductive lines for clock signalsSio Kam-Tou; Lu Jiun-Wei
11438004Analog to digital converter with inverter based amplifierKinyua Martin
11438007Analog to digital converter with VCO-based and pipelined quantizersKinyua Martin; Soenen Eric
11438015Two-level error correcting code with sharing of check-bitsLu Shih-Lien Linus
11438180Systems and methods for providing reliable physically unclonable  functionsLu Shih-Lien Linus; Lee Cheng-En
11440060Method for cleaning substrateChang Hao-Ming; Lin Chia-Shih
11441221Method of performing atomic layer depositionCheng Po-Hsien; Ko Chung-Ting; Yu Tsung-Hsun; Lee Tze-Liang; Chui Chi On
11442230Silicon photonics coupling structure using an etch stop layer and methods  of forming the sameLee Yueh Ying; Wu Chien-Ying; Hsu Sui-Ying; Huang Chen-Hao; Lee  Chien-Chang; Lai Chia-Ping
11442296Waveguide structure and method for forming the sameChen Huan-Neng; Kuo Feng-Wei; Hsu Min-Hsiang; Cho Lan-Chou; Jou Chewn-Pu;  Liao Wen-Shiang
11442356Lithography mask with an amorphous capping layerLee Hsin-Chang; Hsu Pei-Cheng; Chien Chih-Tao; Chen Ming-Wei; Lien  Ta-Cheng
11442364Materials and methods for forming resist bottom layerHuang Jing Hong; Wang Chien-Wei; Chang Shang-Wern; Chang Ching-Yu
11442365EUV photolithography system and methods of operating the sameSun Yu-Kuang; Tsai Ming-Hsun; Chen Yu-Huan; Cheng Wei-Shin; Lai  Cheng-Hao; Chen Hsin-Feng; Cheng Chiao-Hua; Wu Cheng-Hsuan; Lo Yu-Fa; Chien  Shang-Chieh; Chen Li-Jui; Liu Heng-Hsin
11442482Low-dropout (LDO) regulator with a feedback circuitLin Zheng-Jun; Chou Chung-Cheng; Chih Yu-Der; Su Chin-I
11443093Semiconductor deviceChen Yu-Jen; Wang Ling-Sung; Huang I-Shan; Hung Chan-yu
11443094Method of inserting dummy boundary cells for macro/IP and ICHu Wei-Yi; Chao Chih-Ming; Yu Chi-Yeh
11443095Hotspot avoidance method for manufacturing integrated circuitsLiu I-Shuo; Hsia Chih-Chun; Chou Hsin Ting; Su Kuanhua; Hong William  Weilun; Chen Chih Hung; Chen Kei-Wei
11443096Method for optimizing floor plan for an integrated circuitChuang Yi-Lin; Tan Shi-Wen; Liu Song; Lin Shih-Yao; Fang Wen-Yuan
11443097System and method for diagnosing design rule check violationsHuang Yu-Chen; Lin Heng-Yi; Chuang Yi-Lin
11443786Memory circuit including tracking circuitHsu Kuoyuan (Peter); Chang Jacklyn
11443803Memory device and method thereofWu Jau-Yi; Khwa Win-San; Cai Jin; Chen Yu-Sheng
11443819Memory device, integrated circuit device and methodChang Meng-Sheng; Yang Yao-Jen
11443923Apparatus for fabricating a semiconductor structure and method of  fabricating a semiconductor structureKoai Keith Kuang-Kuo; Liu Shih-Kuo; Wang Wen-Chih; Chen Hsin-Liang
11443939System and method for dispensing liquid spin-on glass (SOG) onto  semiconductor wafersLiu Yung-Tsun
11443957Metal oxide layered structure and methods of forming the sameLin Jing-Cheng; Huang Cheng-Lin
11443959Semiconductor manufacturing system and control methodLin Su-Horng
11443961Semiconductor fabrication apparatusLee Chih-Tsung; Yang Sheng-Chun; Chiu Yun-Tzu; Wan Chao-Hung; Lin  Yi-Ming; Ni Chyi-Tsong
11443966Semiconductor processing flow field control apparatus and methodWei Kai-Chin; Chen Che-fu
11443976Trench isolation processChen Chung-Lei; Chen Cheng-Hsin; Ting Chung Chieh; Lin Che-Yi; Lee Clark
11443979Semiconductor deviceLee Hsin-Yi; Hung Cheng-Lung; Lee Da-Yuan
11443980Method of fabricating semiconductor device with metal pad extending into  top metal layerChen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang; Chu Chung-Hao; Yang  Ching-Yu
11443981Bonding method of package components and bonding apparatusHsiao Yi-Li; Tung Chih-Hang; Yu Chen-Hua; Shao Tung-Liang; Yang Su-Chun
11443984Semiconductor device and a method for fabricating the sameChen Hui-Chi; Shen Hsiang-Ku; Yeh Jeng-Ya
11443987Semiconductor devices with backside air gap dielectricChen Chun-Yuan; Su Huan-Chieh; Chuang Cheng-Chi; Lin Yu-Ming; Wang  Chih-Hao
11443991Semiconductor structure and method of manufactureHuang Yao-Te; Chung Liang-Chor
11443993Chip package structure with cavity in interposerJeng Shin-Puu; Hsu Feng-Cheng; Chen Shuo-Mao
11443995Integrated circuit package and methodYu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei; Liu Tzuan-Horng
11444002Package structureLai Yu-Chia; Yu Chen-Hua; Liu Chung-Shi; Liang Hsiao-Chung; Tsai Hao-Yi;  Hwang Chien-Ling; Pan Kuo-Lung; Lee Pei-Hsuan; Kuo Tin-Hao; Tai Chih-Hsuan
11444018Semiconductor device including recessed interconnect structureWu Guo-Huei; Zhuang Hui-Zhong; Chen Chih-Liang; Chuang Cheng-Chi; Chang  Shang-Wen; Chiu Yi-Hsun
11444020Via for semiconductor device connection and methods of forming the sameYu Chen-Hua; Su An-Jhih; Wu Chi-Hsi; Chiou Wen-Chih; Wu Tsang-Jiuh; Yeh  Der-Chyang; Yeh Ming Shih
11444021Device and package structure and method of forming the sameChen Hsien-Wei; Su An-Jhih; Huang Li-Hsien
11444023Semiconductor device, package structure including a heat dissipation  element having a conductive base and a plurality of antenna patterns and  method of fabricating the semiconductor deviceHsu Sen-Kuei; Pan Hsin-Yu; Chiang Yi-Che
11444025Transistor and fabrication method thereofLi Hung-Wei; Lin Yu-Ming; Manfrini Mauricio; Yeong Sai-Hooi
11444028Contact structure and formation thereofLee Hong-Mao; Chang Huicheng; Lai Chia-Han; Ni Chi-Hsuan; Lin Cheng-Tung;  Huang Huang-Yi; Chen Chi-Yuan; Wang Li-Ting; Tsai Teng-Chun; Lin Wei-Jung
11444034Redistribution structure for integrated circuit package and method of  forming sameYu Chen-Hua; Su An-Jhih; Yeh Der-Chyang; Huang Li-Hsien; Yeh Ming Shih
11444038Forming large chips through stitchingWei Wen Hsin; Hu Hsien-Pin; Hou Shang-Yun; Chen Weiming Chris
11444046Passivation scheme for pad openings and trenchesChang Ming-Hong; Yang Chun-Yi; Huang Kun-Ming; Chu Po-Tao; Wang  Shen-Ping; Kuo Chien-Li
11444057Package structures and methods of formingYu Chen-Hua; Yeh Der-Chyang; Chen Hsien-Wei
114440693D semiconductor package including memory arrayYoung Bo-Feng; Yeong Sai-Hooi; Chia Han-Jong; Wang Sheng-Chen; Lin  Yu-Ming
11444071Multi-bit structureChien Shao-Lun; Wang Po-Chun; Zhuang Hui-Zhong; Chen Chih-Liang; Tien  Li-Chun
11444072Dual-port SRAM structureLiaw Jhon Jhy
11444073Power distribution networkSio Kam-Tou; Tzeng Jiann-Tyng; Lin Wei-Cheng
11444080Semiconductor structure cutting process and structures formed therebyHung Chih-Chang; Chen Chia-Jen; Chang Ming-Ching; Ku Shu-Yuan; Hsiao  Yi-Hsuan; Yang I-Wei
11444089Gate-all-around field effect transistors in integrated circuitsLiaw Jhon Jhy
11444116Method for forming image sensorChia Chun-Wei; Chou Chun-Hao; Hsu Kai-Chun; Lee Kuo-Cheng; Ting Shyh-Fann
11444126Memory device and manufacturing method thereofWu Chao-I; Lin Yu-Ming
11444162Backside contact with air spacerLee Chen-Ming; Lee Wei-Yang
11444169Transistor device with a gate structure having recesses overlying an  interface between isolation and device regionsChu Chen-Liang; Chou Chien-Chih; Cheng Chih-Chang; Chen Yi-Huan; Thei  Kong-Beng; Lei Ming-Ta; Liu Ruey-Hsin; Kung Ta-Yuan
11444170Semiconductor device with backside self-aligned power rail and methods of  forming the sameChou Chih-Chao; Chiang Kuo-Cheng; Ju Shi Ning; Lan Wen-Ting; Wang  Chih-Hao
11444173Semiconductor device structure with salicide layer and method for forming  the sameShen Hsiang-Ku; Yin Jin-Mu; Hsiao Tsung-Chieh; Chuang Chia-Lin; Yu  Li-Zhen; Chen Dian-Hau; Wang Shih-Wei; Yu De-Wei; Chen Chien-Hao; Lu  Bo-Cyuan; Li Jr-Hung; Chui Chi-On; Hung Min-Hsiu; Huang Hung-Yi; Chou  Chun-Cheng; Chuang Ying-Liang; Huang Yen-Chun; Peng Chih-Tang; Chau Cheng-Po;  Chen Yen-Ming
11444174Semiconductor device with Fin end spacer dummy gate and method of  manufacturing the sameChang Kai-Tai; Lee Tung Ying; Yun Wei-Sheng; Wang Tzu-Chung; Ho  Chia-Cheng; Lin Ming-Shiang; Chen Tzu-Chiang
11444175Fabrication of long gate devicesYang Sung-Hsin; Jeng Jung-Chi; Hsiao Ru-Shang
11444176Structure and formation method of semiconductor device structureWu Po-Chi; Chang Chai-Wei; Chang Kuo-Hui; Chao Yi-Cheng
11444177Semiconductor device and methodLin Wen-Kai; Chang Che-Hao; Chui Chi On; Lu Yung-Cheng
11444178Inner spacer linerYin Jin-Mu; Lee Wei-Yang; Yu Chih-Hao; Chen Yen-Ting; Lin Chia-Pin
11444179Isolation structures in multi-gate semiconductor devices and methods of  fabricating the sameWu Xusheng; Liu Chang-Miao; Shang Huiling
11444181Source/drain formation with reduced selective loss defectsChang Chih-Chiang; Yu Ming-Hua; Su Li-Li
11444194LDMOS with enhanced safe operating area and method of manufactureLi Lianjie; Han Feng; Lu Jian-Hua; Lu YanBin; Chen Shui Liang
11444197Semiconductor device and methodYang Chih-Chuan; Hsu Kuo-Hsiu; Chang Feng-Ming; Lim Kian-Long; Hung Lien  Jung
11444198Work function control in gate structuresLee Hsin-Yi; Hung Cheng-Lung; Chen Ji-Cheng; Chang Weng; Chui Chi On
11444199Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.; Chang Shih-Chieh; Lee Cheng-Han; Lee Pei-Shan
11444200Semiconductor structure with isolating feature and method for forming the  sameHuang Yu-Xuan; Tsai Ching-Wei; Chen Hou-Yu; Cheng Kuan-Lun
11444202Semiconductor device and method of forming the sameYoung Bo-Feng; Yeong Sai-Hooi; Chui Chi-On; Yao Chien-Ning
11444241Self-aligned encapsulation hard mask to separate physically under-etched  MTJ cells to reduce conductive R-depositionYang Yi; Shen Dongna; Sundar Vignesh; Wang Yu-Jen
11444608Level shifterChen Chien-Yuan; Lee Cheng Hung; Liao Hung-Jen; Shieh Hau-Tai
11445104Device with a recessed gate electrode that has high thickness uniformityHuang Hung-Shu; Liu Ming Chyi; Chou Tung-He
11446630Bio-sensing and temperature-sensing integrated circuitChen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix  Ying-Kit; Peng Yung-Chow
11446712System for cleaning wafer in CMP process of semiconductor manufacturing  fabricationTien Chia-Ying; Hsueh Chia-Lin
11446785Methods to clean chemical mechanical polishing systemsChang Chih-Chieh; Chen Yen-Ting; Huang Hui-Chi; Chen Kei-Wei
11446851Molding apparatus, manufacturing method of molded semiconductor device  and molded semiconductor deviceWeng Sheng-Feng; Hsieh Ching-Hua; Liu Chung-Shi; Lin Chih-Wei; Chiu  Sheng-Hsiang; Lai Yao-Tong; Lin Chia-Min
11447054Method for transferring containerHuang Yi-Tang; Feng Yuan-Yu; Lin Chia-Han; Lee Chien-Fa
11448691Thermal sensorLiu Szu-Lin; Horng Jaw-Juinn
11448828Optical device for coupling lightChern Chan-Hong; Lin Chih-Chang; Jou Chewn-Pu; Shih Chih-Tsung; Kuo  Feng-Wei; Cho Lan-Chou; Hsu Min-Hsiang; Song Weiwei
11448891Multifunctional collimator for contact image sensorsChen Hsin-Yu; Li Chun-Peng; Hung Chia-Chun; Hu Ching-Hsiang; Wu Wei-Ding;  Weng Jui-Chun; Chiang Ji-Hong; Liu Yen-Chiang; Chiou Jiun-Jie; Tu Li-Yang; Li  Jia-Syuan; Jhang You-Cheng; Chen Shin-Hua; Sanagavarapu Lavanya; Pan  Han-Zong; Hsu Hsi-Cheng
11448955Mask for lithography process and method for manufacturing the sameLiao Chi-Hung; Yang Yueh-Lin
11448956EUV maskChen Ching-Huang; Sun Chi-Yuan; Lin Hua-Tai; Lee Hsin-Chang; Chen  Ming-Wei
11448970Lithography system and methodsLee Eng Hock; Cheng Wen-Hao
11448975Multi-function overlay marks for reducing noise and extracting focus and  critical dimension informationLee Yu-Ching; Huang Te-Chih; Fang Yu-Piao
11448978Contamination handling for semiconductor apparatusHsieh Fu-Chun; Lin Chih-Che; Su Pei-Yi
11449656Method of designing semiconductor deviceWang Shao-Huan; Chen Sheng-Hsiung; Chen Wen-Hao; Chen Chun-Chen; Ou  Hung-Chih
11449984Method and system for diagnosing a semiconductor waferChen Yen-Liang; Liu Jun-Xiu
11450357Structure for multiple sense amplifiers of memory deviceLin Ku-Feng; Noguchi Hiroki
11450362Memory device, integrated circuit device and methodYoung Bo-Feng; Lin Yu-Ming; Lu Shih-Lien Linus; Chia Han-Jong; Yeong  Sai-Hooi; Huang Chia-En; Wang Yih
11450364Computing-in-memory architectureShih Yi-Chun; Lee Chia-Fu; Chih Yu-Der; Chang Jonathan Tsung-Yung
11450367Shared decoder circuit and methodYang XiuLi; Wu Ching-Wei; Wan He-Zhou; Cheng Kuan; Kong Luping
11450370Ferroelectric field-effect transistor (FeFET) memoryYuh Perng-Fei
11450395Non-volatile memory circuit and methodLi Gu-Huan; Hung Chen-Ming; Chih Yu-Der
11450399Memory array test method and systemHuang Chien-Hao; Chiang Katherine H.; Wu Cheng-Yi; Lin Chung-Te
11450401Method, system and computer program product for memory repairChiang Katherine H.; Huang Chien-Hao; Wu Cheng-Yi; Lin Chung-Te
11450526Cyclic spin-on coating process for forming dielectric materialKuo Je-Ming; Huang Yen-Chun; Peng Chih-Tang; Bao Tien-I
11450542Rounded vertical wafer vessel rodsCheng Ching-Wen; Huang Xin-Kai; Cho Kuei-Hsiung
11450555Method for forming semiconductor device having isolation structures with  different thicknessesWu Cheng-Ta; Wu Chii-Ming; Syue Sen-Hong; Chau Cheng-Po
11450557Poisoned metal layer with sloped sidewall for making dual damascene  interconnectHsu Min Han; Chen Chun-Chang; Tsao Jung-Chih
11450559Integrated circuit structure with backside dielectric layer having air  gapChang Che-Lun; Lee Wei-Yang; Lin Chia-Pin; Peng Yuan-Ching
11450563Interconnect structure and methodLin Bo-Jiun; Lin Yu Chao; Lee Tung Ying
11450565Ion implant process for defect elimination in metal layer planarizationChen Chia-Cheng; Chang Huicheng; Huang Fu-Ming; Chen Kei-Wei; Chen  Liang-Yin; Chang Tang-Kuei; Yeo Yee-Chia; Liang Wei-Wei; Cui Ji
11450566Semiconductor device and manufacturing method thereofHuang Hsin-Yen; Cheng Kai-Fang; Teng Chi-Lin; Lee Shao-Kuan; Chen  Hai-Ching
11450567Package component with stepped passivation layerCheng Ming-Da; Lee Tzy-Kuang; Lee Song-Bor; Lu Wen-Hsiung; Tsai Po-Hao;  Chang Wen-Che
11450569Semiconductor device and forming method thereofLee Hsin-Yi; Wang Kuan-Yu; Hung Cheng-Lung; Chui Chi-On
11450571Method for manufacturing semiconductor structureTsai Chun Hsiung; Peng Cheng-Yi; Lee Ching-Hua; Wu Chung-Cheng; Wann  Clement Hsingjen
11450572Semiconductor device and methodChen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun
11450574Deep trench isolation structure and method of making the sameShih Hung-Ling; Yang Tsung-Yu; Wu Yun-Chi; Liu Po-Wei
11450579Integrated circuit component and package structure having the sameLiu Tzuan-Horng; Yang Chao-Hsiang; Chen Hsien-Wei; Chen Ming-Fa
11450580Semiconductor structure and method of fabricating the sameHuang Kuan-Yu; Huang Sung-Hui; Hou Shang-Yun; Huang Chien-Yuan
11450581Integrated circuit package and methodLo Teng-Yuan; Chuang Lipu Kris; Pan Hsin-Yu
11450584Warpage control of semiconductor dieWang Yun-Ting; Lin Yi-An; Chang Ching-Chuan; Kuo Po-Chang
11450588Method for forming chip package structure with heat conductive layerChi Shin; Hsu Chien-Hao; Chang Kuo-Chin; Lin Cheng-Nan; Lii Mirng-Ji
11450595Semiconductor package device with integrated inductor and manufacturing  method thereofHsu Ying-Chih; Liao Wen-Shiang
11450600Semiconductor devices including decoupling capacitorsHuang Yu-Xuan; Chen Hou-Yu; Tsai Ching-Wei; Cheng Kuan-Lun; Chen  Chung-Hui
11450602Hybrid method for forming semiconductor interconnect structureFu Shih-Kang; Lee Ming-Han; Shue Shau-Lin
11450603Semiconductor device and method of fabricating the sameLiao Sih-Hao; Kuo Hung-Jui; Hu Yu-Hsiang
11450605Reducing internal node loading in combination circuitsChen Chien-Yuan; Lee Cheng-Hung; Liao Hung-Jen; Shieh Hau-Tai; Lin  Kao-Cheng; Chan Wei-Min
11450609Electro-migration reductionHo Yi-Chen; Lin Chien; Yu Cheng-Yeh; Chen Hsin-Hsing; Hsieh Ju Ru
11450612Semiconductor devices and methods of manufacturing the sameLin Yu-Hung; Su An-Jhih; Yeh Der-Chyang; Shen Shih-Guo; Yuan Chia-Nan;  Yeh Ming-Shih
11450615Package structure and method of fabricating the sameTsai Tsung-Fu; Lu Szu-Wei
11450622Semiconductor packageWang Chin-Hua; Yeh Shu-Shen; Lin Yu-Sheng; Lin Po-Yao; Jeng Shin-Puu
11450626Semiconductor packageChen Yang-Che; Liang Victor Chiang; Lin Chen-Hua; Liu Chwen-Ming; Tseng  Huang-Wen
11450628Package structure including a solenoid inductor laterally aside a die and  method of fabricating the sameTang Tzu-Chun; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Lin  Chia-Chia
11450641Method of fabricating package structureKuo Hung-Jui; Tsai Hui-Jung; Wang Chia-Wei; Chang Yu-Tzu
11450654Package structure and method of fabricating the sameTsai Tsung-Fu; Kao Chin-Fu; Wang Pu; Lu Szu-Wei
11450657Semiconductor device with improved electrostatic discharge or  electro-over stress protectionKuo Hsi-Yu; Chu Yu-Lin
11450660Semiconductor device and method of fabricating the sameLin Meng-Han; Chen Te-An
11450661Forming STI regions to separate semiconductor FinsHsu Chih-Yu; Lin Yi-Tang; Wann Clement Hsingjen; Chang Chih-Sheng; Tsai  Wei-Chun; Sheu Jyh-Cherng; Shih Chi-Yuan
11450662Gate isolation structureYou Jia-Chuan; Chang Chia-Hao; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang  Chih-Hao
11450663Semiconductor device structure and methods of forming the sameChen Shih-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chang Lo-Heng; Yao Chien  Ning; Chiang Kuo-Cheng; Wang Chih-Hao
11450664Semiconductor device having nanosheet transistor and methods of  fabrication thereofHuang Mao-Lin; Chu Lung-Kun; Hsu Chung-Wei; Yu Jia-Ni; Chiang Kuo-Cheng;  Cheng Kuan-Lun; Wang Chih-Hao
11450665Semiconductor structure with self-aligned backside power railChiang Kuo-Cheng; Ju Shi Ning; Cheng Kuan-Lun; Wang Chih-Hao
11450666Semiconductor devices including two-dimensional material and methods of  fabrication thereofKhaderbad Mrunal Abhijith; Sathaiya Dhanyakumar Mahaveer
11450673Connection between source/drain and gateYang Chih-Chuan; Pao Chia-Hao; Lin Yu-Kuan; Hung Lien Jung; Wang  Ping-Wei; Lin Shih-Hao
11450676Ferroelectric random access memory device with a three-dimensional  ferroelectric capacitorYoung Bo-Feng; Yeong Sai-Hooi; Chia Han-Jong; Chui Chi On
11450686High density 3D FERAMYeong Sai-Hooi; Young Bo-Feng; Lin Yu-Ming; Chui Chi On
11450700Semiconductor image sensor pixel isolation structure for reducing  crosstalkTsao Tsun-Kai; Lu Jiech-Fun
11450733Three dimensional metal insulator metal capacitor structureYeong Sai-Hooi; Yu Chia-Ta; Huang Yen-Chieh
11450735Method of forming guard ring and circuit deviceLin Wan-Yen; Lin Wun-Jie; Su Yu-Ti; Chen Bo-Ting; Tseng Jen-Chou; Chen  Kuo-Ji; Chang Sun-Jay; Liang Min-Chang
11450741Doping for semiconductor device with conductive featureLiu Su-Hao; Chang Huicheng; Chen Chia-Cheng; Chen Liang-Yin; Chen Kuo-Ju;  Wu Chun-Hung; Liu Chang-Miao; Yang Huai-Tei; Tan Lun-Kuang; You Wei-Ming
11450742FinFET structure and method for manufacturing thereofTsai Chun Hsiung; Chong Lai-Wan; Lee Chien-Wei; Chen Kei-Wei
11450743Method of forming a semiconductor device with implantation of impurities  at high temperatureWang Bau-Ming; Chiu Che-Fu; Nieh Chun-Feng; Chang Huicheng; Yeo Yee-Chia
11450748Semiconductor device and manufacturing method thereofVellianitis Georgios; Doornbos Gerben; Van Dal Marcus Johannes Henricus
11450749Electrode structure for vertical group III-V deviceChang Yao-Chung; Tsai Chun Lin; Su Ru-Yi; Wang Wei; Yang Wei-Chen
11450751Integrated circuit structure with backside via railSu Huan-Chieh; Yu Li-Zhen; Chen Chun-Yuan; Chuang Cheng-Chi; Chang  Shang-Wen; Chiu Yi-Hsun; Wang Pei-Yu; Tsai Ching-Wei; Wang Chih-Hao
11450754Semiconductor devices and methods of manufactureLin Zhi-Chang; Chen Shih-Cheng; Chang Lo-Heng; Chang Jung-Hung; Chiang  Kuo-Cheng
11450757FinFET device and methods of formingLin Yu-Chang; Nieh Chun-Feng; Chang Huicheng; Chien Wei-Ting; Tsao  Chih-Pin; Li Hou-Ju; Chang Tien-Shun
11450758Gate structure of semiconductor device and method of forming sameHsiao Ru-Shang; Wang Ying Ming; Lu Ying Hsin
11450769Transistor with asymmetric source and drain regionsLiao Hsien-Yuan; Ho Chien-Chih; Lin Chi-Hsien; Tseng Hua-Chou; Chen  Ho-Hsiang; Liu Ru-Gun; Yeh Tzu-Jin; Lu Ying-Ta
11450772Fin field-effect transistor device and methodLin Wei-Ken; Li Chun Te; Hsu Chih-Peng
11451217Match-slave latch with skewed clockHong Hyunsung
11452197Shock wave visualization for extreme ultraviolet plasma optimizationSu Yen-Shuo; Yeh Jen-Hao; Yeh Jhan-Hong; Cheng Ting-Ya; Tong Yee-Shian  Henry; Chang Chun-Lin; Chang Han-Lung; Chen Li-Jui; Cheng Po-Chung
11454668Voltage tracking circuit and method of operating the sameCheng Hsiang-Hui; Chang Chia-Jung
11454773Optical transceiver and manufacturing method thereofYu Chen-Hua; Hsia Hsing-Kuo; Huang Sung-Hui; Huang Kuan-Yu; Ting  Kuo-Chiang; Hou Shang-Yun; Wu Chi-Hsi
11454820Multifunctional collimator for contact image sensorsChen Hsin-Yu; Liu Yen-Chiang; Chiou Jiun-Jie; Li Jia-Syuan; Jhang  You-Cheng; Chen Shin-Hua; Sanagavarapu Lavanya; Pan Han-Zong; Li Chun-Peng;  Hung Chia-Chun; Hu Ching-Hsiang; Wu Wei-Ding; Weng Jui-Chun; Chiang Ji-Hong;  Hsu Hsi-Cheng
11454857Folded waveguide phase shiftersChen Huan-Neng; Jou Chewn-Pu; Cho Lan-Chou; Kuo Feng-Wei
11454877Extreme ultraviolet light reflective structure including nano-lattice and  manufacturing method thereofKu Benny; Koai Keith Kuang-Kuo; Cheng Wen-Hao
11454881Pellicle design for mask applicationLin Yun-Yue
11454888Semiconductor device and method of manufactureLiao Sih-Hao; Hu Yu-Hsiang; Kuo Hung-Jui; Yu Chen-Hua
11454891Manufacturing method of semiconductor device and semiconductor processing  systemChen Yu-Kai; Chung Chia-Hung; Kao Ko-Bin; Yeh Su-Yu; Wu Li-Jen; Ke  Zhi-You; Lin Ming-Hung
11455448Method for analyzing electromigration (EM) in integrated circuitLin Chin-Shen; Lin Ming-Hsien; Lo Wan-Yu; Lee Meng-Xiang
11455453Integrated circuit design method, system and computer program productChang Chi-Wen; Kuan Jui-Feng
11456040Memory device and error correction method in memory deviceKhwa Win-San
11456100MRAM stacks, MRAM devices and methods of forming the sameLin Shy-Jay; Tsai Wilman; Song Ming-Yuan
11456169Wafer structure and trimming method thereofChiang Hao-Ning; Chuang Ming-Te
11456170Cleaning solution and method of cleaning waferZi An-Ren; Chang Ching-Yu
11456176Gate electrodes with notches and methods for forming the sameKao Min-Feng; Chen Szu-Ying; Yaung Dun-Nian; Liu Jen-Cheng; Hsu  Tzu-Hsuan; Hung Feng-Chi
11456182Integrated circuit structure and fabrication thereofLin Yu-Hsien; Yeh Chang-Ching
11456203Wafer release mechanismLiu Yan-Hong; Chen Che-Fu
11456209Spacers for semiconductor devices including a backside power railsYu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao
11456210Integrated circuit and method for manufacturing the sameHuang Kuan-Wei; Su Yi-Nien; Chen Yu-Yu; Shieh Jyu-Horng
11456211Method of forming interconnect structureLin Bo-Jiun; Lee Tung-Ying; Lin Yu-Chao
11456217Integrated circuits with buried interconnect conductorsChiang Kuo-Cheng; Ju Shi Ning; Cheng Kuan-Lun; Wang Chih-Hao
11456223Semiconductor stress monitoring structure and semiconductor chipChen Chien-Mao
11456226Semiconductor package and method of fabricating the sameLin Chun-Cheng; Hsieh Ching-Hua; Yu Chen-Hua; Liu Chung-Shi; Lin Chih-Wei
11456228Integrated circuit structureLiaw Jhon-Jhy
11456240Semiconductor device and method of manufactureYu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei; Liu Tzuan-Horng
11456245Silicon interposer including through-silicon via structures with enhanced  overlay tolerance and methods of forming the sameTsou Hsien-Ju; Wu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei
11456246Semiconductor device structure and methods of forming the sameHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11456249Package structure, package-on-package structure and manufacturing method  thereofWang Chuei-Tang; Kuo Tin-Hao
11456251Semiconductor structure, package structure, and manufacturing method  thereofChen Wei-Ting; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang
11456255Impedance controlled electrical interconnection employing meta-materialsWyland Christopher
11456256Semiconductor device, stacked semiconductor device and manufacturing  method of semiconductor deviceTung Chih-Hang; Yu Chen-Hua; Shao Tung-Liang; Yang Su-Chun; Shih Wen-Lin
11456257Semiconductor package with dual sides of metal routingJeng Shin-Puu; Chen Shuo-Mao; Liu Hsien-Wen; Chuang Po-Yao; Hsu  Feng-Cheng; Lin Po-Yao
11456263Semiconductor structure and method for forming the sameWei Chia-Yu; Li Cheng-Yuan; Lin Yen-Liang; Lee Kuo-Cheng; Huang  Hsun-Ying; Chen Hsin-Chi
11456266Bump structure and method of manufacturing bump structureChang Ching-Yu; Cheng Ming-Da; Weng Ming-Hui
11456268Semiconductor package and manufacturing method thereofChang Jung-Hua; Kao Chin-Fu
11456276Chip package structureLi Ling-Wei; Chang Jung-Hua; Huang Cheng-Lin
11456280Semiconductor package and method of forming the sameChen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Cho Hung-Chun
11456287Package structure and method of fabricating the sameHu Hsien-Pin; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei; Wei Wen-Hsin; Pan  Chih-Chien
11456292Semiconductor device and manufacturing method thereofWang Xin-Yong; Zhou Yang; Han Liu
11456293Polysilicon resistor structuresLin Meng-Han; Huang Wen-Tuo; Tsair Yong-Shiuan
11456295Air gap formation between gate spacer and epitaxy structureLai Bo-Yu; Lee Kai-Hsuan; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming
11456330Fatigue-free bipolar loop treatment to reduce imprint effect in  piezoelectric deviceShih Chi-Yuan; Huang Shih-Fen; Lin You-Ru; Liao Yan-Jie
11456355Semiconductor deviceChen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang; Chu Chung-Hao
11456360Epitaxial growth methods and structures thereofUeno Tetsuji; Yu Ming-Hua; Yang Chan-Lon
11456368Semiconductor device structure with hard mask layer over fin structure  and method for forming the sameChiang Kuo-Cheng; Pan Kuan-Ting; Su Huan-Chieh; Ju Shi-Ning; Wang  Chih-Hao
11456373Semiconductor device and methodChan Chia-Ling; Chen Liang-Yin; Chien Wei-Ting
11456380Transistor structure and manufacturing method of the sameLin Tung-Yang; Chou Hsueh-Liang
11456383Semiconductor device having a contact plug with an air gap spacerLiu Su-Hao; Chen Kuo-Ju; Lee Kai-Hsuan; Wong I-Hsieh; Yang Cheng-Yu; Chen  Liang-Yin; Chang Huicheng; Yeo Yee-Chia; Jang Syun-Ming; Chou Meng-Han
11456710Wireless receiverLo An-Hsun; Chen Wen-Sheng; Yeh En-Hsiang; Yeh Tzu-Jin
11456711Measurement method using radio frequency power amplifierYeh En-Hsiang; Chen Wen-Sheng; Liang Chia-Ming; Chai Chung-Ho; Li  Zong-You; Yeh Tzu-Jin
11456728Data retention circuit and methodHuang Kai-Chi; Chien Yung-Chen; Liu Chi-Lin; Ma Wei-Hsiang; Kao Jerry  Chang Jui; Hsieh Shang-Chih; Lu Lee-Chung
11456744Multi-bit level shifter and method of operating sameDing Jing; Yan Zhang-Ying; Meng Qingchao; Chen Yi-Ting
11457525Interconnect structure having conductor extending along dielectric blockWu Jiun-Yi; Lee Chien-Hsun; Jou Chewn-Pu; Hsueh Fu-Lung
11458586Planarization method, method for polishing wafer, and CMP systemCheng Chung-Liang; Lee Chang-Sheng; Zhang Wei; Chen Yen-Yu
11458587Carrier head having retainer ring, polishing system including the carrier  head and method of using the polishing systemLin Chang-Sheng; Lu Hsin-Hsien
11459190Systems and methods for die transferKuo Tsung-Sheng; Huang Chih-Hung; Shiu Yi-Fam; Wang Chueng-Jen; Lee  Hsuan; Pai Jiun-Rong
11460290Measuring method and semiconductor structure forming methodChaudhari Pradip Girdhar; Lee Che-Hui
11460633Semiconductor package and manufacturing method thereofChang Chih-Chieh; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang
11460651Photonics package integrationIslam Rabiul; Rusu Stefan; Samra Nick
11460776Method and apparatus of patterning a semiconductor deviceZi An-Ren; Chang Ching-Yu
11460779Gamma ray generator and gamma ray lithography systemChou You-Hua; Chuang Kuo-Sheng
11460787Apparatus and a method of forming a particle shieldCheng Wen-Hao
11461174Integrated circuit and method of operating sameLu Shih-Lien Linus
11461525PUF cell array, system and method of manufacturing sameLee Cheng-En; Lu Shih-Lien Linus
11461528Integrated circuit, system for and method of forming an integrated  circuitYang Jung-Chan; Chiang Ting-Wei; Kao Jerry Chang-Jui; Zhuang Hui-Zhong;  Lu Lee-Chung; Tien Li-Chun; Shen Meng-Hung; Hsieh Shang-Chih; Lu Chi-Yu
11461623Method and apparatus for defect-tolerant memory-based artificial neural  networkKhwa Win-San; Chih Yu-Der; Shih Yi-Chun; Liu Chien-Yin
11462282Semiconductor memory structureSu Hsin-Wen; Lim Kian-Long; Keng Wen-Chun; Yang Chang-Ta; Lin Shih-Hao
11462394Physical vapor deposition apparatus and method thereofWang Chia-Hsi; Ho Kun-Che; Chen Yen-Yu
11462397Semiconductor device and method of forming the sameLiu Chi-Chang
11462408Method of forming an integrated circuit using a patterned mask layerHsieh Tzu-Yen; Chang Ming-Ching; Lee Chun-Hung; Lin Yih-Ann; Chen  De-Fang; Chen Chao-Cheng
11462418Integrated circuit package and methodLin Shih Ting; Lu Szu-Wei; Chen Weiming Chris; Ting Kuo-Chiang; Hou  Shang-Yun; Wu Chi-Hsi
11462425Semiconductor processing stationLu Chia-Wei; Huang Hon-Lin; Wang Hung-Chih
11462458Semiconductor device and method of manufactureHu Chih-Chia; Jan Sen-Bor; Chen Hsien-Wei; Chen Ming-Fa
11462470Method of forming graphene and metallic cap and barrier layers for  interconnectsYang Shin-Yi; Lee Ming-Han; Shue Shau-Lin
11462471Middle-of-line interconnect structure and manufacturing methodChang Cheng-Wei; Wang Sung-Li; Liu Yi-Ying; Chu Chia-Hung; Lee Fang-Wei
11462478Layer for buffer semiconductor device including microelectromechnical  system (MEMS) deviceShen Ching-Kai; Teng Yi-Chuan; Lin Wei-Chu; Liang Hung-Wei; Tu Jung-Kuo
11462495Chiplets 3D SoIC system integration and fabrication methodsYu Chen-Hua; Yee Kuo-Chung
11462507Bonding through multi-shot laser reflowChen Wei-Yu; Cheng Chia-Shen; Pei Hao-Jan; Chung Philip Yu-Shuan; Huang  Kuei-Wei; Tsai Yu-Peng; Lin Hsiu-Jen; Hsieh Ching-Hua; Yu Chen-Hua; Liu  Chung-Shi
11462509Package structure with electronic device in cavity substrate and method  for forming the sameTsai Po-Hao; Cheng Ming-Da; Lii Mirng-Ji
11462530Multi-stack package-on-package structuresYu Chen-Hua; Su An-Jhih
11462531Multi-stack package-on-package structuresYu Chen-Hua; Su An-Jhih
11462534Semiconductor device and manufacturing method thereofHuang Chung-Pin; Chen Hou-Yu; Chen Chuan-Li; Yu Chih-Kuan; Huang Yao-Ling
11462549Semiconductor device and method of fabricating the sameLien Chong-De; Lin Shih-Hao
11462550SRAM structureSingh Gulbagh; Tsai Shun-Chi; Lee Chih-Ming; Lin Chi-Yen; Lo Kuo-Hung
11462551Memory deviceYang Xiu-Li; Wan He-Zhou; Song Yan-Bo
11462563Memory device and manufacturing method thereofHuang Yong-Sheng; Liu Ming-Chyi
11462578Imaging device with uniform photosensitive region arrayTakahashi Seiji
11462612Semiconductor device structureCheng Jung-Chien; Ju Shi Ning; Chen Guan-Lin; Chiang Kuo-Cheng; Wang  Chih-Hao; Cheng Kuan-Lun
11462614Semiconductor devices and methods of manufacturingLiaw Jhon Jhy
11462626Semiconductor device and method of manufactureHsu Chia-Wei; Lai Pei Ying; Hou Cheng-Hao; Yu Xiong-Fei; Chui Chi On
11462639Semiconductor structure and method for forming the samePan Chia-Ming; Hsieh Chia-Ta; Liu Po-Wei; Wu Yun-Chi
11462642Source/drain epitaxial layer profileSingh Gulbagh; Chen Hsin-Chi; Chuang Kun-Tsang
11467203Test circuit and methodWang Mill-Jer; Peng Ching-Nen; Lin Hung-Chih; Hsu Sen-Kuei; Wang  Chuan-Ching; Chen Hao
11467488Semiconductor apparatus and method of operating the sameLo Wen; Chang Shih-Ming; Liu Chun-Hung
11467498Extreme ultraviolet control systemChung Jen-Yang; Hsieh Chieh; Chien Shang-Chieh; Chen Li-Jui; Cheng  Po-Chung
11467509Lithography process monitoring methodLee Chih-Jie; Huang Shih-Chun; Chang Shih-Ming; Hsieh Ken-Hsien; Yen  Yung-Sung; Liu Ru-Gun
11468929Memory circuit and method of operating the sameChen Yi-Tzu; Wu Ching-Wei; Shieh Hau-Tai; Liao Hung-Jen; Wu Fu-An; Wan  He-Zhou; Yang XiuLi
11469108System, semiconductor device and methodHuang Wei Han; Tan Lun-Kuang
11469109Semiconductor structure having metal contact features and method for  forming the sameCheng Chung-Liang; Fang Ziwei
11469128Systems and methods for wafer pod alignmentLiu Chao-Hsiang
11469138Via for coupling attached component upper electrode to substrateYu Chen-Hua; Wu Chi-Hsi; Chiou Wen-Chih; Wu Tsang-Jiuh; Yeh Der-Chyang;  Yeh Ming Shih; Su An-Jhih
11469139Bottom-up formation of contact plugsChen Yen-Yu; Cheng Chung-Liang
11469143Semiconductor device with elongated patternChang Po-Chin; Lin Li-Te; Lin Pinyen
11469145Method for forming semiconductor device structure with gate and resulting  structuresChang Chai-Wei; Wu Po-Chi; Fang Wen-Han
11469166Packages with Si-substrate-free interposer and method forming sameChen Ming-Fa; Yu Chen-Hua
11469170Multilevel interconnection structure and method for forming the sameYan Zhang-Ying; Wang Xin-Yong
11469197Integrated circuit package and methodChiou Wen-Chih; Yu Chen-Hua; Lin Shih Ting; Lu Szu-Wei
11469198Semiconductor device manufacturing method and associated semiconductor  dieTsai Ming-Ho; Chen Jyun-Hong; Liu Chun-Chen; Hsu Yu-Nu; Chen Peng-Ren;  Cheng Wen-Hao; Tsai Chi-Ming
11469200Semiconductor device and manufacturing method thereofShao Tung-Liang; Lai Yu-Chia; Tu Hsien-Ming; Huang Chang-Pin; Yang  Ching-Jung
11469203Method for forming package structure with a barrier layerChen Cheng-Hung; Hsu Yu-Nu; Liu Chun-Chen; Huang Heng-Chi; Li Chien-Chen;  Chen Shih-Yen; Hsieh Cheng-Nan; Liu Kuo-Chio; Chen Chen-Shien; Ku Chin-Yu;  Pang Te-Hsun; Wu Yuan-Feng; Chiang Sen-Chi
11469208Method of manufacturing semiconductor package structureJeng Shin-Puu; Hsu Feng-Cheng; Chen Shuo-Mao
11469215Chip package structure with molding layer and method for forming the sameChen Wei-Yu; Su An-Jhih
11469218Devices employing thermal and mechanical enhanced layers and methods of  forming sameYu Chen-Hua; Su An-Jhih; Chen Wei-Yu; Chen Ying-Ju; Lin Tsung-Shu; Chang  Chin-Chuan; Chen Hsien-Wei; Wu Wei-Cheng; Huang Li-Hsien; Wu Chi-Hsi; Yeh  Der-Chyang
11469221Integrated circuit and manufacturing method thereofWang Xin-Yong; Tien Li-Chun; Chen Chih-Liang
11469227Semiconductor device and a method for fabricating the sameChang Chih-Hao; Guo Wen-Huei; Mor Yi-Shien
11469229Semiconductor device and methodKao Wan-Yi; Lee Szu-Ping; Chang Che-Hao; Chen Chun-Heng; Lu Yung-Cheng;  Chui Chi On
11469238Non-interleaving N-well and P-well pickup region design for IC devicesFung Ka-Hing
11469267SOT MRAM having dielectric interfacial layer and method forming sameTsai Wilman; Song MingYuan; Lin Shy-Jay
11469269Techniques for MRAM top electrode via connectionChen Sheng-Chang; Chuang Harry-Hak-Lay; Wang Hung Cho; Huang Sheng-Huang
11469305Source/drain structure for semiconductor deviceMore Shahaji B.; Lee Cheng-Han
11469307Thicker corner of a gate dielectric structure around a recessed gate  electrode for an MV deviceChen Yi-Huan; Thei Kong-Beng; Chou Chien-Chih; Kalnitsky Alexander; Liu  Szu-Hsien; Yuan Huan-Chih
11469321Semiconductor deviceLu Ze-Sian; Chiang Ting-Wei; Sue Pin-Dai; Chen Jung-Hsuan; Li Hui-Wen
11469322Semiconductor device and manufacturing method thereofLi Lian-Jie; Lu Yan-Bin; Han Feng; Zhang Shuai
11469324Semiconductor device with negative capacitance structure and method for  forming the sameYoung Bo-Feng; Chang Chih-Yu; Yeong Sai-Hooi; Chui Chi-On; Wang Chih-Hao
11469326Semiconductor devices and methods of fabrication thereofChen Shih-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chang Lo-Heng; Yao  Chien-Ning; Chiang Kuo-Cheng; Wang Chih-Hao
11469332Semiconductor device and manufacturing method thereofLee Wei-Ju; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang
11469335FinFET MOS capacitorYang Sung-Hsin; Jeng Jung-Chi; Hsiao Ru-Shang
11469369MRAM structure with high TMR and high PMAChuang Ming-Yen; Lin Wenchin
11469371SOT-MRAM cell in high density applicationsSong Ming Yuan; Lin Shy-Jay
11469372Memory cell with top electrode viaKu Ming-Che; Chuang Harry-Hak-Lay; Wang Hung Cho; Tu Tsun Chung; Tsai  Jiunyu; Huang Sheng-Huang
11469743Timing circuit arrangements for flip-flopsXian Huaixin; Meng Qingchao; Zhou Yang; Hsieh Shang-Chih
11469745LatchLee Chia-Fu; Lin Hon-Jarn; Chih Yu-Der
11470710EUV light source and apparatus for EUV lithographyCheng Wei-Shin; Chang Han-Lung; Chen Li-Jui; Cheng Po-Chung; Chang  Hsiao-Lun
11470720Opening in the pad for bonding integrated passive device in InFO packageHsieh Cheng-Hsien; Wu Chi-Hsi; Yu Chen-Hua; Yeh Der-Chyang; Chen  Hsien-Wei; Hsu Li-Han; Wu Wei-Cheng
11474428Photomask and method of repairing photomaskChang Hao-Ming
11474552Voltage reference temperature compensation circuits and methodsKundu Amit; Horng Jaw-Juinn
11475929Memory refreshNoguchi Hiroki
11475942SRAM structuresWang Ping-Wei; Pao Chia-Hao; Yeap Choh Fei; Lin Yu-Kuan; Lim Kian-Long
11475950Stressing algorithm for solving cell-to-cell variations in phase change  memoryWu Jau-Yi
11476108Spin on carbon composition and method of manufacturing a semiconductor  deviceHuang Jing Hong; Chang Ching-Yu; Lai Wei-Han
11476124Etchant for etching a cobalt-containing member in a semiconductor  structure and method of etching a cobalt-containing member in a semiconductor  structureChen Ren-Kai; Lee Li-Chen; Lin Shun Wu; Yeh Ming-Hsi; Huang Kuo-Bin
11476125Multi-die package with bridge layerChang Wei Sen; Chen Yu-Feng; Chen Chen-Shien; Lii Mirng-Ji
11476156Semiconductor device structuresChiang Hsin-Che; Huang Ju-Li; Liang Chun-Sheng; Yeh Jeng-Ya
11476157Method of manufacturing a metal-oxide-semiconductor field-effect  transistor (MOSFET) having low off-state capacitance due to reduction of  off-state capacitance of back-end-of-line (BEOL) features of the MOSFETSingh Gulbagh; Tsai Tsung-Han; Hsu Shih-Lu; Chuang Kun-Tsang
11476159Shared contact structure and methods for forming the sameHsu Leo; Pan Sheng-Liang
11476166Nano-sheet-based complementary metal-oxide-semiconductor devices with  asymmetric inner spacersYoung Bo-Feng; Yeong Sai-Hooi; Cheng Kuan-Lun; Wang Chih-Hao
11476184Semiconductor device and method for manufacturing the sameTing Kuo-Chiang; Wu Chi-Hsi; Hou Shang-Yun; Yu Tu-Hao; Hsu Chia-Hao; Lin  Pin-Tso; Chen Chia-Hsin
11476191Low resistance interconnect structure for semiconductor deviceHuang Jason; Chung Liang-Chor; Li Cheng-Yuan
11476193Semiconductor structure and manufacturing method thereofLu Chi-Ta; Tsai Chi-Ming
11476196Semiconductor device with multi-layer dielectricHuang Lin-Yu; Wang Sheng-Tsung; You Jia-Chuan; Chang Chia-Hao; Lin  Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11476205Package structure and method for forming the sameTsai Tsung-Fu; Yeh Kung-Chen; Huang I-Ting; Lin Shih-Ting; Lu Szu-Wei
11476214Sidewall spacer to reduce bond pad necking and/or redistribution layer  neckingKalnitsky Alexander; Thei Kong-Beng
11476219Metal-bump sidewall protectionChang Jung-Hua; He Jian-Yang; Kao Chin-Fu
11476248Three dimensional integrated circuit and fabrication thereofHu Chenming; Haung Po-Tsang
11476250Double rule integrated circuit layouts for a dual transmission gatePeng Shih-Wei; Zhuang Hui-Zhong; Tzeng Jiann-Tyng; Tien Li-Chun; Sue  Pin-Dai; Lin Wei-Cheng
11476278IC including standard cells and SRAM cellsLiaw Jhon-Jhy
11476288Infrared image sensor component manufacturing methodWu Chien-Ying; Chu Li-Hsin; Tseng Chung-Chuan; Liu Chia-Wei
11476295Back side illuminated image sensor with reduced sidewall-induced leakageTsai Shuang-Ji; Yaung Dun-Nian; Liu Jen-Cheng; Wang Wen-De; Tseng  Hsiao-Hui
11476331Supportive layer in source/drains of FinFET devicesTai Jung-Chi; Li Chii-Horng; Jeng Pei-Ren; Lee Yen-Ru; Lin Yan-Ting; Chin  Chih-Yun
11476333Dual channel structureKhaderbad Mrunal Abhijith; Sathaiya Dhanyakumar Mahaveer; Lin Keng-Chu;  Shen Tzer-Min
11476337Method for forming a semiconductor structureChang Yao-Wen; Chang Gung-Pei; Chu Ching-Sheng; Hsu Chern-Yow
11476342Semiconductor device with improved source and drain contact area and  methods of fabrication thereofLee Wei Ju; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang
11476347Processes for removing spikes from gatesLin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han; Chang  Ming-Ching; Chen Chao-Cheng
11476349FinFET structures and methods of forming the sameChang Shih-Chieh; More Shahaji B.; Lee Cheng-Han
11476351Metal gate structures and methods of fabricating the same in field-effect  transistorsHsiao Ru-Shang; Su Ching-Hwanq; Su Pin Chia; Lu Ying Hsin; Huang I-Shan
11476352Conformal transfer doping method for fin-like field effect transistorYeong Sai-Hooi; Wang Sheng-Chen; Lai Bo-Yu; Fang Ziwei; Yang Feng-Cheng;  Chen Yen-Ming
11476356Fin field-effect transistor device with low-dimensional material and  methodHung Yi-Tse; Cheng Chao-Ching; Chen Tse-An; Chiang Hung-Li; Chen  Tzu-Chiang; Li Lain-Jong
11476361Semiconductor device structure with dielectric layerCheng Chung-Liang; Fang Ziwei
11476365Fin field effect transistor device structure and method for forming the  sameChu Chia-Hung; Wang Sung-Li; Lee Fang-Wei; Chang Jung-Hao; Khaderbad  Mrunal Abhijith; Lin Keng-Chu
11476416Semiconductor device and method for manufacturing the sameTrinh Hai-Dang; Jiang Fa-Shen; Lin Hsing-Lien; Wu Chii-Ming
11479849Physical vapor deposition chamber with target surface morphology monitorTrinh Hai-Dang; Wu Chii-Ming; Pan Shing-Chyang
11480606In-line device electrical property estimating method and test structure  of the sameWang Chen-Han; Lin Chun-Hsiung
11480869Photomask with enhanced contamination control and method of forming the  sameLai Chien-Hung; Chang Hao-Ming; Lin Chia-Shih; Wang Hsuan-Wen; Hsu  Yu-Hsin; Shih Chih-Tsung; Wu Yu-Hsun
11480982Flipped gate current referenceAl-Shyoukh Mohammad; Kalnitsky Alexander
11481531IC manufacturing recipe similarity evaluation methods and systemsMa Kang-Heng; Nan Ching-Hsi
11481536Method and system for fixing violation of layoutChuang Yi-Lin; Liu Song; Chen Pei-Pei; Lin Heng-Yi; Lin Shih-Yao; Wang  Chin-Hsien
11482276System and method for read speed improvement in 3T DRAMFujiwara Hidehiro; Chiu Yi-Hsun; Wang Yih
11482411Semiconductor device and methodChang Ching-Yu; Chen Jei Ming; Lee Tze-Liang
11482417Method of manufacturing semiconductor structureLee Yung-Yao; Hsu Chen Yi; Tseng Wei-Hsiang
11482421Method of forming a semiconductor device by a replacement gate processLin Shih-Yao; Kao Kuei-Yu; Lin Chih-Han; Chang Ming-Ching; Chen  Chao-Cheng
11482426Double patterning methodLee Chia-Ying; Shieh Jyu-Horng
11482430Space filling device for wet benchChen Yen-Ji; Yang Chih-Shen; Huang Cheng-Yi
11482447Method of forming an integrated chip having a cavity between metal  featuresTien Hsi-Wen; Lee Chung-Ju; Lu Chih Wei; Yao Hsin-Chieh; Shue Shau-Lin;  Dai Yu-Teng; Liao Wei-Hao
11482450Methods of forming an abrasive slurry and methods for chemical-  mechanical polishingLee Chia Hsuan; Hsu Chun-Wei; Ho Chia-Wei; Shen Chi-Hsiang; Wu Li-Chieh;  Lin Jian-Ci; Liu Chi-Jen; Lin Yi-Sheng; Cheng Yang-Chun; Chen Liang-Guang;  Wei Kuo-Hsiu; Chen Kei-Wei
11482451Interconnect structuresLuo Guanyu; Yang Shin-Yi; Lee Ming-Han; Shue Shau-Lin
11482458Selective dual silicide formationChu Peng-Wei; Wang Sung-Li; Okuno Yasutoshi
11482459HVMOS reliability evaluation using bulk resistances as indicesChen Chia-Chung; Huang Chi-Feng; Lu Tse-Hua
11482461Semiconductor package and method for making the sameChen Yang-Che; Lin Chen-Hua; Tseng Huang-Wen; Liang Victor Chiang; Liu  Chwen-Ming
11482465Thermal interface materials, 3D semiconductor packages and methods of  manufactureYu Chen-Hua; Lu Szu-Wei; Shih Ying-Ching; Kuo Li-Chung
11482473Semiconductor device, and associated method and systemPeng Shih-Wei; Wu Chia-Tien; Tzeng Jiann-Tyng
11482484Symmetrical substrate for semiconductor packagingWu Jiun Yi; Yu Chen-Hua
11482491Package structure with porous conductive structure and manufacturing  method thereofChen Wei-Yu; Chen Chih-Hua; Hsieh Ching-Hua; Lin Hsiu-Jen; Huang Yu-Chih;  Tsai Yu-Peng; Cheng Chia-Shen; Tsao Chih-Chiang; Yu Jen-Jui
11482493Methods for reducing dual damascene distortionWang Chao-Chun; Ko Chung-Chi; Shih Po-Cheng
11482495Semiconductor arrangement and method for makingChen Pin-Wen; Fu Mei-Hui; Lee Hong-Mao; Lin Wei-Jung; Chang Chih-Wei
11482497Package structure including a first die and a second die and a bridge die  and method of forming the package structureLin Yu-Hung; Wu Chih-Wei; Yuan Chia-Nan; Shih Ying-Ching; Su An-Jhih; Lu  Szu-Wei; Yeh Ming-Shih; Yeh Der-Chyang
11482499Seal ring for hybrid-bondHu Chih-Chia; Kuo Chun-Chiang; Jan Sen-Bor; Chen Ming-Fa; Chen Hsien-Wei
11482506Edge-trimming methods for wafer bonding and dicingHsieh Feng-Chien; Chen Hsin-Chi; Lee Kuo-Cheng; Cheng Mu-Han; Cheng  Yun-Wei
11482508Semiconductor package and manufacturing method thereofShih Ying-Ching; Wu Chih-Wei; Lu Szu-Wei
11482518Semiconductor structures having wells with protruding sections for pickup  cellsChang Yung Feng; Hsu Chun-Chia; Hsieh Tung-Heng; Young Bao-Ru
11482556Low-noise image sensor having stacked semiconductor substratesTakahashi Seiji; Sze Jhy-Jyi
11482571Memory array with asymmetric bit-line architectureChiang Hung-Li; Cheng Chao-Ching; Chiu Jung-Piao; Chen Tzu-Chiang; Chen  Yu-Sheng
11482594Semiconductor devices with backside power rail and method thereofYu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao
11482595Dual side contact structures in semiconductor devicesChiu Shih-Chuan; Chang Chia-Hao; Chuang Cheng-Chi; Wang Chih-Hao; Su  Huan-Chieh; Chen Chun-Yuan; Yu Li-Zhen; Lin Yu-Ming
11482609Ferroelectric channel field effect transistorDoornbos Gerben; van Dal Marcus Johannes Henricus; Vellianitis Georgios
11482620Interfacial layer between Fin and source/drain regionChin Chih-Yun; Li Chii-Horng; Lee Chien-Wei; Sung Hsueh-Chang; Ting  Heng-Wen; Tai Roger; Jeng Pei-Ren; Hsu Tzu-Hsiang; Lee Yen-Ru; Lin Yan-Ting;  Liu Davie
11482649Semiconductor package and manufacturing method of semiconductor packageChen Ming-Fa; Chen Hsien-Wei; Chen Jie
11482663Microelectromechanical system with piezoelectric film and manufacturing  method thereofChen Ting-Jung
11482668RRAM structureTrinh Hai-Dang; Wu Chii-Ming; Lin Hsing-Lien; Jiang Fa-Shen
11482788Antenna device and method for manufacturing antenna deviceWang Chuei-Tang; Tsai Chung-Hao; Hsieh Jeng-Shien; Lin Wei-Heng; Yee  Kuo-Chung; Yu Chen-Hua
11483468Phase detect auto-focus three dimensional image capture systemLiu Chih-Min
11483918Light source for lithography exposure processHsieh Chieh; Chien Shang-Chieh; Hsu Chun-Chia; Liu Bo-Tsun; Fu Tzung-Chi;  Chen Li-Jui; Cheng Po-Chung
11485631Method of making ohmic contact on low doped bulk silicon for optical  alignmentChang Kuei-Sung; Chu Chia-Hua; Tsai Shang-Ying
11486854CMOS compatible BioFETKalnitsky Alexander; Liu Yi-Shao; Liang Kai-Chih; Chu Chia-Hua; Cheng  Chun-Ren; Cheng Chun-Wen
11487060Semiconductor device with nanostructures aligned with grating coupler and  manufacturing method thereofLiao Yu-Kuang; Li Jia-Xsing; Wu Ping-Jung; Wu Tsang-Jiuh; Chiou Wen-Chih;  Yu Chen-Hua
11487207Extreme ultraviolet photolithography method with infiltration for  enhanced sensitivity and etch resistanceOuyang Christine Y
11487210Method and system of surface topography measurement for lithographyLee Yung-Yao; Wang Yeh-Chin; Chu Yang-Ann; Chen Yung-Hsiang; Chen  Yung-Cheng
11487924System, method and associated computer readable medium for designing  integrated circuit with pre-layout RC informationChen Chin-Sheng; Chai Ching-Yu; Hu Wei-Yi
11488659Memory circuit and write methodLu Shih-Lien-Linus; Young Bo-Feng; Chia Han-Jong; Lin Yu-Ming; Yeong  Sai-Hooi
11488661Memory device including memory cells and edge cellsKatoch Atuk
11488814Permeance magnetic assemblyYang Tsung-Jen; Chen Yi-Zhen; Wang Chih-Pin; Shih Chao-Li; Su Ching-Hou;  Huang Cheng-Yi
11488825Multi-layer mask and method of forming sameLiou Joung-Wei; Lan Chin Kun
11488842Method of making semiconductor device package including conformal metal  cap contacting each semiconductor dieTsai Chen-Yu; Wei Tsung-Shang; Lin Yu-Sheng; Chiou Wen-Chih; Jeng  Shin-Puu
11488843Underfill between a first package and a second packageLin Jing-Cheng; Cheng Li-Hui; Tsai Po-Hao
11488848Integrated semiconductor die vessel processing workstationsKuo Tsung-Sheng; Huang Guan-Wei; Huang Chih-Hung; Chu Yang-Ann; Liu  Hsu-Shui; Pai Jiun-Rong
11488855Dielectric gap-filling process for semiconductor deviceLin Cheng-I; Tang Bang-Tai
11488857Semiconductor device and method of manufacture using a contact etch stop  layer (CESL) breakthrough processWang Yu-Shih; Yeh Po-Nan; Chiu U-Ting; Lin Chun-Neng; Chen Chia-Cheng;  Chen Liang-Yin; Yeh Ming-Hsi; Huang Kuo-Bin
11488858Methods for forming stacked layers and devices formed thereofLin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han
11488859Semiconductor device and methodYeh Po-Nan; Wang Yu Shih; Yeh Ming-Hsi
11488861Method for manufacturing an interconnect structure having a selectively  formed bottom viaHo Po-Kuan; Wu Chia-Tien
11488869Transistor isolation structuresKhaderbad Mrunal Abhijith; Lin Keng-Chu; Peng Yu-Yun
11488872Method for forming semiconductor device structure with isolation featureTsai Tsung-Han; Wang Po-Jen; Wu Chun-Li; Kao Ching-Hung
11488873Metal gates and methods of forming therebyLee Hsin-Yi; Hung Cheng-Lung; Chui Chi On
11488874Semiconductor device with funnel shape spacer and methods of forming the  sameYang Cheng-Yu; Chen Yen-Ting; Lee Wei-Yang; Yang Fu-Kai; Chen Yen-Ming
11488878Packaging mechanisms for dies with different sizes of connectorsChen Chih-Hua; Chen Chen-Shien; Hsiao Ching-Wen
11488881Semiconductor device and method of manufactureYu Chen-Hua; Lee Chien-Hsun; Wu Jiun Yi
11488882Die-on-interposer assembly with dam structure and method of manufacturing  the sameWu Chih-Wei; Lu Szu-Wei; Lin Jing-Cheng
11488891Method of forming conductive bumps for cooling device connection and  semiconductor deviceChou You-Hua; Lai Yi-Jen; Chen Chun-Jen; Kao Perre
11488897Integrated circuit package and methodLai Chi-Hui; Chun Shu-Rong; Pan Kuo Lung; Kuo Tin-Hao; Tsai Hao-Yi; Liu  Chung-Shi; Yu Chen-Hua
11488898Bump joint structure with distortion and method forming sameLin Po-Yao; Jeng Shin-Puu
11488908Semiconductor device and methodYu Chen-Hua; Tsai Hui-Jung; Kuo Hung-Jui; Liu Chung-Shi; Pu Han-Ping; Ko  Ting-Chu
11488909Package structureLin Chun-Wen; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Hsu Che-Wei
11488912Method for forming recesses in a substrate by etching dummy finsKuan Wan-Chun; Liao Chih-Teng; Chiu Yi-Wei; Weng Tzu-Chan
11488926Self-aligned interconnect structureYao Hsin-Chieh; Lee Chung-Ju; Lu Chih Wei; Tien Hsi-Wen; Dai Yu-Teng;  Liao Wei-Hao
11488966FinFET SRAM having discontinuous PMOS fin linesLiaw Jhon Jhy
11488968Integrated circuit and static random access memory thereofLiaw Jhon-Jhy
11488969Two-port SRAM cells with asymmetric M1 metalizationLiaw Jhon Jhy
11488971Embedded memory with improved fill-in windowLin Meng-Han; Chiu Te-Hsin; Wu Wei Cheng
11488993Image sensor deviceHung Chen-Hsiang; Tseng Chung-Chuan; Chu Li-Hsin; Lai Chia-Ping
11489011Resistive random access memory deviceJiang Jheng-Hong; Cheng Cheung; Liu Chia-Wei
11489039Semiconductor device and manufacturing method thereofChen Zheng-Long
11489053Semiconductor device and methodChen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun
11489054Raised epitaxial LDD in MuGFETs and methods for forming the sameLu Yong-Yan; Chen Hou-Yu; Yang Shyh-Horng
11489056Semiconductor device with multi-threshold gate structureCheng Chung-Liang; Lim Peng-Soon; Fang Ziwei; Chao Huang-Lin
11489057Contact structures in semiconductor devicesChang Hsu-Kai; Huang Jhih-Rong; Tung Yen-Tien; Chu Chia-Hung; Liang  Shuen-Shin; Shen Tzer-Min; Lin Pinyen; Wang Sung-Li
11489058Semiconductor structure and associated manufacturing methodCheng Hsin-Li; Chang Yu-Chi
11489059Semiconductor devices, FinFET devices and methods of forming the sameLee Hsin-Yi; Hung Cheng-Lung; Chang Weng; Chui Chi-On
11489062Optimized proximity profile for strained source/drain feature and method  of fabricating thereofLin Chun-An; Tseng Kuo-Pi; Su Tzu-Chieh
11489063Method of manufacturing a source/drain feature in a multi-gate  semiconductor structureLee Wei Ju; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang
11489064Forming 3D transistors using 2D van per waals materialsSu Sheng-Kai; Cai Jin
11489074Semiconductor device and manufacturing method thereofLi Kun-Mu; Kwok Tsz-Mei; Yu Ming-Hua; Yang Chan-Lon
11489075Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.; Savant Chandrashekhar Prakash
11489078Lightly-doped channel extensionsLai Wei-Jen; Lu Wei-Yuan; Yu Chih-Hao; Lin Chia-Pin
11489107Memory cell with top electrode viaKu Ming-Che; Chuang Harry-Hak-Lay; Wang Hung Cho; Tu Tsun Chung; Tsai  Jiunyu; Huang Sheng-Huang
11489113Semiconductor device, memory cell and method of forming the sameLee Tung-Ying; Yu Shao-Ming; Lin Yu-Chao
11489115VIA structure and methods of forming the sameHuang Wei-Chieh; Chen Jieh-Jang; Shiu Feng-Jia; Hsu Chern-Yow
11489502Noise detecting circuit and associated system and methodLien Bei-Shing; Horng Jaw-Juinn
11489530Delay lock loop circuits and methods for operating sameTsai Tsung-Hsien; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh  Cheng-Hsiang
11491455Bio-sensing and temperature-sensing integrated circuitChen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix  Ying-Kit; Peng Yung-Chow
11491510Semiconductor device having microelectromechanical systems devices with  improved cavity pressure uniformityChiu I-Hsuan; Hung Chia-Ming; Peng Li-Chun; Chen Hsiang-Fu
11493389Low temperature error thermal sensorHorng Jaw-Juinn; Liu Szu-Lin
11493563Power amplifiers testing system and related testing methodHsieh Hsieh-Hung; Lin Wu-Chen; Chen Yen-Jen; Yeh Tzu-Jin
11493689Photonic semiconductor device and method of manufactureYu Chen-Hua; Hsia Hsing-Kuo; Ting Kuo-Chiang; Huang Sung-Hui; Hou  Shang-Yun; Wu Chi-Hsi
11493909Method for detecting environmental parameter in semiconductor fabrication  facilityChen Lee-Chun; Yang Yi-Chien; Hsu Chia-Lin
11493946Signal generating device and method of generating temperature-dependent  signalTai Chia Liang
11494497System and device for data protection and method thereofKuo Wen-Chang; Kao Chiang; Chen Kuo Hsiung; Liu Ho-Han; Yang Ti-Yen; Liu  Jo-Chan; Wang Chi-Pin; Chang Yao-Hsiung
11494542Semiconductor device, method of generating layout diagram and system for  sameChen Chung-Hui; Chang Tzu Ching; Chen Wan-Te
11494543Layout for integrated circuit and the integrated circuitLei Cheok-Kei; Li Yu-Chi; Tseng Chia-Wei; Jiang Zhe-Wei; Liu Chi-Lin; Kao  Jerry Chang-Jui; Yang Jung-Chan; Lu Chi-Yu; Zhuang Hui-Zhong
11494545Apparatus and method for advanced macro clock skewingTsai Ming-Chieh; Wang Shao-Yu
11494619Device and method for operating the sameHou Tuo-Hung; Chang Chih-Cheng
11495294Hybrid self-tracking reference circuit for RRAM cellsLin Zheng-Jun; Chou Chung-Cheng; Chih Yu-Der; Tseng Pei-Ling
11495300Method and apparatus for PUF generator characterizationLu Shih-Lien Linus
11495314Memory repair using optimized redundancy utilizationHuang Chien-Hao; Wu Cheng-Yi; Chiang Katherine H.; Lin Chung-Te
11495460Method for forming semiconductor structure by patterning resist layer  having inorganic materialZi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu
11495463Semiconductor device and manufacturing method thereofSavant Chandrashekhar Prakash; Yu Tien-Wei; Tsai Chia-Ming
11495464Semiconductor device and methodChang Ya-Lan; Chen Ting-Gang; Huang Tai-Chun; Chui Chi On; Lu Yung-Cheng
11495465Method and structure for semiconductor device having gate spacer  protection layerLu Chih Wei; Lee Chung-Ju; Chen Hai-Ching; Huang Chien-Hua; Bao Tien-I
11495471Slurry compositions for chemical mechanical planarizationLee An-Hsuan; Liao Chun-Hung; Wu Chen-Hao; Lee Shen-Nan; Tsai Teng-Chun;  Chao Huang-Lin
11495472Semicondutor packages and methods of forming sameLu Chung-Yu; Huang Ping-Kang; Chiu Sao-Ling; Hou Shang-Yun
11495489Method for forming a semiconductor-on-insulator (SOI) substrateWu Cheng-Ta; Tsai Chia-Shiung; Lu Jiech-Fun; Liu Kuan-Liang; Chou  Shih-Pei; Cheng Yu-Hung; Tu Yeur-Luen
11495491Structure and formation method of semiconductor device with stacked  conductive structuresChen Chun-Yuan; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming; Wang  Chih-Hao
11495494Methods for reducing contact depth variation in semiconductor fabricationLee Yun; Lee Chen-Ming; Yang Fu-Kai; Huang Yi-Jyun; Wang Sheng-Hsiung;  Wang Mei-Yun
11495497FinFET switchSio Kam-Tou; Chen Chih-Liang; Young Charles Chew-Yuen; Yu Ho Che
11495501Fin field-effect transistor devices and methods of forming the sameHung Chih-Chang; Feng Chieh-Ning; Lai Chun-Liang; Lin Yih-Ann; Chen Ryan  Chia-Jen
11495503Structure and process of integrated circuit having latch-up suppressionHsu Kuo-Hsiu; Lin Yu-Kuan; Chang Feng-Ming; Su Hsin-Wen; Hung Lien Jung;  Wang Ping-Wei
11495506Semiconductor package with separate electric and thermal pathsTseng Shih-Hao; Kuo Hung-Jui; Ho Ming-Che
11495507Manufacturing method of a semiconductor packageTseng Shih-Hao; Kuo Hung-Jui; Ho Ming-Che; Liu Chia-Hung
11495526Integrated circuit package and methodPan Chih-Chien; Cheng Li-Hui; Kao Chin-Fu; Lu Szu-Wei
11495528Method of fabricating device having inductorLiao Wen-Shiang
11495532Techniques to inhibit delamination from flowable gap-fill dielectricLin Hsing-Lien; Liang Chin-Wei; Kuang Hsun-Chung; Yang Ching Ju
11495536Semiconductor structure and method for forming thereofChang Jen-Yuan; Lai Chia-Ping
11495537Interconnect structure in semiconductor devicesLiaw Jhon Jhy
11495539Interconnect structure with air-gapsYang Tai-I; Chuang Cheng-Chi; Wang Yung-Chih; Lin Tien-Lu
11495556Semiconductor structure having counductive bump with tapered portions and  method of manufacturing the sameTsao Pei-Haw; Xu An-Tai; Hsiao Huang-Ting; Chang Kuo-Chin
11495558Integrated circuit features with obtuse angles and method of forming sameChung Shu-Wei; Wang Yen-Sen
11495559Integrated circuitsChen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng; Chen Ying-Ju
11495573Package structure and manufacturing method thereofTsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang
11495590Multi-chip semiconductor packageLai Yu-Chia; Pan Kuo Lung; Kuo Hung-Yi; Kuo Tin-Hao; Tsai Hao-Yi; Liu  Chung-Shi; Yu Chen-Hua
11495598Hybrid scheme for improved performance for P-type and N-type FinFETsChiang Kuo-Cheng; Ju Shi Ning; Tsai Ching-Wei; Cheng Kuan-Lun; Wang  Chih-Hao
11495606FinFET having non-merging epitaxially grown source/drainsChang Chun Po; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Lee Wei-Yang;  Hsu Tzu-Hsiang
11495618Three-dimensional memory device and methodLin Meng-Han; Chia Han-Jong; Wang Sheng-Chen; Yang Feng-Cheng; Lin  Yu-Ming; Lin Chung-Te
11495619Integrated circuit device with improved layoutChang Fong-yuan; Chen Chun-Chen; Huang Po-Hsiang; Lu Lee-Chung; Lin  Chung-Te; Kao Jerry Chang Jui; Chen Sheng-Hsiung; Liu Chin-Chou
11495630Multiple deep trench isolation (MDTI) structure for CMOS image sensorWu Wei Chuang; Wang Ching-Chun; Yaung Dun-Nian; Hung Feng-Chi; Liu  Jen-Cheng; Chiang Yen-Ting; Chen Chun-Yuan; Hong Shen-Hui
11495632Back side illuminated image sensor with deep trench isolation structures  and self-aligned color filtersLee Kuo-Cheng; Cheng Yun-Wei; Hsu Yung-Lung; Chen Hsin-Chi
11495635Polydimethylsiloxane antireflective layer for an image sensorLin Yi-Ming; Wu Chen-Chi; Chung Chen-Kuei
11495659Semiconductor deviceCheng An-Hao
11495661Semiconductor device including gate barrier layerLee Hsin-Yi; Chen Ji-Cheng; Hung Cheng-Lung; Chang Weng; Chui Chi On
11495662Gate all around transistors with different threshold voltagesLiaw Jhon Jhy
11495674Forming epitaxial structures in fin field effect transistorsChan Chia-Ling; Chen Derek; Chen Liang-Yin; Kuo Chien-I
11495677Semiconductor devices and methods of manufacturing thereofJhan Yi-Ruei; Pan Kuan-Ting; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang  Chih-Hao
11495682Semiconductor device and methodYang Chih-Chuan; Lin Shih-Hao
11495684Method of removing an etch maskChu Chun-Han; Chen Nai-Chia; Huang Ping-Jung; Chuo Tsung-Min; Shih  Jui-Ming; Yen Bi-Ming
11495685Metal-insensitive epitaxy formationTsai Chun Hsiung; Hwang Yuan-Ko
11495687Metal rail conductors for non-planar semiconductor devicesChen Chih-Liang; Lai Chih-Ming; Tsai Ching-Wei; Young Charles Chew-Yuen;  Tzeng Jiann-Tyng; Chiang Kuo-Cheng; Liu Ru-Gun; Wu Wei-Hao; Lin Yi-Hsiung;  Chang Chia-Hao; Chou Lei-Chun
11495738Dual magnetic tunnel junction devices for magnetic random access memory  (MRAM)Sundar Vignesh; Wang Yu-Jen; Thomas Luc; Jan Guenole
11495743Non-volatile memory device and manufacturing technologyHsu Chern-Yow; Min Chung-Chiang; Liu Shih-Chang
11496417Network-on-chip system and a method of generating the sameVenugopalan Ravi; Goel Sandeep Kumar; Lee Yun-Han
11498044Bio-sensing and temperature-sensing integrated circuitChen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix  Ying-Kit; Peng Yung-Chow
11498832Stacked semiconductor structure and method of forming the sameChu Chia-Hua; Cheng Chun-Wen
11500016Circuit screening system and circuit screening methodWu Chi-Che; Hung Tsung-Yang; Guo Jia-Ming; Fang Edna; Wang Ming-Yih
11500018Asynchronous circuits and test methodsShen Ting-Yu; Li Chien-Mo
11500155Optical coupler, grating structure and forming method thereofKuo Feng-Wei; Liao Wen-Shiang
11500282EUV photo masks and manufacturing method thereofLin Yun-Yue
11500299Exposure method and exposure apparatusLee Yung-Yao; Liu Heng-Hsin; Kuo Hung-Ming; Peng Jui-Chun
11501051Memory device, integrated circuit device and methodChang Meng-Sheng; Huang Chia-En; Chen Chien-Ying
11501052Conductor scheme selection and track planning for  mixed-diagonal-Manhattan routingChen Sheng-Hsiung; Chen Huang-Yu; Wang Chung-Hsing; Kao Jerry Chang Jui
11501812Semiconductor devices including ferroelectric memory and methods of  forming the sameWang Chenchen Jacob; Yeong Sai-Hooi; Chui Chi On; Lin Yu-Ming
11501999Cobalt fill for gate structuresCheng Chung-Liang; Fang Ziwei
11502000Bottom lateral expansion of contact plugs through implantationChou Meng-Han; Liu Su-Hao; Chen Kuo-Ju; Chen Liang-Yin; Chang Huicheng;  Yeo Yee-Chia
11502001Semiconductor device with self-aligned viasChen Chien-Han; Chiu Chien-Chih; Liang Ming-Chung
11502004Configuring different via sizes for bridging risk reduction and  performance improvementLiaw Jhon Jhy
11502005Semiconductor devices and methods of forming the sameChu Feng-Ching; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming
11502013Integrated circuit package and methodChun Shu-Rong; Pan Kuo Lung; Kuo Tin-Hao; Tsai Hao-Yi; Lee Pei-Hsuan;  Hwang Chien Ling; Lai Yu-Chia; Teng Po-Yuan; Yu Chen-Hua
11502015Semiconductor package and manufacturing method thereofShen Wen-Wei; Huang Sung-Hui; Hou Shang-Yun; Huang Kuan-Yu
11502032Chip package and method of fabricating the sameChen Guan-Yu; Su An-Jhih; Yeh Der-Chyang; Huang Li-Hsien; Yeh Ming-Shih
11502034Semiconductor devices with backside power rail and methods of fabrication  thereofChang Lo-Heng; Chiang Kuo-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chen  Shih-Cheng; Ju Shi-Ning; Wang Chih-Hao
11502035Interconnect structure and method of forming sameSung Su-Jen
11502039Semiconductor package and methodHuang Tzu-Sung; Lin Hsiu-Jen; Tsai Hao-Yi; Tseng Ming Hung; Chiang  Tsung-Hsien; Kuo Tin-Hao; Lin Yen-Liang
11502040Package structure and semiconductor pacakgeChang Jung-Hua; Kao Chin-Fu
11502043Semiconductor structure and method for fabricating semiconductor  structureLu Chung-Yu; Chang Yao-Jen; Chiu Sao-Ling
11502044Methods of manufacturing semiconductor device and semiconductor deviceChen Ying-Hua; Shiu Feng-Jia; Lu Wen-Chen
11502050Redistribution layer metallic structure and methodBih Shih Wei; Yeh Sheng-Wei; Chen Yen-Yu; Cheng Wen-Hao; Lin Chih-Wei;  Lin Chun-Chih
11502056Joint structure in semiconductor package and manufacturing method thereofHuang Kuan-Yu; Wu Chih-Wei; Huang Sung-Hui; Hou Shang-Yun; Shih  Ying-Ching; Li Cheng-Chieh
11502062Integrated circuit package and methodChen Ming-Fa; Liu Tzuan-Horng; Shih Chao-Wen; Yeh Sung-Feng; Wu Nien-Fang
11502072Integrated circuit package and methodYu Chen-Hua; Lin Yung-Chi; Chiou Wen-Chih
11502076Semiconductor structure cutting process and structures formed therebyChen Ryan Chia-Jen; Chang Cheng-Chung; Hsu Shao-Hua; Lin Yu-Hsien; Chang  Ming-Ching; Yin Li-Wei; Pan Tzu-Wen; Chen Yi-Chun
11502077Semiconductor devices having fin field effect transistor (FinFET)  structures and manufacturing and design methods thereofLee Tung Ying; Guo Wen-Huei; Chang Chih-Hao; Chang Shou-Zen
11502080Semiconductor device and methodTsai Cheng-Yen; Huang Ming-Chi; Chen Zoe; Lee Wei-Chin; Hung Cheng-Lung;  Lee Da-Yuan; Chang Weng; Su Ching-Hwanq
11502081Semiconductor device and methodLee Hsin-Yi; Chang Weng; Chui Chi On
11502121Image sensor deviceChen Szu-Ying; Kao Min-Feng; Liu Jen-Cheng; Hung Feng-Chi; Yaung Dun-Nian
11502123Methods for forming image sensor devicesLiao Keng-Ying; Tung Huai-Jen; Sung Chih Wei; Chen Po-zen; Ku Yu-Chien;  Lin Yu-Chu; Jen Chi-Chung; Wu Yen-Jou; Tsao Tsun-kai; Yang Yung-Lung
11502126Integrated circuit and fabrication method thereofChuang Harry-Hak-Lay; Fu Sheng-Wen; Chen Jun-Yao; Huang Sheng-Huang; Wang  Hung-Cho
11502128Memory device and method of forming the sameWu Chao-I; Lin Yu-Ming
11502160Method and system for forming metal-insulator-metal capacitorsChen Wei-Liang; Yeh Yu-Lung; Chuang Chihchous; Chen Yen-Hsiu; Liou  Tsai-Ji; Chen Yung-Hsiang; Huang Ching-Hung
11502161Metal insulator metal capacitor structure having high capacitanceHsu Chen-Yin; Wu Chun Li; Kao Ching-Hung
11502166Seal material for air gaps in semiconductor devicesLiang Shuen-Shin; Wang Chen-Han; Lin Keng-Chu; Ueno Tetsuji; Chen  Ting-Ting
11502168Tuning threshold voltage in nanosheet transitor devicesHsu Chung-Wei; Chen Hou-Yu; Wang Chih-Hao; Tsai Ching-Wei; Chiang  Kuo-Cheng; Cheng Kuan-Lun; Huang Mao-Lin; Yu Jia-Ni; Chu Lung-Kun
11502174Method for reducing Schottky barrier height and semiconductor device with  reduced Schottky barrier heightCheng Hung-Hsiang; Pan Samuel C.
11502176Semiconductor device with ferroelectric aluminum nitrideChen Miin-Jang; Shieh Tzong-Lin Jay; Lin Bo-Ting
11502182Selective gate air spacer formationYang Chih-Hsin; Chen Yen-Ming; Yang Feng-Cheng; Lee Tsung-Lin; Lee  Wei-Yang; Chen Dian-Hau
11502183Air gap in inner spacers and methods of fabricating the same in  field-effect transistorsYao Chien Ning; Young Bo-Feng; Yeong Sai-Hooi; Cheng Kuan-Lun; Wang  Chih-Hao
11502185Methods of manufacturing a gate electrode having metal layers with  different average grain sizesHsiao Ru-Shang; Su Ching-Hwanq; Su Pin Chia; Lu Ying Hsin; Wang Ling-Sung
11502186FinFET device having a channel defined in a diamond-like shape  semiconductor structureLin You-Ru; Wu Cheng-Hsien; Ko Chih-Hsin; Wann Clement Hsingjen
11502187Semiconductor device structure and method for forming the sameChing Kuo-Cheng; Lin Zhi-Chang; Pan Kuan-Ting; Wang Chih-Hao; Ju Shi-Ning
11502189Charge storage and sensing devices and methodsHwu Jenn-Gwo; Liao Chien-Shun; Kao Wei-Chih
11502196Stress modulation for dielectric layersKo Chung-Ting; Lin Han-Chi; Wang Chunyao; Huang Ching Yu; Lee Tze-Liang;  Wang Yung-Chih
11502197Source and drain epitaxial layersTu Wen-Hsien; Liu Chee-Wee; Lu Fang-Liang
11502198Structure and method for integrated circuitKuang Shin-Jiun; Yu Tsung-Hsing; Sheu Yi-Ming
11502199Independent control of stacked semiconductor deviceYang Chansyun David; Chang Keh-Jeng; Yang Chan-Lon
11502201Semiconductor device with backside power rail and methods of fabrication  thereofYu Li-Zhen; Su Huan-Chieh; Chiu Shih-Chuan; Huang Lin-Yu; Chuang  Cheng-Chi; Wang Chih-Hao
11502241Magnetic device and magnetic random access memorySong MingYuan; Lin Shy-Jay; Gallagher William J.; Noguchi Hiroki
11502245Magnetoresistive random access memory cell and fabricating the sameHsu Chern-Yow
11502402Integrated patch antenna having insulating substrate with antenna cavity  and high-K dielectricKuo Feng Wei; Liao Wen-Shiang; Chen Ching-Hui
11503711Method for inserting dummy capacitor structuresChung Shu-Wei; Wang Yen-Sen
11504690Bio-sensing and temperature-sensing integrated circuitChen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix  Ying-Kit; Peng Yung-Chow
11505454MEMS structure and manufacturing method thereofHuang Kang-Che; Wu Yi-Chien; Lin Shiang-Chi; Peng Jung-Huei; Cheng  Chun-Wen
11506706Semiconductor wafer testing system and related method for improving  external magnetic field wafer testingChuang Harry-Hak-Lay; Chang Chih-Yang; Wang Ching-Huang; Chiang Tien-Wei;  Shih Meng-Chun; Wang Chia Yu
11506843Semiconductor device having photonic and electronic dies and an optical  fiber assembly creating an air gapWeng Chung-Ming; Yu Chen-Hua; Liu Chung-Shi; Tsai Hao-Yi; Hsieh  Cheng-Chieh; Kuo Hung-Yi; Hsu Che-Hsiang; Jou Chewn-Pu; Kuo Feng-Wei; Hsu  Min-Hsiang
11506969EUV photo masks and manufacturing method thereofHsu Pei-Cheng; Lien Ta-Cheng; Lee Hsin-Chang
11506971Pellicle and method of using the sameYoo Chue San; Lee Hsin-Chang; Hsu Pei-Cheng; Lin Yun-Yue
11506985Semiconductor apparatus and method of operating the same for preventing  photomask particulate contaminationChen Jui-Chieh; Chien Tsung-Chih; Shih Chih-Tsung; Lee Tsung-Chuan
11506986Thermal controlling method in lithography systemYang Chi; Su Yen-Shuo; Wu Jui-Pin; Chen Li-Jui
11507067System and method for dispatching lotYou Ren-Chyi; Peng An-Wei; Liu Chang-Zong; Chen Yuang-Tsung
11507725Integrated circuit layouts with line-end extensionsLiao Hsien-Huang; Hsieh Tung-Heng; Young Bao-Ru; Chang Yung Feng
11508427Memory circuit and write methodWei Huan-Sheng; Shen Tzer-Min; Wu Zhiqiang
11508562Low contamination chamber for surface activationLiu Ping-Yin; Huang Xin-Hua; Tseng Lee-Chuan; Chao Lan-Lin
11508572Semiconductor device and manufacturing method thereofChou Chun-Yi; Cheng Po-Hsien; Chen Tse-An; Chen Miin-Jang
11508582Cut metal gate processesJang Shu-Uei; Tsai Ya-Yi; Chen Ryan Chia-Jen; Wei An Chyi; Ku Shu-Yuan
11508583Selective high-k formation in gate-last processOkuno Yasutoshi; Tsai Teng-Chun; Fang Ziwei; Yen Fu-Ting
11508585Methods for chemical mechanical polishing and forming interconnect  structureCui Ji; Huang Fu-Ming; Chang Ting-Kui; Chang Tang-Kuei; Lin Chun-Chieh;  Liang Wei-Wei; Chen Liang-Guang; Chen Kei-Wei; Yen Hung; Chang Ting-Hsun;  Shen Chi-Hsiang; Wu Li-Chieh; Liu Chi-Jen
11508596Apparatus and methods for automatically handling die carriersKuo Tsung-Sheng; Wu Cheng-Lung; Huang Chih-Hung; Chu Yang-Ann; Lee Hsuan;  Pai Jiun-Rong
11508602Cleaning toolYang Yueh-Lin; Liao Chi-Hung
11508608Vacuum wafer chuck for manufacturing semiconductor devicesLee Chien-Fa; Chou Chin-Lin; Tsai Shang-Ying; Kuo Shou-Wen; Chang  Kuei-Sung; Pai Jiun-Rong; Liu Hsu-Shui; Cheng Chun-wen
11508615Semiconductor device structure and methods of forming the sameHuang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng  Kuan-Lun; Wang Chih-Hao
11508616Electrical connection for semiconductor devicesTsai Kuo-Chiang; Chen Jyh-Huei
11508621Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.
11508622Semiconductor device structure with tapered contact and method for  forming the sameHuang Lin-Yu; Wang Sheng-Tsung; You Jia-Chuan; Chang Chia-Hao; Lin  Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11508623Local gate height tuning by CMP and dummy gate designWen Ming-Chang; Chang Chang-Yun; Chen Keng-Yao; Tai Chen-Yu; Fu Yi-Ting
11508624Gate-all-around device with different channel semiconductor materials and  method of forming the sameLu Jhe-Ching; Young Bao-Ru; Wang Yen-Sen; Tsai Tsung-Chieh
11508627Method of metal gate formation and structures formed by the sameLee Yi-Jing; Cheng Ya-Yun; Lin Hau-Yu; Chen I-Sheng; Hsu Chia-Ming; Ko  Chih-Hsin; Wann Clement Hsingjen
11508628Method for forming a crystalline protective polysilicon layerWang Cheng-Hung; Lee Tsung-Lin; Chiang Wen-Chih; Chen Kuan-Jung
11508631Semiconductor deviceLin Yen-Chun; Young Bao-Ru; Wu Ting-Yun; Wang Yen-Sen; Hsu Hsiao-Wen
11508633Package structure having taper-shaped conductive pillar and method of  forming thereofKuo Hung-Jui; Tsai Hui-Jung; Chang Tai-Min; Wang Chia-Wei
11508640Semiconductor package and manufacturing method thereofYu Chi-Yang; Chen Chin-Liang; Ho Kuan-Lin; Liang Yu-Min; Chen Wen-Lin
11508656Semiconductor package and methodPan Kuo Lung; Chun Shu-Rong; Lo Teng-Yuan; Kuo Hung-Yi; Chang Chih-Horng;  Kuo Tin-Hao; Tsai Hao-Yi
11508658Semiconductor device package and method of manufacturing the sameLu Hau-Yan; Tsui Felix Ying-Kit; Yang Jing-Hwang; Yuan Feng
11508659Interconnect structure in semiconductor device and method of forming the  sameWu Guo-Huei; Chen Shun-Li; Zhuang Hui-Zhong; Chen Chih-Liang; Tien  Li-Chun
11508661Integrated circuit and method of manufacturing sameWang Pochun; Chiang Ting-Wei; Lai Chih-Ming; Zhuang Hui-Zhong; Yang  Jung-Chan; Liu Ru-Gun; Chou Ya-Chi; Lin Yi-Hsiung; Huang Yu-Xuan; Chang  Yu-Jung; Wu Guo-Huei; Chang Shih-Ming
11508665Packages with thick RDLs and thin RDLs stacked alternatinglyTeng Po-Yuan; Pan Kuo Lung; Lai Yu-Chia; Kuo Tin-Hao; Tsai Hao-Yi; Yu  Chen-Hua
11508666Semiconductor packageHsu Sen-Kuei; Pan Hsin-Yu; Lin Chien-Chang
11508670Semiconductor structure and method for manufacturing the sameChen Pu-Fang; Lin Shi-Chieh; Lu Victor Y.
11508671Semiconductor package and manufacturing method thereofTeng Po-Yuan; Tsai Hao-Yi; Kuo Tin-Hao; Lin Ching-Yao; Lo Teng-Yuan; Wang  Chih
11508677Semiconductor package for high-speed data transmission and manufacturing  method thereofChen Huan-Neng; Liao Wen-Shiang
11508692Package structure and method of fabricating the sameYeh Kung-Chen; Lu Szu-Wei; Tsai Tsung-Fu; Shih Ying-Ching
11508695Redistribution layers in semiconductor packages and methods of forming  sameHsieh Cheng-Hsien; Hsu Li-Han; Wu Wei-Cheng; Chen Hsien-Wei; Yeh  Der-Chyang; Wu Chi-Hsi; Yu Chen-Hua
11508696Semiconductor deviceChen Weiming Chris; Yu Tu-Hao; Ting Kuo-Chiang; Hou Shang-Yun; Wu Chi-Hsi
11508710Method of forming semiconductor device packageLin Po-Yao; Hong Cheng-Yi; Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu;  Yeh Shu-Shen; Lee Kuang-Chun
11508714Semiconductor devices and methods related theretoLiu Jack
11508735Cell manufacturingLiaw Jhon Jhy
11508736Method for forming different types of devicesChu Feng-Ching; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming
11508737SRAM cell and logic cell designChen Fang; Ting Kuo-Chiang; Liaw Jhon Jhy; Liang Min-Chang
11508738SRAM speed and margin optimization via spacer tuningLin Shih-Hao; Yang Chih-Chuan; Su Hsin-Wen; Lim Kian-Long; Lin Chien-Chih
11508752Grid structure to reduce domain size in ferroelectric memory deviceChia Han-Jong; Yeong Sai-Hooi
11508753Embedded ferroelectric FinFET memory deviceYoung Bo-Feng; Lin Chung-Te; Yeong Sai-Hooi; Lin Yu-Ming; Lai Sheng-Chih;  Chang Chih-Yu; Chia Han-Jong
11508754Semiconductor memory structure and method for forming the sameXu Nuo; Yeong Sai-Hooi; Lin Yu-Ming; Wu Zhiqiang
11508755Stacked ferroelectric structureHuang Rainer Yen-Chieh; Chen Hai-Ching; Lin Chung-Te
11508757Breakdown voltage capability of high voltage deviceChiang Hsin-Chih; Lin Tung-Yang; Liu Ruey-Hsin; Lei Ming-Ta
11508782Hard mask for MTJ patterningHsu Chern-Yow
11508807Semiconductor device having nanosheet transistor and methods of  fabrication thereofWang Chih-Ching; Hsieh Wen-Hsing; Ho Jon-Hsu; Chen Wen-Yuan; Su  Chia-Ying; Wu Chung-Wei; Wu Zhiqiang
11508816Semiconductor structure and method of forming the sameChen Te-An; Lin Meng-Han
11508817Passivation layer for epitaxial semiconductor processLiao Yin-Kai; Jiang Sin-Yi; Chen Hsiang-Lin; Chu Yi-Shin; Liu Po-Chun;  Huang Kuan-Chieh; Hung Jyh-Ming; Liu Jen-Cheng
11508822Source/drain via having reduced resistanceHuang Po-Yu; Lin Shih-Che; Wang Chao-Hsun; Chao Kuo-Yi; Wang Mei-Yun
11508825Semiconductor device and manufacturing method thereofChang Che-Cheng; Lin Chih-Han
11508826Composite work function layer formation using same work function materialLee Hsin-Yi; Hung Cheng-Lung; Chui Chi On
11508827Air spacer for a gate structure of a transistorLiu Yi-Hsiu; Yang Feng-Cheng; Lee Tsung-Lin; Lee Wei-Yang; Chen Yen-Ming;  Chen Yen-Ting
11508831Gate spacer structure and method of forming sameChien Wei-Ting; Chen Liang-Yin; Liu Yi-Hsiu; Lee Tsung-Lin; Chang  Huicheng
11508843Semiconductor device having fully oxidized gate oxide layer and method  for making the samePerng Tsu-Hsiu; Wu Yun-Chi; Chang Chia-Chen; Shu Cheng-Bo; Jhou  Jyun-Guan; Wang Pei-Lun
11508845Semiconductor structure and associated fabricating methodChu Chen-Liang; Kung Ta-Yuan; Huo Ker-Hsiao; Chen Yi-Huan
11508849Semiconductor device and methods of manufactureChang Che-Cheng; Cheng Kai-Yu; Lin Chih-Han; Yang Sin-Yi; Tseng  Horng-Huei
11508902Hybrid ultrasonic transducer and method of forming the sameTsai Yi Heng; Huang Fu-Chun; Lin Ching-Hui; Cheng Chun-Ren
11509224Dual mode supply circuit and methodLi Wei; Jin Yongliang; Ma Yaqi
11509293Footprint for multi-bit flip flopWang Po-Chun; Zhuang Hui-Zhong; Chen Chih-Liang; Kao Jerry Chang-Jui; Lin  Tzu-Ying
11509306Flip-flop device and method of operating flip-flop deviceGruber Greg; Liu Chi-Lin; Kuo Ming-Chang; Lu Lee-Chung; Hsieh Shang-Chih
11509346Systems and methods for die-to-die communicationChen Huan-Neng; Jou Chewn-Pu; Kuo Feng-Wei; Cho Lan-Chou; Shen William Wu
11513083Photolithography method and photolithography systemLiao Chi-Hung; Cheng Wei Chang
11513145Semiconductor test device and manufacturing method thereofPeng Cheng-Yi; Ho Chia-Cheng; Lin Ming-Shiang; Chang Chih-Sheng; Diaz  Carlos H.
11513147Jitter noise detectorHuang Tien-Chien
11513287Waveguide structure and manufacturing method of the sameChang Kai-Fung; Tsai Lien-Yao; Tsai Chien Shih; Hung Shih-Che
11513441EUV radiation source apparatus for lithographyChen Yu-Chih; Cheng Po-Chung; Chen Li-Jui; Chien Shang-Chieh; Yu  Sheng-Kang; Yen Wei-Chun
11513444Noise reduction for overlay controlHu Weimin; Chang Yang-Hung; Chen Kai-Hsiung; Hu Chun-Ming; Ke Chih-Ming
11514224Systems and methods for improving design performance through placement of  functional and spare cells by leveraging LDE effectKu Chun-Yao; Chang Jyun-Hao; Yu Ming-Tao; Chen Wen-Hao
11514707Optical sensor and methods of making the sameJhang You-Cheng; Pan Han-Zong; Wu Wei-Ding; Weng Jui-Chun; Chen Hsin-Yu;  Chou Cheng-San; Lin Chin-Min
11514952Memory device with strap cellsChang Jonathan Tsung-Yung; Lee Cheng-Hung; Cheng Chi-Ting; Liao Hung-Jen;  Liaw Jhon-Jhy; Chen Yen-Huei
11514974Memory deviceWan He-Zhou; Yang Xiu-Li; Ye Mu-Yang; Song Yan-Bo
11514982Computation unit including an asymmetric ferroelectric device pair and  methods of forming the sameChiang Katherine H.; Lin Chung-Te
11515162Method of manufacturing a semiconductor device and a semiconductor deviceMore Shahaji B.; Savant Chandrashekhar Prakash; Tsai Chun Hsiung
11515165Semiconductor device and methodHuang Yu-Lien; Wang Guan-Ren; Fu Ching-Feng
11515173Semiconductor devices and methods of manufacturingChen Chien-Hsun; Liang Yu-Min; Wang Yen-Ping; Wu Jiun Yi; Yu Chen-Hua; Wu  Kai-Chiang
11515185Automated wafer monitoringWang Kuo-Hung
11515197Semiconductor device and method of forming the semiconductor devicePeng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng
11515199Semiconductor structures including standard cells and tap cellsLin Ta-Chun; Pan Kuo-Hua; Liaw Jhon Jhy
11515206Semiconductor structure with doped via plugHsieh Tung-Po; Liu Su-Hao; Liu Hong-Chih; Huang Jing-Huei; Huang  Jie-Huang; Tan Lun-Kuang; Chang Huicheng; Chen Liang-Yin; Chen Kuo-Ju
11515211Cut EPI process and structuresChu Feng-Ching; Lee Wei-Yang; Lin Chia-Pin
11515212Method of manufacturing semiconductor devices having controlled S/D  epitaxial shapeChen Te-An; Lin Meng-Han
11515215Asymmetric epitaxy regions for landing contact plugMore Shahaji B.
11515216Dual silicide structure and methods thereofChen Shih-Cheng; Lin Chun-Hsiung; Wang Chih-Hao
11515224Packages with enlarged through-vias in encapsulantKuo Hung-Jui; Chang Tai-Min; Tsai Hui-Jung; Lu De-Yuan; Lee Ming-Tan
11515229Semiconductor package and manufacturing method thereofLai Wei-Chih; Chiu Chien-Chia; Yu Chen-Hua; Yeh Der-Chyang; Hsieh  Cheng-Hsien; Hsu Li-Han; Lin Tsung-Shu; Wu Wei-Cheng; Hsu Yu-Chen
11515233Semiconductor component with cooling structureShao Tung-Liang; Sheu Lawrence Chiang; Tung Chih-Hang; Yu Chen-Hua; Hsiao  Yi-Li
11515255Electro-migration barrier for interconnectSung Su-Jen; Chang Chih-Chiang; Chen Chia-Ho
11515256Semiconductor structure and manufacturing method thereofChiu Ya-Chin; Lin Ming-Hsien; Hsu Chia-Tung; Chiu Lun-Chieh
11515267Dummy die placement without backside chippingWu Chih-Wei; Kuo Li-Chung; Wang Pu; Shih Ying-Ching; Lu Szu-Wei; Yeh  Kung-Chen
11515268Semiconductor package and manufacturing method thereofHsieh Wei-Kang; Tsai Hao-Yi; Kuo Tin-Hao; Chen Shih-Wei
11515272Semiconductor die contact structure and methodLiu Chung-Shi; Yu Chen-Hua
11515274Semiconductor package and manufacturing method thereofLiang Fang-Yu; Lin Hsiu-Jen; Wu Kai-Chiang; Tsao Chih-Chiang
11515276Integrated circuit, package structure, and manufacturing method of  package structureKuo Hung-Jui; Tsai Hui-Jung; Chang Tai-Min; Wang Chia-Wei
11515288Protective layer for contact pads in fan-out interconnect structure and  method of forming sameChang Chin-Chuan; Fu Tsei-Chung; Lin Jing-Cheng
11515305Structure and formation method of hybrid semiconductor deviceChiang Hung-Li; Chen I-Sheng; Chen Tzu-Chiang
11515308Integrated circuit structure with hybrid cell designSio Kam-Tou; Tzeng Jiann-Tyng
11515313Gated ferroelectric memory cells for memory cell array and methods of  forming the sameYoung Bo-Feng; Yeong Sai-Hooi; Chia Han-Jong; Wang Sheng-Chen; Lin  Yu-Ming
11515332Ferroelectric memory device and method of forming the sameLu Chun-Chieh; Yeong Sai-Hooi; Young Bo-Feng; Lin Yu-Ming; Chang Chih-Yu
11515355Image sensor device and methods of forming the sameFang Yeh-Hsun; Wang Chiao-Chi; Tseng Chung-Chuan; Lai Chia-Ping
11515393Semiconductor device having nanosheet transistor and methods of  fabrication thereofShen Shu-Wen
11515398Thin poly field plate designKuo Chien-Li; Liu Scott; Chen Po-Wei; Tai Shih-Hsiang
11515403Semiconductor device and methodHsu Shu-Wei; Shen Yu-Jen; Cheng Hao-Yun; Wu Chih-Wei; Chen Ying-Tsung;  Chen Ying-Ho
11515408Rough buffer layer for group III-V devices on siliconChen Kuei-Ming; Chen Chi-Ming; Yu Chung-Yi
11515422Semiconductor device with gate stackChang Che-Cheng; Lin Chih-Han
11515423Semiconductor device having finsKuo Shu-Hao; Chang Jung-Hao; Huang Chao-Hsien; Lin Li-Te; Ching Kuo-Cheng
11515434Decoupling capacitor and method of making the sameLiu Szu-Lin; Horng Jaw-Juinn
11515435Semiconductor device with nanostructures and methods of forming the sameTseng Hsin-Hsiang; Lee Chih-Fei; Cheng Chia-Pin; Chang Fu-Cheng
11515473Semiconductor device including a magnetic tunneling junction (MTJ) deviceChuang Harry-Hak-Lay; Liu Shih-Chang; Hsu Chern-Yow; Shen Kuei-Hung
11515474Memory device and method for fabricating the sameWu Jung-Tang; Tung Szu-Ping; Wu Szu-Hua; Pan Shing-Chyang; Wu Meng-Yu
11515609Transmission line structures for millimeter wave signalsJin Jun-De
11515618Semiconductor packages and manufacturing methods thereofChiang Yung-Ping; Shih Chao-Wen; Chang Shou-Zen; Wan Albert; Hsieh  Yu-Sheng
11516027Method and apparatus for protecting embedded softwareLu Shih-Lien Linus
11516596MEMS device and manufacturing method thereofLin Wei-Chu; Teng Yi-Chuan; Tu Jung-Kuo
11517995Wet chemical heating system and a method of chemical mechanical polishingCui Ji James; Chang Chia-Hsun; Chen Chih Hung; Chen Liang-Guang; Lin Tzu  Kai; Chern Chyi Shyuan; Koai Keith Kuang-Kuo
11520237Method and apparatus for dynamic lithographic exposureYu Jun-Yih; Huang De-Fang; Tseng De-Chen; Chang Jia-Feng; Hsu Li-Fang
11520243Lithography system and method thereofWang Shao-Hua; Kuo Chueh-Chi; Ho Kuei-Lin; Yang Zong-You; Sun Cheng-Wei;  Chen Wei-Yuan; Chen Cheng-Chieh; Liu Heng-Hsin; Chen Li-Jui
11520246Highly efficient automatic particle cleaner method for EUV systemsTu Shih-Yu; Wang Shao-Hua; Liu Yen-Hao; Kuo Chueh-Chi; Chen Li-Jui; Liu  Heng-Hsin
11521662Write circuit of memory deviceYang Xiu-Li; Cheng Kuan; Wan He-Zhou; Jiang Wei-Yang
11521663Memory circuit and method of operating sameLiu Yi-Ching; Huang Chia-En; Wang Yih
11521664Memory device with tunable probabilistic stateSong Ming Yuan
11521673Variable voltage bit line prechargeKatoch Atul; Earle Adrian
11521676SRAM structure with asymmetric interconnectionChiu Yi-Hsun; Huang Chia-En
11521846Methods for patterning a silicon oxide-silicon nitride-silicon oxide  stack and structures formed by the sameWang Yi-Ren; Hsieh Yuan-Chih
11521856Semiconductor patterning and resulting structuresLung Chun-Ming; Wang ChunYao
11521857Cut first self-aligned litho-etch patterningHuang Kuan-Wei; Lee Chia-Ying; Liang Ming-Chung
11521858Method and device for forming metal gate electrodes for transistorsSu Huan-Chieh; Lin Zhi-Chang; Hsu Ting-Hung; Yu Jia-Ni; Wu Wei-Hao; Lin  Yu-Ming; Wang Chih-Hao
11521882Wafer notch positioning detectionChuang Kai-An; Hsueh Kuang-Wei; Chen Shih-Huan; Kao Yung-Shu
11521884Electrostatic chuck sidewall gas curtainHsieh Ian; Chen Che-fu; Liu Yan-Hong
11521893Semiconductor structure and method for forming the sameChang Jen-Yuan
11521896Selective deposition of a protective layer to reduce interconnect  structure critical dimensionsTien Hsi-Wen; Lee Chung-Ju; Lu Chih Wei; Yao Hsin-Chieh; Dai Yu-Teng;  Liao Wei-Hao
11521905Package structure and method of manufacturing the sameWang Pu; Cheng Li-Hui; Lu Szu-Wei; Tsai Tsung-Fu
11521915Front-end-of-line (FEOL) through semiconductor-on-substrate via (TSV)Wang Yun-Hsiang; Tsai Chun Lin; Yu Jiun-Lei Jerry; Chen Po-Chih
11521929Capping layer for liner-free conductive structuresLiang Shuen-Shin; Tsai Chun-I; Chang Chih-Wei; Huang Chun-Hsien; Huang  Hung-Yi; Lin Keng-Chu; Chang Ken-Yu; Wang Sung-Li; Chu Chia-Hung; Chang  Hsu-Kai
11521959Die stacking structure and method forming sameYu Chen-Hua; Kuo Hung-Yi; Liu Chung-Shi; Tsai Hao-Yi; Hsieh Cheng-Chieh;  Yu Tsung-Yuan; Tseng Ming Hung
11521966Vertical noise reduction in 3D stacked semiconductor devicesYang Shu-Chun
11521969Isolation structures for semiconductor devicesChen Chao-Shuo; Chang Chia-Der; Lee Yi-Jing
11521970Semiconductor device and a method for fabricating the sameShen Hsiang-Ku; Lu Chih Wei; Chen Hui-Chi; Yeh Jeng-Ya David
11521971Gate dielectric having a non-uniform thickness profileLiu Pang-Hsuan; Yeh Kuan-Lin; Liang Chun-Sheng; Chiang Hsin-Che
11521997Multi-protrusion transfer gate structureLin Kun-Huei; Cheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng; Chia Chun-Wei
11522001Image sensor deviceJangjian Shiu-Ko; Wu Chih-Nan; Lin Chun-Che; Lin Yu-Ku
11522002Method for forming semiconductor image sensorSze Jhy-Jyi; Huang Yimin; Yaung Dun-Nian
11522004Absorption enhancement structure for image sensorSu Ching-Chung; Hsu Hung-Wen; Lu Jiech-Fun; Chou Shih-Pei
11522009MRAM device having self-aligned shunting layerGallagher William J.; Lin Shy-Jay; Song Ming Yuan
11522046Memory device and method of fabricating the memory deviceChang Chih-Yu; Yeong Sai-Hooi; Lin Yu-Ming; Wang Chih-Hao
11522049Diffusion barrier layer for source and drain structures to increase  transistor performanceChen Kuei-Ming; Chen Chi-Ming; Yu Chung-Yi
11522050Method of manufacturing a semiconductor device and a semiconductor deviceHsieh Jui Fu; Liao Chih-Teng; Chen Chih-Shan; Chen Yi-Jen; Weng Tzu-Chan
11522061Semiconductor structure with protection layer and conductor extending  through protection layerChang Che-Cheng; Lin Chih-Han Cheng; Tseng Horng-Huei
11522062Method of manufacturing an etch stop layer and an inter-layer dielectric  on a source/drain regionMore Shahaji B.; Savant Chandrashekhar Prakash
11522065Gate etch back with reduced loading effectLo Yi-Chen; Chang Jung-Hao; Lin Li-Te; Lin Pinyen
11522066Sidewall passivation for HEMT devicesChiu Han-Chin; Chen Chi-Ming; Tsai Cheng-Yuan; Yao Fu-Wei
11522067High electron mobility transistor (HEMT) device and method of forming  sameYeh Chia-Ling; Chen Ching Yu
11522074Semiconductor device and manufacturing method thereofChing Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao; Lin Keng-Chu; Ju Shi-Ning
11522077Integration of p-channel and n-channel E-FET III-V devices with  optimization of device performanceKwan Man-Ho; Yao Fu-Wei; Tsai Chun Lin; Yu Jiun-Lei Jerry; Chang Ting-Fu
11522083Fin field-effect transistor device and method of forming the sameLian Jian-Jou; Lin Chun-Neng; Chen Chieh-Wei; Chiang Tzu-Ang; Yeh  Ming-Hsi
11522084FinFET device and method of forming and monitoring quality of the sameChen Chang-Yin; Chang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei
11522085Ferroelectric semiconductor device and methodHo Chia-Cheng; Lin Ming-Shiang; Cai Jin
11522086Semiconductor device and methods of forming sameMa Chih-Yu; More Shahaji B.; Huang Yi-Min; Chang Shih-Chieh
11522453Dead-time conduction loss reduction for buck power convertersPao Chia-Cheng; Chen Chu Fu; Wang Chih-Hua
11522526Dynamic high voltage (HV) level shifter with temperature compensation for  high-side gate driverChern Chan-Hong; Chen Kun-Lung
11525072Materials and methods for chemical mechanical polishing of  ruthenium-containing materialsLee An-Hsuan; Lee Shen-Nan; Wu Chen-Hao; Liao Chun-Hung; Tsai Teng-Chun;  Chao Huang-Lin
11525185Vacuum systems in semiconductor fabrication facilitiesWu Ming-Fa; Ho Wen-Lung; Yang Huai-Tei
11525668Apparatus and method for metrologyYing Ji-Feng; Niu Baohua; Su David Hung-I
11525957Fabrication process control in optical devicesSong Weiwei; Chern Chan-Hong; Lin Chih-Chang; Rusu Stefan; Hsu Min-Hsiang
11526073Pellicle and method of manufacturing sameLi Po Hsuan; Lin Yu-Ting; Lin Yun-Yue; Yang Huai-Tei
11526081Dummy insertion for improving throughput of electron beam lithographyChang Shih-Ming; Lo Wen; Liu Chun-Hung; Chang Chia-Hua; Wu Hsin-Wei; Ou  Ta-Wei; Chen Chien-Chih; Chen Chien-Cheng
11526647Isolation circuit between power domainsLu Chi-Yu; Chiang Ting-Wei; Zhuang Hui-Zhong; Kao Jerry Chang Jui; Sue  Pin-Dai; Huang Jiun-Jia; Su Yu-Ti; Ma Wei-Hsiang
11526649Capacitive isolation structure insert for reversed signalsLei Cheok-Kei; Kao Jerry Chang Jui; Liu Chi-Lin; Zhuang Hui-Zhong; Jiang  Zhe-Wei; Li Chien-Hsing
11527275Crystal seed layer for magnetic random access memory (MRAM)Lin Tsann; Ying Ji-Feng; Lai Chih-Chung
11527285RRAM current limiting methodChou Chung-Cheng; Lin Zheng-Jun; Tseng Pei-Ling
11527289Method for programming memoryLee I-Che; Huang Huai-Ying
11527380Ion implanter toxic gas delivery systemMeng Ying-Chieh; Peng Chui-Ya; Lin Shih-Hao
11527382Ion implantation gas supply systemHsu Hsing-Piao; Cheng Nai-Han; Ou Ping-Chih
11527406Trench etching process for photoresist line roughness improvementHsieh Sheng-Lin; Chen I-Chih; Hsieh Ching-Pei; Chen Kuan Jung
11527411Interconnect structure having a carbon-containing barrier layerLin Rueijer; Lee Ya-Lien; Lin Chun-Chieh; Su Hung-Wen
11527417Packaged semiconductor devices and methods of packaging semiconductor  devicesChen Hsien-Wei
11527418Integrated circuit packages and methods of forming sameLin Jing-Cheng; Cheng Li-Hui; Tsai Po-Hao
11527419Photonic integrated package and method forming sameYu Chen-Hua; Su An-Jhih; Chen Wei-Yu
11527425Systems and methods for tray cassette warehousingKuo Tsung-Sheng; Chu Yang-Ann; Huang Chih-Hung; Huang Guan-Wei; Pai  Jiun-Rong; Lee Hsuan
11527430Semiconductor device and methodWang Shiang-Bau; Lee Chun-Hung
11527435Metal capping layer and methods thereofLee Shao-Kuan; Lee Cheng-Chin; Huang Hsin-Yen; Chen Hai-Ching; Shue  Shau-Lin
11527439TSV structure and method forming sameChung Ming-Tsu; Yang Ku-Feng; Wu Tsang-Jiuh; Chiou Wen-Chih; Yu Chen-Hua
11527442Fin field-effect transistor device and method of forming the sameLin Che-Yu; Lee Chien-Wei; Chen Chien-Hung; Hsiao Wen-Chu; Yeo Yee-Chia
11527443Residue-free metal gate cutting for fin-like field effect transistorTsai Ya-Yi; Hsiao Yi-Hsuan; Ku Shu-Yuan; Chen Ryan Chia-Jen; Chang  Ming-Ching
11527444Air spacer formation for semiconductor devicesMin Wei-Lun; Liu Chang-Miao
11527445Semiconductor devices and methods of manufacturing thereofJang Shu-Uei; Ku Shu-Yuan; Lin Shih-Yao
11527454Package structures and methods of forming the sameYu Chen-Hua; Wei Wen-Hsin; Wu Chi-Hsi; Hou Shang-Yun; Lin Jing-Cheng; Hu  Hsien-Pin; Shih Ying-Ching; Lu Szu-Wei
11527457Package structure with buffer layer embedded in lid layerYeh Shu-Shen; Lin Yu-Sheng; Yew Ming-Chih; Lin Po-Yao; Jeng Shin-Puu
11527464Fan-out wafer level package structureLin Jing-Cheng
11527465Packages with Si-substrate-free interposer and method forming sameYu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei
11527466Semiconductor device having via sidewall adhesion with encapsulantYu Chen-Hua; Hsieh Yun Chen; Tsai Hui-Jung; Kuo Hung-Jui
11527474Integrated circuit package and methodTsai Po-Hao; Wong Techi; Chou Meng-Wei; Lin Meng-Liang; Chuang Po-Yao;  Jeng Shin-Puu
11527476Interconnect structure of semiconductor deviceLiu Yao-Min; Kuo Chia-Pang; Huang Chien Chung; Chang Chih-Yi; Lee  Ya-Lien; Lin Chun-Chieh; Su Hung-Wen; Tsai Ming-Hsing
11527486Semiconductor device with shield for electromagnetic interferenceWang Chuei-Tang; Yu Chen-Hua; Chen Wei-Ting; Chen Chieh-Yen
11527490Packaging devices and methods of manufacture thereofChen Hsien-Wei; Yu Tsung-Yuan; Cheng Ming-Da; Lu Wen-Hsiung
11527499Integrated fan-out structures and methods for forming the sameChen Tsui-Mei; Liao Tsung-Jen; Chu Li-Huan; Tsao Pei-Haw
11527502Contact pad for semiconductor deviceHuang Chang-Chia; Lin Tsung-Shu; Hsieh Cheng-Chieh; Wu Wei-Cheng
11527504Conductive external connector structure and method of formingShih Meng-Fu; Lo Chun-Yen; Huang Cheng-Lin; Chen Wen-Ming; Huang  Chien-Ming; Liu Yuan-Fu; Cheng Yung-Chiuan; Huang Wei-Chih; Liu Chen-Hsun;  Chan Chien-Pin; Hsu Yu-Nu; Lin Chi-Hung; Pang Te-Hsun; Ku Chin-Yu
11527518Heat dissipation in semiconductor packages and methods of forming sameChang Fong-Yuan; Huang Po-Hsiang; Lu Lee-Chung; Lee Jyh Chwen Frank; Lu  Yii-Chian; Chen Yu-Hao; Chang Keh-Jeng
11527525Semiconductor device with multiple polarity groupsTseng Ying-Cheng; Huang Yu-Chih; Tai Chih-Hsuan; Kuo Ting-Ting; Lai  Chi-Hui; Wu Ban-Li; Liu Chiahung; Tsai Hao-Yi
11527527Tap cell, integrated circuit structure and forming method thereofChang Yung-Feng; Young Bao-Ru; Hsieh Tung-Heng; Hsu Chun-Chia
11527531Recessed gate for an MV deviceChen Yi-Huan; Chou Chien-Chih; Lin Ta-Wei; Tuan Hsiao-Chin; Kalnitsky  Alexander; Thei Kong-Beng; Hsiao Shi-Chuang; Kuo Yu-Hong
11527533FinFET pitch scalingPan Kuan-Ting; Jhan Yi-Ruei; Chiang Kuo-Cheng; Wang Chih-Hao
11527534Gap-insulated semiconductor deviceCheng Jung-Chien; Ju Shi Ning; Chen Guan-Lin; Chiang Kuo-Cheng; Wang  Chih-Hao; Cheng Kuan-Lun
11527539Four-poly-pitch SRAM cell with backside metal tracksHsu Kuo-Hsiu; Chang Feng-Ming; Lim Kian-Long; Wang Ping-Wei; Hung Lien  Jung; Chang Ruey-Wen
11527540Implantations for forming source/drain regions of different transistorsYu Dian-Sheg; Liaw Jhon Jhy; Tsui Ren-Fen
11527542System-on-chip with ferroelectric random access memory and tunable  capacitorYeong Sai-Hooi; Chui Chi On; Wang Chenchen Jacob
11527543Polysilicon removal in word line contact region of memory devicesWu Yen-Jou; Lee Chih-Ming; Liao Keng-Ying; Hsieh Ping-Pang; Yeh Su-Yu;  Lin Hsin-Hui; Wang Yu-Liang
11527552Ferroelectric memory device and method of forming the sameLu Chun-Chieh; Yeong Sai-Hooi; Lin Yu-Ming; Manfrini Mauricio;  Vellianitis Georgios
11527553Three-dimensional memory device and methodLin Meng-Han; Chia Han-Jong; Wang Sheng-Chen; Yang Feng-Cheng; Lin  Yu-Ming; Lin Chung-Te
11527609Increasing device density and reducing cross-talk spacer structuresSu Huan-Chieh; Chang Chia-Hao; Chuang Cheng-Chi; Wang Chih-Hao; Lin  Yu-Ming
11527614Semiconductor structure with conductive structure and method for  manufacturing the sameWang Jia-Heng; Wu Pang-Chi; Wang Chao-Hsun; Yang Fu-Kai; Wang Mei-Yun
11527621Gate electrode deposition and structure formed therebyLee Hsin-Yi; Hung Cheng-Lung; Chui Chi On
11527622Effective work function tuning via silicide induced interface dipole  modulation for metal gatesTung Yen-Tien; Huang Szu-Wei; Xiao Zhi-Ren; Chuang Yin-Chuan; Huang  Yung-Chien; Liu Kuan-Ting; Shen Tzer-Min; Wu Chung-Wei; Wu Zhiqiang
11527624Method of manufacturing a semiconductor device having a conductive field  plate and a first wellChen Po-Yu; Huang Wan-Hua; Chen Jing-Ying
11527628Semiconductor device and methodChang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei
11527630Semiconductor device and method for fabricating the sameChang Kuo-Pin; Liu Chien-Hung; Hung Chih-Wei
11527636Semiconductor device structure with work function layer and method for  forming the sameFang Wen-Han; Chen Chang-Yin; Tai Ming-Chia; Wu Po-Chi
11527649Ferroelectric field effect transistor devices and methods for forming the  sameHuang Yen-Chieh; Lin Po-Ting; Chen Hai-Ching; Liao Song-Fu; Lin Yu-Ming;  Lin Chung-Te
11527650FinFET device having a source/drain region with a multi-sloped  undersurfaceLiu Wei-Min; Su Li-Li; Yeo Yee-Chia
11527651FinFET device with contact over dielectric gateChen Fang; Liaw Jhon Jhy
11527653Semiconductor device and method of manufactureKao Wan-Yi; Shiau Yu-Cheng; Wang Chunyao; Peng Chih-Tang; Lu Yung-Cheng;  Chui Chi On
11527655Semiconductor structure with source/drain multi-layer structure and  method for forming the sameWang Chun-Chieh; Lin Yu-Ting; Pai Yueh-Ching; Chang Shih-Chieh; Yang  Huai-Tei
11527659Semiconductor device and manufacturing method thereofLi Ming-Yang; Li Lain-Jong; Yeh Han; Chang Wen-Hao
11527701Piezoelectric device and method of forming the sameChen Chih-Ming
11527702Piezoelectric device with hydrogen getterChen Chih-Ming; Yu Chung-Yi
11527711MTJ device performance by controlling device shapeHaq Jesmin; Zhong Tom; Teng Zhongjian; Lam Vinh; Yang Yi
11527713Top electrode via with low contact resistanceLee Bi-Shen; Trinh Hai-Dang; Kuang Hsun-Chung; Tsai Tzu-Chung; Chang  Yao-Wen
11527714Resistive random access memory deviceMo Chun-Chieh; Kuo Shih-Chi
11527717Resistive memory cell having a low forming voltageTrinh Hai-Dang; Wu Chii-Ming; Lin Hsing-Lien; Tsai Tzu-Chung; Jiang  Fa-Shen; Lee Bi-Shen
11528003Circuits, equalizers and related methodsYang Shu-Chun
11528053Communication system and method of data communicationsKuo Feng Wei; Chen Huan-Neng; Cho Lan-Chou; Jou Chewn-Pu; Shen William Wu
11528135Integrated circuit (IC) signatures with random number generator and  one-time programmable deviceLu Shih-Lien Linus; Li Kun-hsi; Wang Shih-Liang; Chang Jonathan  Tsung-Yung; Chih Yu-Der; Lee Cheng-En
11528151Physically unclonable function (PUF) generationLu Shih-Lien Linus; Tsai Jui-Che; Lee Cheng-En
11528797Method and system for generating droplets for EUV photolithography  processesSun Yu-Kuang; Lai Cheng-Hao; Chen Yu-Huan; Cheng Wei-Shin; Tsai  Ming-Hsun; Chen Hsin-Feng; Cheng Chiao-Hua; Wu Cheng-Hsuan; Lo Yu-Fa; Chien  Shang-Chieh; Chen Li-Jui; Liu Heng-Hsin
11528798Replacement method for droplet generatorTu Shih-Yu; Chang Han-Lung; Chang Hsiao-Lun; Chen Li-Jui; Cheng Po-Chung
11529712CMP polishing head design for improving removal rate uniformityHou Te-Chien; Jiang Ching-Hong; Lin Kuo-Yin; She Ming-Shiuan; Lee  Shen-Nan; Tsai Teng-Chun; Lu Yung-Cheng
11530130Method of making ohmic contact on low doped bulk silicon for optical  alignmentChang Kuei-Sung; Chu Chia-Hua; Tsai Shang-Ying
11530479Atomic layer deposition tool and methodKo Chung-Ting; Chen Wen-Ju; Hsieh Wan-Chen; Wu Ming-Fa; Huang Tai-Chun;  Lu Yung-Cheng; Chui Chi On
11531159Optical waveguide apparatus and method of fabrication thereofChern Chan-Hong; Cho Lan-Chou; Chen Huan-Neng; Hsu Min-Hsiang; Kuo  Feng-Wei; Lin Chih-Chang; Song Weiwei; Jou Chewn-Pu
11531173Optical coupling apparatus and methods of making sameChern Chan-Hong; Hsu Min-Hsiang
11531262Mask blanks and methods for depositing layers on mask blankLee Hsin-Chang; Hsu Pei-Cheng; Lien Ta-Cheng; Hsueh Wen-Chang
11531263Photomask having a plurality of shielding layersTu Chih-Chiang; Chen Chun-Lang
11531271Lithography patterning with flexible solution adjustmentWang Chung-Cheng
11531273Lithographic mask correction using volume correction techniquesYu Zhiru; Peng Danping; Lei Junjiang; Fang Yuan
11531278EUV lithography system and method for decreasing debris in EUV  lithography systemYang Chi; Chen Ssu-Yu; Chien Shang-Chieh; Hsieh Chieh; Fu Tzung-Chi; Liu  Bo-Tsun; Chen Li-Jui; Cheng Po-Chung
11531524Magnetoresistive random-access memory (MRAM) random number generator  (RNG) and a related method for generating a random bitChuang Harry-Hak-Lay; Chang Chih-Yang; Wang Ching-Huang; Weng Chih-Hui;  Chiang Tien-Wei; Shih Meng-Chun; Wang Chia Yu; Chen Chia-Hsiang
11531802Layout context-based cell timing characterizationJiang Zhe-Wei; Kao Jerry Chang Jui; Yeh Sung-Yen; Hsu Li Chung
11532335Memory device and power management method using the sameJain Sanjeev Kumar
11532339Method for forming semiconductor memory structureChien Jui-Fen; Yeh Hanwen; Lin Tsann
11532341Method for enhancing tunnel magnetoresistance in memory deviceLin Wen-Chin; Yu Hung-Chang
11532343Memory array including dummy regionsYoung Bo-Feng; Yeong Sai-Hooi; Wu Chao-I; Wang Sheng-Chen; Lin Yu-Ming
11532351Memory device with additional write bit linesFujiwara Hidehiro; Huang Chia-En; Chen Yen-Huei; Tsai Jui-Che; Wang Yih
11532357Memory cell with temperature modulated read voltageWu Chao-I; Khwa Win-San
11532425Hexagonal semiconductor package structureHuang Tzu-Sung; Yu Chen-Hua; Tsai Hao-Yi; Kuo Hung-Yi; Tseng Ming Hung
11532459Chemical vapor deposition apparatus with cleaning gas flow guiding memberYeh Chih-Hung; Lee Tsung-Lin; Lin Yi-Ming; Yang Sheng-Chun; Tseng  Tung-Ching
11532470Analyzing methodChaudhari Pradip Girdhar; Lee Che-Hui; Yang Wen-Cheng
11532475Deposition process for forming semiconductor device and systemKo Chung-Ting; Chui Chi On
11532479Cut metal gate refill with voidChen Ting-Gang; Lin Wan-Hsien; Wang Chieh-Ping; Huang Tai-Chun; Chui Chi  On
11532480Methods of forming contact features in semiconductor devicesTsai Chen-Hung; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun
11532481Fin field-effect transistor device and method of formingLin Yu-Li; Liao Chih-Teng; Hsieh Jui Fu; Cheng Chih Hsuan; Weng Tzu-Chan
11532482High-density semiconductor deviceChou Lei-Chun; Chen Chih-Liang; Lai Chih-Ming; Young Charles Chew-Yuen;  Tseng Chin-Yuan; Chen Hsin-Chih; Ju Shi Ning; Tzeng Jiann-Tyng; Sio Kam-Tou;  Liu Ru-Gun; Lin Wei-Cheng; Lin Wei-Liang
11532485Process for making multi-gate transistors and resulting structuresLiu Su-Hao; Wang Tsan-Chun; Chen Liang-Yin; Huang Jing-Huei; Tan  Lun-Kuang; Chang Huicheng
11532486Dishing prevention structure embedded in a gate electrodeLin Ta-Wei
11532493Wet bench and chemical treatment method using the sameCheng Hsin-Chen
11532498Package-on-package structureLin Chih-Wei; Huang Hui-Min; Ang Ai-Tee; Tsai Yu-Peng; Cheng Ming-Da; Liu  Chung-Shi
11532499Semiconductor processing apparatus and method utilizing electrostatic  discharge (ESD) prevention layerHung Tsai-Hao; Ko Ping-Cheng; Lin Tzu-Yang; Liu Fang-Yu; Wu Cheng-Han
11532500FinFET structure with different fin heights and method for forming the  sameHuang Yu-Lien; Liu Chi-Kang; Liu Chi-Wen
11532502Reducing parasitic capacitance in field-effect transistorsLin Ta-Chun; Pan Kuo-Hua; Liaw Jhon Jhy
11532503Conductive feature structure including a blocking regionChen Pin-Wen; Lai Chia-Han; Fu Mei-Hui; Hung Min-Hsiu; Cheng Ya-Yi
11532504Low-resistance contact plugs and method forming sameKoh Shao-Ming; Lee Chen-Ming; Yang Fu-Kai
11532507Semiconductor device and methodChen Chun-Han; Wu I-Wen; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Ko  Chung-Ting; Li Jr-Hung; Chui Chi On
11532509Selective hybrid capping layer for metal gates of transistorsWu Chung-Chiang; Chen Po-Cheng; Huang Kuo-Chan; Yeh Pin-Hsuan; Lee  Wei-Chin; Lee Hsien-Ming; Chen Chien-Hao; Chui Chi On
11532510Contacts and interconnect structures in field-effect transistorsCheng Chung-Liang; Fang Ziwei
11532511Method for forming semiconductor structureChang Gung-Pei; Chang Yao-Wen; Trinh Hai-Dang
11532512Fin field effect transistor (FinFET) device structure with interconnect  structureChang Che-Cheng; Lin Chih-Han
11532514Structure and formation method of semiconductor device with conductive  featureWu Li-Chieh; Wei Kuo-Hsiu; Chen Kei-Wei; Chang Tang-Kuei; Lee Chia Hsuan;  Lin Jian-Ci
11532515Self-aligned spacers and method forming sameHsieh Yi-Tsang; Chao Cha-Hsin; Chiu Yi-Wei; Hsu Li-Te; Hsia Ying Ting
11532516Melting laser anneal of epitaxy regionsLiu Su-Hao; Chen Wen-Yen; Chen Tz-Shian; Sung Cheng-Jung; Wang Li-Ting;  Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia; Jang Syun-Ming
11532518Slot contacts and method forming sameHuang Lin-Yu; Yu Li-Zhen; Wang Sheng-Tsung; You Jia-Chuan; Chang  Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao
11532519Semiconductor device and methodLiao Yi-Bo; Yang Kai-Chieh; Tsai Ching-Wei; Cheng Kuan-Lun
11532520Semiconductor device and methodMore Shahaji B.
11532521Dual channel gate all around transistor device and fabrication methods  thereofYun Wei-Sheng; Wang Chih-Hao; Huang Jui-Chien; Chiang Kuo-Cheng; Chou  Chih-Chao; Lin Chun-Hsiung; Wang Pei-Hsun
11532522Source/drain EPI structure for improving contact qualityMore Shahaji B.; Chang Cheng-Wei
11532524Integrated circuit test method and structure thereofLiu Hsien-Wen; Chen Hsien-Wei
11532529Method of manufacturing an integrated fan-out package having fan-out  redistribution layer (RDL) to accommodate electrical connectorsYu Chen-Hua; Yee Kuo-Chung; Tsai Hao-Yi; Kuo Tin-Hao
11532531Semiconductor packageWang Po-Han; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao
11532533Integrated circuit package and methodYu Chen-Hua; Chang Wei Ling; Wang Chuei-Tang; Chang Fong-yuan; Chen  Chieh-Yen
11532535Semiconductor die package with thermal management features and method for  forming the sameLin Yu-Sheng; Lin Po-Yao; Yeh Shu-Shen; Wang Chin-Hua; Jeng Shin-Puu
11532540Planarizing RDLS in RDL-first processes through CMP processWang Po-Han; Hu Yu-Hsiang; Kuo Hung-Jui; Yu Chen-Hua
11532547Interconnect structures with low-aspect-ratio contact viasTsai Cheng-Hsiung; Lee Ming-Han; Lee Chung-Ju
11532548Nitrogen plasma treatment for improving interface between etch stop layer  and copper interconnectLee Hui; Huang Po-Hsiang; Huang Wen-Sheh; Wang Jen Hung; Sung Su-Jen; Chi  Chih-Chien; Lee Pei-Hsuan
11532549Two 2D capping layers on interconnect conductive structure to increase  interconnect structure reliabilityLi Shu-Wei; Chan Yu-Chen; Yang Shin-Yi; Lee Ming-Han
11532550Semiconductor device structure having a multi-layer conductive feature  and method making the sameChen Chun-Yuan; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming; Wang  Chih-Hao
11532551Semiconductor package with chamfered semiconductor deviceLiu Chung-Shi; Hsieh Ching-Hua; Yu Chen-Hua; Liao Hsin-Hung; Hwang  Chien-Ling; Wu Sung-Yueh
11532552Method and apparatus for forming self-aligned via with selectively  deposited etching stop layerWu Yung-Hsu; Chen Hai-Ching; Tsai Jung-Hsun; Shue Shau-Lin; Bao Tien-I
11532553Middle-end-of-line strap for standard cellShen Meng-Hung; Chen Chih-Liang; Young Charles Chew-Yuen; Tzeng  Jiann-Tyng; Sio Kam-Tou; Lin Wei-Cheng
11532554Interconnect device and methodYu Dian-Sheg; Tsui Ren-Fen; Liaw Jhon Jhy; Fu Ying-Jhe
11532556Structure and method for transistors having backside power railsHuang Yu-Xuan; Tsai Ching-Wei; Chung Cheng-Ting; Chuang Cheng-Chi; Chang  Shang-Wen
11532559Semiconductor device and method for making the semiconductor deviceLiao Yun-Jhen; Wu Huei-Shan; Liao Chun-Wei; Huang Yi-Lii
11532561Different via configurations for different via interface requirementsLin Shih-Che; Huang Po-Yu; Wang Chao-Hsun; Chao Kuo-Yi; Wang Mei-Yun;  Chang Feng-Yu; Lin Rueijer; Lin Wei-Jung; Kao Chen-Yuan
11532562Routing structure and method of forming the sameLin Chin-Shen; Lo Wan-Yu; Lee Meng-Xiang; Kan Hao-Tien; Yang Kuo-Nan;  Wang Chung-Hsing
11532564Package structureTsai Yi-Da; Lin Cheng-Ping; Lin Wei-Hung; Lin Chih-Wei; Cheng Ming-Da;  Hsieh Ching-Hua; Liu Chung-Shi
11532565System on integrated chips and methods of forming the sameYu Chen-Hua; Wang Chuei-Tang
11532567Electric magnetic shielding structure in packagesYu Chen-Hua; Jeng Shin-Puu; Yeh Der-Chyang; Chen Hsien-Wei; Chen Jie
11532569Method for manufacturing semiconductor package structureHung Jui-Pin; Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu; Liao De-Dui  Marvin
11532573Method for forming semiconductor deviceLiao Wen-Shiang; Chen Huan-Neng
11532576Semiconductor package and manufacturing method thereofHsu Sen-Kuei; Pan Hsin-Yu; Chiang Yi-Che
11532577Fan-out package and methods of forming thereofShih Wan-Ting; Liu Nai-Wei; Lin Jing-Cheng; Huang Cheng-Lin
11532579Passivation structure with increased thickness for metal padsHuang Hung-Shu; Liu Ming-Chyi
11532580Interconnect structure, semiconductor structure including interconnect  structure and method for forming the sameTsai Jung-Chou; Chang Fong-Yuan; Huang Po-Hsiang; Liu Chin-Chou; Cheng  Yi-Kan
11532582Semiconductor device package and method of manufactureWu Jiun Yi; Yu Chen-Hua
11532583Semiconductor structure and manufacturing method thereofChang Kuo-Chin; Lai Yen-Kun; Hsu Kuo-Ching; Lii Mirng-Ji
11532585Package containing device dies and interconnect die and redistribution  linesTing Kuo-Chiang; Wu Chi-Hsi; Hou Shang-Yun; Yu Tu-Hao; Hsu Chia-Hao; Yeh  Ting-Yu
11532586Connecting techniques for stacked substratesTseng Hsiang-Jen; Chen Wei-Yu; Chiang Ting-Wei; Tien Li-Chun
11532587Method for manufacturing semiconductor package with connection structures  including via groupsChen Chien-Hsun; Wu Jiun Yi; Lee Chien-Hsun; Liu Chung-Shi
11532593Embedded stress absorber in packageJeng Shin-Puu; Chen Chien-Sheng; Lin Po-Yao; Lai Po-Chen; Yeh Shu-Shen
11532594Integrated fan-out package and the methods of manufacturingYu Chen-Hua; Yee Kuo-Chung; Tsai Hao-Yi; Kuo Tin-Hao
11532596Package structure and method of forming the sameYu Tsung-Yuan; Kuo Hung-Yi; Hsieh Cheng-Chieh; Tsai Hao-Yi; Liu  Chung-Shi; Yu Chen-Hua
11532598Package structure with protective structure and method of fabricating the  sameChen Hsien-Wei; Yang Ching-Jung; Chen Ming-Fa
11532607ESD structure and semiconductor structureHsu Chun-Chia; Hsieh Tung-Heng; Chang Yung-Feng; Young Bao-Ru; Lee  Jam-Wem; Wang Chih-Hung
11532612Inter-level connection for multi-layer structuresLin Yi-Tang; Wann Clement Hsingjen; Chen Neng-Kuo
11532613Structure and method for cooling three-dimensional integrated circuitsLee Hui-Yu; Chang Chi-Wen; Kuan Jui-Feng; Cheng Yi-Kan
11532614FinFET varactor with low threshold voltage and method of making the sameTsai Fu-Huan; Tsai Han-Min; Chen Chia-Chung; Huang Chi-Feng; Liang Victor  Chiang
11532615Trimmable resistor circuit and method for operating the trimmable  resistor circuitLiu Szu-Lin; Horng Jaw-Juinn
11532621Metal gate modulation to improve kink effectLin Meng-Han; Chiu Te-Hsin; Wu Wei Cheng
11532622High performance MOSFETs having different device characteristicsOhtou Tetsu; Tsai Ching-Wei; Huang Jiun-Jia; Cheng Kuan-Lun; Hsu  Chi-Hsing
11532623Semiconductor structure having gate-all-around devicesLiaw Jhon Jhy
11532625Semiconductor device and method of fabrication thereofChing Kuo-Cheng; Ju Shi Ning; Tsai Ching-Wei; Cheng Kuan-Lun; Wang  Chih-Hao
11532626Reduction of gate-drain capacitanceChang Jung-Hung; Chang Lo-Heng; Lin Zhi-Chang; Chen Shih-Cheng; Chiang  Kuo-Cheng; Wang Chih-Hao
11532627Source/drain contact structureLiao Yi-Bo; Huang Yu-Xuan; Lee Wei Ju; Chen Hou-Yu; Cheng Chun-Fu
11532628Semiconductor device and methodLin Li-Fong; Ko Chung-Ting; Hsieh Wan Chen; Huang Tai-Chun
11532637Embedded flash memory cell including a tunnel dielectric layer having  different thicknesses over a memory regionPan Jui-Yu; Shu Cheng-Bo; Huang Chung-Jen; Lin Jing-Ru; Yang Tsung-Yu; Wu  Yun-Chi; Chu Yueh-Chieh
11532640Method for manufacturing a three-dimensional memoryChia Han-Jong; Lin Chung-Te; Yang Feng-Cheng; Lin Meng-Han; Wang  Sheng-Chen
11532642Multi-function substrateChen Eugene I-Chun; Liu Kuan-Liang; Wang Szu-Yu; Tsai Chia-Shiung; Lee  Ru-Liang; Chao Chih-Ping; Kalnitsky Alexander
11532658Image sensor grid and method of fabrication of sameLin Chin-Yu; Liao Keng-Ying; Yeh Su-Yu; Chen Po-Zen; Tung Huai-Jen; Chen  Hsien-Li
115326613DIC seal ring structure and methods of forming sameHo Cheng-Ying; Chen Pao-Tung; Wang Wen-De; Liu Jen-Cheng; Yaung Dun-Nian
11532662Method of forming image sensor deviceWei Chia-Yu; Lin Yen-Liang; Lee Kuo-Cheng; Huang Hsun-Ying; Chen Hsin-Chi
11532669Memory device and manufacturing method thereofHwu Jenn-Gwo; Chiang Tzu-Hao
11532692Process for tuning via profile in dielectric materialTzeng Chun Kai; Lin Cheng Jen; Chao Yung-Ching; Cheng Ming-Da; Lii  Mirng-Ji
11532694Semiconductor device having capacitor and manufacturing method thereofLin Meng-Han; Chiu Te-Hsin; Wu Wei Cheng; Chen Te-An
11532695Stress reduction structure for metal-insulator-metal capacitorsYin Jin-Mu; Kao Hung-Chao; Shen Hsiang-Ku; Chen Dian-Hau; Chen Yen-Ming
11532697Semiconductor structure and method for forming the sameTsai Yi Jen; Tseng Yuan-Tai; Hsu Chern-Yow
11532698Diffusion barrier layer in top electrode to increase break down voltageLin Hsing-Lien; Wu Chii-Ming; Trinh Hai-Dang; Jiang Fa-Shen
11532701Semiconductor isolation structure and method for making the semiconductor  isolation structureLin Hsin-Fu; Yeh Tsung-Hao; Liu Chien-Hung; Huang Shiang-Hung; Hung  Chih-Wei; Lin Tung-Yang; Liu Ruey-Hsin; Cheng Chih-Chang
11532702Source/drain isolation structures for leakage preventionChen Yen-Yu; Cheng Chung-Liang
11532703Semiconductor device and methodSu Huan-Chieh; Chuang Cheng-Chi; Chang Shang-Wen; Chiu Yi-Hsun; Wang  Pei-Yu; Tsai Ching-Wei; Wang Chih-Hao
115327053D cross-bar nonvolatile memoryColinge Jean-Pierre; Diaz Carlos H.; Guo Ta-Pen
11532711PMOSFET source drainChung Cheng-Ting; Cheng Kuan-Lun
11532712Interconnect structures for semiconductor devices and methods of  manufacturing the sameHuang Yu-Lien; Fu Ching-Feng; Wang Guan-Ren; Hsu Che-Ming
11532713Source/drain contacts and methods of forming sameYu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao
11532714Semiconductor device and method of forming thereofYu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao
11532715Source/drain contacts for semiconductor devices and methods of formingTsai Ching-Wei; Liao Yi-Bo; Chung Cheng-Ting; Huang Yu-Xuan; Cheng  Kuan-Lun
11532717Forming metal contacts on metal gatesWang Chao-Hsun; Yin Yu-Feng; Chao Kuo-Yi; Wang Mei-Yun; Chang Feng-Yu;  Kao Chen-Yuan
11532718FinFET having a gate dielectric comprising a multi-layer structure  including an oxide layer with different thicknesses on side and top surfaces  of the finsLiao Chen-Hsuan; Chang Chih-Chung; Chen Chun-Heng; Kuo Jiun-Ming
11532720Semiconductor device and manufacturing method thereofChung Cheng-Ting; Chen Hou-Yu; Tsai Ching-Wei
11532723Fin-end gate structures and method forming sameLin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han
11532725Method for forming sidewall spacers and semiconductor devices fabricated  thereofPan Kuan-Ting; Chiang Kuo-Cheng; Ju Shi Ning; Jhan Yi-Ruei; Cheng  Kuan-Lun; Wang Chih-Hao
11532727Method of forming transistorColinge Jean-Pierre; Diaz Carlos H.
11532728Method semiconductor device fabrication with improved epitaxial  source/drain proximity controlTsai Fu-Tsun; Huang Tong Jun; Chen I-Chih; Jeng Chi-Cherng
11532729Method for non-resist nanolithographyChen Miin-Jang; Tsai Kuen-Yu; Liu Chee-Wee
11532730Method of forming a FinFET device by implantation through capping layerLo Wen-Cheng; Chang Sun-Jay
11532731Semiconductor devices and methods of manufactureYang Wei-Siang; Yu Ming-Hua
11532732Multi-gate device and method of fabrication thereofJhan Yi-Ruei; Pan Kuan-Ting; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang  Chih-Hao
11532733Dielectric isolation structure for multi-gate transistorsChang Jen-Hong; Liu Yi-Hsiu; Lin You-Ting; Chang Chih-Chung; Chao Kuo-Yi;  Kuo Jiun-Ming; Peng Yuan-Ching; Lin Sung-En; Chao Chia-Cheng; Ko Chung-Ting
11532735Self-aligned epitaxy layerChiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao
11532740Semiconductor structure, HEMT structure and method of forming the sameChang Yao-Chung; Chen Po-Chih; Yu Jiun-Lei Jerry; Tsai Chun Lin
11532744Gate cut structure and method of forming the sameChen Chun-Yuan; Wang Pei-Yu; Su Huan-Chieh; Chiu Yi-Hsun; Chuang  Cheng-Chi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao
11532746Multi-bit memory storage device and method of operating sameLin Meng-Han; Huang Chia-En; Chia Han-Jong; Liu Martin; Yeong Sai-Hooi;  Wang Yih
11532748Semiconductor device structure and method for forming the sameWu Po-Chi; Chang Chai-Wei; Li Jung-Jui; Chang Ya-Lan; Chao Yi-Cheng
11532749Semiconductor structure with blocking layerLi Kun-Mu; Lee Wei-Yang; Hsiao Wen-Chu
11532750Semiconductor device and method of manufactureSu Li-Li; Liu Wei-Min; Lu Wei Hao; Kuo Chien-I; Yeo Yee-Chia
11532751Metal rail conductors for non-planar semiconductor devicesChen Chih-Liang; Young Charles Chew-Yuen; Yang Hui-Ting; Tzeng  Jiann-Tyng; Sio Kam-Tou; Peng Shih-Wei; Lin Wei-Cheng; Chou Lei-Chun
11532752Non-volatile memory device with reduced areaChang Meng-Sheng; Huang Chia-En; Yang Yao-Jen; Wang Yih
11532785Buffer layer in memory cell to prevent metal redepositionMin Chung-Chiang; Huang Chang-Chih; Tseng Yuan-Tai; Tzeng Kuo-Chyuan; Zhu  Yihuei
11532867Heterogeneous antenna in fan-out packageChuang Po-Yao; Tsai Po-Hao; Jeng Shin-Puu
11532868Antenna apparatus and methodChih Lai Wei; Liu Monsen; Yeh En-Hsiang; Wang Chuei-Tang; Yu Chen-Hua
11533056Circuit, chip and semiconductor deviceLi Chao Chieh; Liao Chia-Chun; Yuan Min-Shueh; Chang Chih-Hsien
11533169Method for role-based data transmission using physically unclonable  function (PUF)-based keysLiu Mei-Chien
11533565Dual back-plate and diaphragm microphoneCheng Chun-Wen; Chu Chia-Hua; Lo Wen-Tuan
11533799System and method for supplying target material in an EUV light sourceChen Hsin-Feng; Tsai Ming-Hsun; Chen Li-Jui; Chien Shang-Chieh; Liu  Heng-Hsin; Lai Cheng-Hao; Chen Yu-Huan; Cheng Wei-Shin; Sun Yu-Kuang; Wu  Cheng-Hsuan; Lo Yu-Fa; Cheng Chiao-Hua
11535950Electro-plating and apparatus for performing the sameKao Chen-Yuan; Su Hung-Wen; Tsai Minghsing
11537053Semiconductor processing tool and methods of operationCheng Chiao-Hua; Sun Yu-Kuang; Cheng Wei-Shin; Chen Yu-Huan; Tsai  Ming-Hsun; Lai Cheng-Hao; Wu Cheng-Hsuan; Lo Yu-Fa; Chien Shang-Chieh; Liu  Heng-Hsin; Chen Li-Jui; Yu Sheng-Kang
11537773Systems and methods for integrated circuit layoutYu Kenan; Deng Qingwen
11538507Header circuit placement in memory deviceWang Po-Sheng; Lin Yangsyu; Lin Kao-Cheng; Lee Cheng Hung; Chang Jonathan  Tsung-Yung
11538735Method of forming integrated circuit packages with mechanical bracesChun Shu-Rong; Pan Kuo Lung; Lee Pei-Hsuan; Hwang Chien Ling; Lai  Yu-Chia; Kuo Tin-Hao; Tsai Hao-Yi; Yu Chen-Hua
11538749Interconnect structureLee Shao-Kuan; Huang Hsin-Yen; Lee Cheng-Chin; Yang Kuang-Wei; Lo  Ting-Ya; Teng Chi-Lin; Chang Hsiao-Kang; Shue Shau-Lin
11538754Random cut patterningPeng Shih-Wei; Lin Wei-Cheng; Lai Chih-Ming; Tzeng Jiann-Tyng
11538761Semiconductor package having molded die and semiconductor die and  manufacturing method thereofHou Hao-Cheng; Chen Wei-Yu; Cheng Jung-Wei; Wang Tsung-Ding; Lee  Chien-Hsun; Liu Chung-Shi
11538788Integrated fan-out stacked package with fan-out redistribution layer  (RDL)Yu Chen-Hua; Yee Kuo-Chung; Tsai Hao-Yi; Kuo Tin-Hao
11538805Method of tuning threshold voltages of transistorsChiu Kuan-Chang; Lee Chia-Ching; Chen Chien-Hao; Chung Hung-Chin; Lee  Hsien-Ming; Chui Chi On; Tung Hsuan-Yu; Wu Chung-Chiang
11538832Semiconductor memory structure and method of manufacturing the sameLin Meng-Han
11538837Semiconductor imaging device having improved dark current performanceTakahashi Seiji; Wang Chen-Jong; Yaung Dun-Nian; Hung Feng-Chi; Shiu  Feng-Jia; Liu Jen-Cheng; Sze Jhy-Jyi; Chang Chun-Wei; Hsu Wei-Cheng; Wu Wei  Chuang; Huang Yimin
11538858Memory device, method of forming the same, and memory arrayLee Chien-Min; Song Ming-Yuan; Huang Yen-Lin; Lin Shy-Jay; Lee Tung-Ying;  Bao Xinyu
11538862Three-dimensional memory device and manufacturing method thereofWu Chao-I; Lin Yu-Ming
11538914Semiconductor deviceKung Ta-Yuan; Liu Ruey-Hsin; Chu Chen-Liang; Yao Chih-Wen; Lei Ming-Ta
11538926Semiconductor device and method of manufacturing a semiconductor deviceShen Yu-Cheng; Shen Guan-Jie
11538927Nanostructures and method for manufacturing the sameChiang Kuo-Cheng; Chen Yen-Ming; Cheng Jung-Chien; Wang Chih-Hao; Cheng  Kuan-Lun
11538938Method for forming stressor, semiconductor device having stressor, and  method for forming the sameYang Che-Wei; Lin Hao-Hsiung; Pan Samuel C.
11539354Systems and methods for generating a controllable-width pulse signalSheen Ruey-Bin; Tsai Ming Hsien; Chang Chih-Hsien; Tsai Tsung-Hsien
11539355Systems and methods for generating a controllable-width pulse signalSheen Ruey-Bin; Tsai Ming Hsien; Chang Chih-Hsien; Tsai Tsung-Hsien
11539367Level shifter enableRamarajan Srinivasan
11539369Duty-cycle corrector circuitLin WeiShuo
11540357Photonic heaterLee Hui Yu







https://blog.sciencenet.cn/blog-681765-1411814.html

上一篇:2022年国际商业机器公司的在美专利状况——人工智能、数据库与信息检索、计算机应用与软件技术较强
下一篇:2022年华为技术公司的在美专利状况——无线通信网络、数字信息传输、数据交换网络技术较强
收藏 IP: 61.158.184.*| 热度|

0

该博文允许注册用户评论 请点击登录 评论 (0 个评论)

数据加载中...
扫一扫,分享此博文

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-7-23 12:35

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部