|
陈立新 张琳 黄颖:中美欧日韩五局专利报告3542.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
2022年,台湾积体电路制造公司获得美国发明专利2983项,比上一年增长了9%,是获得美国专利数量第3多的机构。
相对来讲,台湾积体电路制造公司专利研发的优势领域是:半导体制造、半导体元器件、半导体集成电路、计算机辅助设计、计算机核心部件。其在这5个技术领域上的专利份额相对较高,为20%至1.6%。
表18.3-1 2022年台湾积体电路制造公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 半导体制造 | 1840 | 20.0% |
2 | 半导体元器件 | 2075 | 11.5% |
3 | 半导体集成电路 | 989 | 10.2% |
4 | 计算机辅助设计 | 123 | 5.7% |
5 | 计算机核心部件 | 266 | 1.6% |
6 | 光学与摄影 | 244 | 1.5% |
7 | 材料化学与纳米 | 101 | 1.1% |
8 | 电气元件与电路 | 248 | 0.9% |
9 | 光电测量与核物理 | 54 | 0.4% |
10 | 分离与混合加工 | 41 | 0.4% |
11 | 金属成型加工 | 34 | 0.3% |
12 | 材料测试 | 26 | 0.3% |
13 | 物理测量 | 30 | 0.3% |
14 | 发电与输变电 | 24 | 0.2% |
15 | 计算机一般部件 | 31 | 0.2% |
16 | 化工 | 15 | 0.2% |
17 | 物理信号与控制 | 25 | 0.2% |
18 | 包装与储运 | 10 | 0.1% |
19 | 广播电视与电话 | 26 | 0.1% |
20 | 计算机安全 | 8 | 0.1% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
从绝对数量上来看,台湾积体电路制造公司的重点技术领域是:半导体元器件、半导体制造、半导体集成电路、计算机核心部件、电气元件与电路。其在这5个技术领域上的专利数量最多,为2075至248项。
可见,台湾积体电路制造公司的专利技术研发重点主要集中在半导体元器件领域。
从发明人来看,2022年台湾积体电路制造公司的研发人员较多,达到3600人,人均发明专利1.22项。其中,Yu Chen-Hua、Wang Chih-Hao、Cheng Kuan-Lun、Chiang Kuo-Cheng、Lin Yu-Ming、Chen Hsien-Wei、Yeong Sai-Hooi、Chui Chi On、Chen Ming-Fa、Jeng Shin-Puu等人的专利数量较多,高达146至48项。
图18.3-1 2022年台湾积体电路制造公司在20个相对优势领域中的专利占比
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员对本报告的支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表18.3-1 2022年台湾积体电路制造公司的美国局授权发明专利
Patent No. | Title | Inventors |
11214868 | Chemical vapor deposition apparatus and blocker plate | Chen Huan-Chieh; Wang Chao-Chun; Wu Chih-Yu; Koai Keith Kuang-Kuo |
11215513 | Device and method for temperature monitoring of a semiconductor device | Kang Po-Zeng; Chou Wen-Shen; Peng Yung-Chow |
11215753 | Photonic semiconductor device and method | Islam Mohammed Rabiul; Rusu Stefan; Song Weiwei |
11215918 | Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask | Hsu Pei-Cheng; Yang Chun-Fu; Lien Ta-Cheng; Lee Hsin-Chang |
11215924 | Photoresist, developer, and method of forming photoresist pattern | Zi An-Ren; Chang Ching-Yu; Lin Chin-Hsiang |
11215929 | Photoresist system and method | Kuo Hung-Jui; Lu De-Yuan; Yu Chen-Hua; Lee Ming-Tan |
11216376 | Memory circuit and cache circuit configuration | Lee Hsien-Hsin Sean; Shen William Wu; Lee Yun-Han |
11216387 | Hybrid cache memory and method for controlling the same | Lu Shih-Lien Linus |
11216608 | Reduced area standard cell abutment configurations | Lu Chi-Yu; Zhuang Hui-Zhong; Tien Li-Chun; Sue Pin-Dai; Ko Yi-Hsin |
11217301 | High speed memory device implementing a plurality of supply voltages | Yu Hua-Hsin; Shieh Hau-Tai |
11217304 | Memory operation method and circuit | Chen Yu-Sheng; Wu Jau-Yi; Chang Chia-Wen |
11217458 | Method for forming semiconductor device structure with fine line pitch and fine end-to-end space | Chen Hung-Hao; Chen Yu-Shu; Liu Yu-Cheng |
11217475 | Wafer table with dynamic support pins | Liao Chi-Hung; Wu Min-Cheng |
11217476 | Method of forming an interconnect structure of a semiconductor device | Peng Tai-Yen; Shieh Jyu-Horng |
11217477 | Semiconductor device having a liner layer with a configured profile | Yin Joanna Chaw Yane; Chen Hua Feng |
11217478 | Integrated circuit (IC) structure for high performance and functional density | Kao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Huang Kuan-Chieh |
11217479 | Multiple metallization scheme | Ho Hsin-Ying; Chih Fang-I; Huang Hui-Chi; Chen Kei-Wei |
11217480 | Semiconductor structure with a laminated layer | Chuang Chia-Lin; Chang Chia-Hao; Wang Sheng-Tsung; Huang Lin-Yu; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11217482 | Method for forming semiconductor device with resistive element | Huang Wen-Sheh; Hsueh Hsiu-Wen; Chen Yu-Hsiang; Chen Chii-Ping |
11217484 | FinFET gate structure and related methods | Chung Cheng-Ting; Tsai Ching-Wei; Cheng Kuan-Lun |
11217485 | Semiconductor device and method of manufacture | Chen Hung-Hao; Chang Che-Cheng; Tseng Horng-Huei; Chen Wen-Tung; Liu Yu-Cheng |
11217486 | Semiconductor device and method | Yang Cheng-Yu; Yang Feng-Cheng; Lee Wei-Yang; Chen Yen-Ming; Chen Yen-Ting |
11217490 | Source/drain features with an etch stop layer | Chu Feng-Ching; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11217492 | Method for source/drain contact formation in semiconductor devices using common doping and common etching to n-type and p-type source/drains | Koh Shao-Ming; Lee Chen-Ming; Wu I-Wen; Yang Fu-Kai; Wang Jia-Heng; Wang Mei-Yun |
11217494 | Semiconductor devices and methods of manufacture | Young Bo-Feng; Yeong Sai-Hooi; Chui Chi On; Lin Yu-Ming |
11217497 | Integrated circuit package and method | Chen Chien-Hsun; Tsai Yu-Ling; Wu Jiun Yi; Lee Chien-Hsun; Liu Chung-Shi |
11217518 | Package structure and method of forming the same | Lee Tzung-Hui; Kuo Hung-Jui; Ho Ming-Che |
11217524 | Interconnect structure and manufacturing method for the same | Liang Shuen-Shin; Chang Ken-Yu; Huang Hung-Yi; Chang Chien; Chuang Chi-Hung; Chu Kai-Yi; Tsai Chun-I; Huang Chun-Hsien; Chang Chih-Wei; Chang Hsu-Kai; Chu Chia-Hung; Lin Keng-Chu; Wang Sung-Li |
11217526 | Semiconductor device with source resistor and manufacturing method thereof | Kang Po-Zeng; Chou Wen-Shen; Peng Yung-Chow |
11217528 | Semiconductor structure having buried power rail disposed between two fins and method of making the same | Peng Shih-Wei; Tzeng Jiann-Tyng; Lin Wei-Cheng |
11217538 | Integrated circuit package and method | Liu Chung-Shi; Wu Jiun Yi; Lee Chien-Hsun |
11217546 | Embedded voltage regulator structure and method forming same | Wu Jiun Yi; Yu Chen-Hua; Chen Chien-Hsun |
11217547 | Bond pad structure with reduced step height and increased electrical isolation | Chou Shih-Pei; Lu Jiech-Fun |
11217548 | Semiconductor device structure and manufacturing method | Lee Li-Guo; Liu Yung-Sheng; Liu Yi-Chen; Lai Yi-Jen; Chen Chun-Jen; Cheng Hsi-Kuei |
11217552 | Multi-chip integrated fan-out package | Chen Jie; Chen Hsien-Wei |
11217553 | Connection structure for stacked substrates | Tseng Hsiang-Jen; Chen Wei-Yu; Chiang Ting-Wei; Tien Li-Chun |
11217555 | Aligning bumps in fan-out packaging process | Huang Ying-Jui; Hwang Chien Ling; Lin Chih-Wei; Hsieh Ching-Hua; Liu Chung-Shi; Yu Chen-Hua |
11217562 | Semiconductor device with discrete blocks | Hsiao Ching-Wen; Chen Chen-Shien; Chang Wei Sen; Hu Shou-Cheng |
11217570 | Package structure and manufacturing method thereof | Chen Wei-Yu; Su An-Jhih; Wu Chi-Hsi; Yeh Der-Chyang; Huang Li-Hsien; Tsai Po-Hao; Yeh Ming-Shih; Liu Ta-Wei |
11217585 | Forming dielectric dummy fins with different heights in different regions of a semiconductor device | Lin Zhi-Chang; Wu Wei-Hao; Yu Jia-Ni |
11217586 | Semiconductor device having dummy fin physically separating the first and second gate stacks | Lin Shih-Yao; Chou Yun-Ting; Lin Chih-Han; Lin Jr-Jung |
11217596 | Flash memory with improved gate structure and a method of creating the same | Chen Sheng-Chieh; Liu Ming Chyi; Liu Shih-Chang |
11217597 | Semiconductor device and method of manufacturing the same | Lin Meng-Han; Hsieh Chih-Ren; Chan Ching-Wen |
11217621 | Deep trench isolations and methods of forming the same | Chou Cheng-Hsien; Lai Chih-Yu; Chou Shih Pei; Chiang Yen-Ting; Tseng Hsiao-Hui; Tsai Min-Ying |
11217627 | Magnetic random access memory device and formation method thereof | Chuang Harry-Hak-Lay; Tsai Jiun-Yu; Huang Sheng-Huang; Ku Ming-Che; Wang Hung-Cho |
11217629 | Semiconductor device and manufacturing method thereof | Lin Meng-Han; Yeong Sai-Hooi; Lin Yu-Ming; Chia Han-Jong; Wang Chenchen Jacob |
11217672 | Method of forming a source/drain | Lee Chien-Wei; Sung Hsueh-Chang; Lee Yen-Ru |
11217676 | Antenna-free high-k gate dielectric for a gate-all-around transistor and methods of forming the same | Wu Che-Chen; Chiang Kuo-Cheng; Wang Chih-Hao; You Jia-Chuan; Chuang Li-Yang |
11217679 | Semiconductor device and method | Lin Chien-Chih; Chen Yen-Ting; Lin Wen-Kai; Yang Szu-Chi; Lin Shih-Hao; Lee Tsung-Hung; Cheng Ming-Lung |
11217683 | Semiconductor structure with extending gate structure and method for forming the same | Chang Che-Cheng; Lin Chih-Han |
11217746 | Ion beam etching fabricated sub 30nm Vias to reduce conductive material re-deposition for sub 60nm MRAM devices | Yang Yi; Shen Dongna; Teng Zhongjian; Haq Jesmin; Wang Yu-Jen |
11219115 | EUV collector contamination prevention | Wu Ming-Fa; Fu Tzung-Chi; Lin Chun Che; Cheng Po-Chung; Yang Huai-Tei |
11220422 | MEMS device | Hu Fan; Tai Wen-Chuan; Chen Hsiang-Fu; Cheng Chun-Ren |
11221554 | EUV masks to prevent carbon contamination | Hsu Pei-Cheng; Lien Ta-Cheng; Lee Hsin-Chang |
11221562 | Reticle and method of detecting intactness of reticle stage using the same | Lee Chia-Yu; Chen Tao-Hsin; Huang Ching-Juinn; Cheng Po-Chung |
11221563 | Lens control for lithography tools | Yang Yueh Lin; Liao Chi-Hung |
11221564 | Method for improving exposure performance and apparatus thereof | Lu Chao Pei; Lee Yung-Yao |
11222157 | Pin access hybrid cell height design | Sio Kam-Tou; Tzeng Jiann-Tyng |
11222783 | Using cumulative heat amount data to qualify hot plate used for postexposure baking | Chao Chia-Cheng; Wang Chung-Cheng; Chen Chun-Kuang |
11222788 | Methods of enhancing surface topography on a substrate for inspection | Liao Han-Wen; Liu Jun-Xiu; Lin Chun-Chih |
11222794 | Semiconductor fabrication system embedded with effective baking module | Lin Han-Yu; Jhan Yi-Ruei; Lee Fang-Wei; Lin Li-Te; Lin Pinyen; Lin Tze-Chung |
11222802 | Multiple semiconductor die container load port | Huang Chih-Hung; Wu Cheng-Lung; Shiu Yi-Fam; Chen Yu-Chen; Chu Yang-Ann; Pai Jiun-Rong |
11222805 | Etching apparatus and methods of cleaning thereof | Lin Yu-Chi; Yang Huai-Tei; Tan Lun-Kuang; Lo Wei-Jen; Liao Chih-Teng |
11222814 | Integrated circuit (IC) structure for high performance and functional density | Kao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Huang Kuan-Chieh |
11222818 | Formation method of semiconductor device structure with metal-semiconductor compound region | Chao Yi-Hsiang; Hung Min-Hsiu; Nieh Chun-Wen; Li Ya-Huei; Liao Yu-Hsiang; Chu Li-Wei; Lin Kan-Ju; Yeh Kuan-Yu; Chuang Chi-Hung; Chang Chih-Wei; Su Ching-Hwanq; Huang Hung-Yi; Tsai Ming-Hsing |
11222821 | Semiconductor device with reduced via bridging risk | Tseng Szu-Wei; Tsai Kuo-Chiang |
11222826 | FinFET structure and device | Huang Yen-Chun; Peng Chih-Tang; Hsu Kuang-Yuan; Huang Tai-Chun; Perng Tsu-Hsiu; Bao Tien-I |
11222842 | Interconnect structure and methods thereof | Chang Shang-Wen; Lin Yi-Hsiung |
11222843 | Interconnect structure and method for forming the same | Huang Hsin-Yen; Lee Shao-Kuan; Lee Cheng-Chin; Chen Hai-Ching; Shue Shau-Lin |
11222849 | Substrate loss reduction for semiconductor devices | Huang Xin-Hua; Yu Chung-Yi; Chen Kuei-Ming |
11222857 | Method of forming a photoresist over a bond pad to mitigate bond pad corrosion | Huang Chih-Fan; Chen Dian-Hau; Wang Mao-Nan; Lee Tzu-Li; Chen Yen-Ming; Li Tzung-Luen |
11222859 | Semiconductor device structure with bonding pad and method for forming the same | Hsu Chien-Hao; Tu Wei-Hsiang; Chang Kuo-Chin; Lii Mirng-Ji |
11222867 | Package and manufacturing method thereof | Huang Sung-Hui; Hou Shang-Yun; Huang Kuan-Yu |
11222883 | Package structure and method of manufacturing the same | Huang Shih-Ya; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang |
11222884 | Layout design methodology for stacked devices | Chang Fong-Yuan; Liu Chin-Chou; Chien Chin-Her; Huang Po-Hsiang; Chang Ka Fai |
11222892 | Backside power rail and methods of forming the same | Su Huan-Chieh; Yu Li-Zhen; Chen Chun-Yuan; Chiu Shih-Chuan; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11222893 | Semiconductor device including transistors sharing gates with structures having reduced parasitic circuit | Chang Yi-Feng; Peng Po-Lin; Lee Jam-Wem |
11222898 | Two-port SRAM structure | Liaw Jhon Jhy |
11222899 | Semiconductor device which includes fins and method of making same | Chen Chih-Liang; Lai Chih-Ming; Young Charles Chew-Yuen; Tseng Chin-Yuan; Tzeng Jiann-Tyng; Sio Kam-Tou; Liu Ru-Gun; Lin Wei-Liang; Chou L. C. |
11222913 | Image sensor device having first lens over a light-sensing region and surrounded by a grid layer | Hsu Shih-Hsun |
11222915 | Pad structure for front side illuminated image sensor | Hsu Kai-Chun; Wang Ching-Chun; Yaung Dun-Nian; Lin Jeng-Shyan; Ting Shyh-Fann |
11222946 | Semiconductor device including a high density MIM capacitor and method | Yin Jin-Mu; Kao Hung-Chao; Chen Dian-Hau; Chen Hui-Chi; Shen Hsiang-Ku; Chen Yen-Ming |
11222948 | Semiconductor structure and method of fabricating the semiconductor structure | Chen Guan-Lin; Chiang Kuo-Cheng; Wang Chih-Hao; Ju Shi Ning; Huang Jui-Chien |
11222951 | Epitaxial source/drain structure and method | Wu I-Wen; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Lin Chun-An; Lu Wei-Yuan; Wang Guan-Ren; Wang Peng |
11222958 | Negative capacitance transistor with external ferroelectric structure | Hsu Chi-Hsing; Yeong Sai-Hooi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao; Cao Min |
11222963 | Semiconductor device and method | More Shahaji B.; Chang Shih-Chieh |
11222968 | HEMT device structure and manufacturing method thereof | Chen Po-Chih; Yu Jiun-Lei; Chang Yao-Chung; Tsai Chun-Lin |
11222980 | Method of manufacturing a semiconductor device and a semiconductor device | Tu Wen-Hsien; Lee Wei-Fan |
11223350 | Glitch preventing input/output circuits | Yu Tsung-Hsin; Pai Nick; Chen Bo-Ting |
11224115 | System and method for extreme ultraviolet source control | Hsu Chun-Chia; Hsieh Chieh; Chien Shang-Chieh; Chen Li-Jui; Cheng Po-Chung; Fu Tzung-Chi; Liu Bo-Tsun |
11226363 | Reliability testing method and apparatus | Su Shiang-Ruei; Lin Liang-Chen; Tu Chia-Wei |
11226506 | Heater structure with a gas-filled isolation structure to improve thermal efficiency in a modulator device | Lin Shih-Wei; Liu Ming Chyi |
11226551 | Lithographic mask, a pellicle therein and method of forming the same | Chen Ching-Yueh; Liu Tzung-Shiun |
11226555 | Preparing patterned neutral layers and structures prepared using the same | Lo Kuan-Hsin; Chang Ching-Yu |
11226562 | Semiconductor structure and manufacturing method thereof | Lee Yung-Yao; Hsieh Yi-Ping |
11226564 | EUV light source and apparatus for lithography | Yeh Jhan-Hong; Chen Cheng-Chieh; Tsay Jeng-Yann; Chen Li-Jui; Tong Henry Yee Shian; Wang Wen-Chih; Chen Hsin-Liang |
11227084 | Multi-bit standard cell | Kao Jerry Chang Jui; Zhuang Hui-Zhong; Chien Yung-Chen; Chiang Ting-Wei; Chang Chih-Wei; Chen Xiangdong |
11227093 | Method and system of forming semiconductor device | Yang Kuo-Nan; Lo Wan-Yu; Wang Chung-Hsing; Biswas Hiranmay |
11227640 | Memory sense amplifier trimming | Shih Yi-Chun; Lee Chia-Fu; Chih Yu-Der |
11227713 | Fabrication of an integrated transformer | Roth Alan; Soenen Eric |
11227747 | Etch process with rotatable shower head | Lin Yu-Chi; Chiu Yi-Wei; Chang Hung-Jui; Lin Chin-Hsing; Ke Yu Lun |
11227780 | System and method for operating the same | Xiao Jia-Rong; Huang Wei-Hsiang; Peng Sen-Yeo; Peng Chui-Ya |
11227788 | Method of forming isolation layer | Tsai Teng-Chun; Chen Bing-Hung; Wang Chien-Hsun; Lin Cheng-Tung; Peng Chih-Tang; Chen De-Fang; Lin Huan-Just; Wang Li-Ting; Lu Yung-Cheng |
11227794 | Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure | Wang Sung-Li; Liang Shuen-Shin; Peng Yu-Yun; Lee Fang-Wei; Chu Chia-Hung; Khaderbad Mrunal Abhijith; Lin Keng-Chu |
11227795 | Integrated circuit package and method | Tseng Ting-Chen; Liao Sih-Hao; Wang Po-Han; Hu Yu-Hsiang; Kuo Hung-Jui |
11227812 | Package and manufacturing method thereof | Chen Ming-Fa; Yeh Sung-Feng; Hong Jian-Wei |
11227828 | Semiconductor device and manufacturing method thereof | Ho Chia-Cheng; Lu Chun-Chieh; Chang Chih-Sheng |
11227830 | Conductive features having varying resistance | Lee Jia-En; Huang Po-Yu; Lin Shih-Che; Wang Chao-Hsun; Chao Kuo-Yi; Wang Mei-Yun; Chang Feng-Yu |
11227833 | Interconnect structure and method for forming the same | Lee Shao-Kuan; Lee Cheng-Chin; Huang Hsin-Yen; Chen Hai-Ching; Shue Shau-Lin |
11227836 | Pad structure for enhanced bondability | Huang Ru-Ying; Chen Yung-Ching; Lin Yueh-Chiou; Kuo Yian-Liang |
11227837 | Integrated circuit package and method | Yu Chen-Hua; Liu Jen-Fu; Tseng Ming Hung; Chiang Tsung-Hsien; Lin Yen-Liang; Huang Tzu-Sung |
11227872 | FeRAM MFM structure with selective electrode etch | Chang Chih-Hsiang; Tu Kuo-Chi; Shih Sheng-Hung; Chu Wen-Ting; Chen Tzu-Yu; Chang Fu-Chen |
11227886 | Mechanisms for forming image sensor device | Chien Volume; Cheng Yun-Wei; Jangjian Shiu-Ko; Liu Zhe-Ju; Lee Kuo-Cheng; Jeng Chi-Cherng |
11227887 | Semiconductor device structure and manufacturing process thereof | Pan Yi-Ping; Hsu Hung-Jen |
11227889 | Extra doped region for back-side deep trench isolation | Chen Chun-Yuan; Wang Ching-Chun; Yaung Dun-Nian; Tseng Hsiao-Hui; Sze Jhy-Jyi; Ting Shyh-Fann; Wang Tzu-Jui; Chiang Yen-Ting; Wang Yu-Jen; Yamashita Yuichiro |
11227893 | Semiconductor structure and method of forming the same | Chuang Harry-Hak-Lay; Shen Kuei-Hung |
11227917 | Nano-sheet-based devices with asymmetric source and drain configurations | Chung Cheng-Ting; Huang Yu-Xuan; Liao Yi-Bo; Tsai Ching-Wei; Cheng Kuan-Lun |
11227918 | Melt anneal source and drain regions | Liu Su-Hao; Chen Wen-Yen; Chen Li-Heng; Wang Li-Ting; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia; Wang Ying-Lang |
11227929 | Metal gate structure | Lim Peng-Soon; Lee Da-Yuan; Hsu Kuang-Yuan |
11227931 | Nanosheet field-effect transistor device and method of forming | Lee Hsin-Yi; Chang Weng; Chui Chi On |
11227932 | FinFET devices with a fin top hardmask | Ching Kuo-Cheng; Yang Kai-Chieh; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11227933 | Ferroelectric field effect transistor using charge trapping band misalignment and methods of forming the same | Vellianitis Georgios; Henricus van Dal Marcus Johannes |
11227935 | Gate structure and methods thereof | Cheng Anhao; Kuo Fang-Ting |
11227940 | Fin field-effect transistor device and method of forming the same | Lian Jian-Jou; Lin Chun-Neng; Yeh Ming-Hsi; Chen Chieh-Wei; Chiang Tzu-Ang |
11227950 | Methods of forming air spacers in semiconductor devices | Wang Chao-Hsun; Lee Chen-Ming; Chao Kuo-Yi; Wang Mei-Yun; Chou Pei-Yu; Chen Kuo-Ju |
11227951 | Method of forming semiconductor device | Tsai Chun-Hsiung; Chan Chien-Tai; Fang Ziwei; Chen Kei-Wei; Yang Huai-Tei |
11227955 | Semiconductor device and method of manufacturing the same | Cheng Chao-Ching; Lu Chun-Chieh; Chiang Hung-Li; Chen Tzu-Chiang |
11227956 | Nanosheet field-effect transistor device and method of forming | Yeong Sai-Hooi; Young Bo-Feng; Yao Chien Ning; Chui Chi On |
11227958 | Circular grating structure for photonic device | Liu Tao-Cheng; Hung Tsai-Hao; Chen Ying-Hsun |
11227993 | Device with composite spacer and method for manufacturing the same | Sung Fu-Ting; Hsu Chern-Yow; Liu Shih-Chang |
11228279 | Oscillator circuits and methods for realignment of an oscillator circuit | Tsai Tsung-Hsien; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang |
11228303 | Ring oscillator, controlling circuit and methods for realignment | Tsai Tsung-Hsien; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang |
11228304 | Method and apparatus for precision phase skew generation | Chou Mao-Hsuan; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien |
11229109 | Three dimensional integrated circuit electrostatic discharge protection and prevention test interface | Wang Mill-Jer; Peng Ching-Nen; Lin Hung-Chih; Cheng Hao |
11229111 | Method of operating semiconductor apparatus and semiconductor apparatus | Shih Po-Ming; Liao Chi-Hung |
11229856 | Etching solution recycling system and method for wafer etching apparatus | Fan Che-Lun |
11230784 | Electrochemical plating system and method of using | Nian Jun-Nan; Jangjian Shiu-Ko; Wang Ting-Chun; Lee Ing-Ju |
11231376 | Method for semiconductor wafer inspection and system thereof | Chen Chien-Huei; Chung Hung-Yi; Chen Xiaomeng |
11231767 | Dynamic frequency scaling | Ting Kai-Yuan; Mehta Ashok; John Stanley; Goel Sandeep Kumar |
11232248 | Routing-resource-improving method of generating layout diagram and system for same | Peng Shih-Wei; Tzeng Jiann-Tyng; Lin Wei-Cheng; Yang Jay |
11232836 | Resistive random access memory device | Lee Chia-Fu; Chih Yu-Der |
11232838 | Ferroelectric FET-based content addressable memory | Lu Shih-Lien Linus |
11232943 | Method and structure for semiconductor interconnect | Hsiao Ru-Shang; Tsai Chun Hsiung; Wann Clement Hsingjen |
11232945 | Conductive feature formation and structure | Chang Cheng-Wei; Hung Min-Hsiu; Huang Hung-Yi; Wang Chun Chieh; Lin Yu-Ting |
11232946 | Method of optimizing film deposition process in semiconductor fabrication by using gas sensor | Chu Rei-Lin; Chen Chih-Ming; Yu Chung-Yi; Tu Yeur-Luen |
11232947 | Ammonium fluoride pre-clean protection | Chu Li-Wei; Su Ying-Chi; Chen Yu-Kai; Loh Wei-Yip; Chen Hung-Hsu; Chang Chih-Wei; Tsai Ming-Hsing |
11232953 | Method of manufacturing a semiconductor device and a semiconductor device | Fan Miao-Syuan; Lee Ching-Hua; Chen Ming-Te; Lee Jung-Wei; Lee Pei-Wei |
11232971 | Workpiece holding mechanism, process system and manufacturing method of semiconductor structure | Kuo Hung-Jui; Tsai Hui-Jung; Lin Keng-Han |
11232974 | Fabrication method of metal-free SOI wafer | Cheng Yu-Hung; Chen Pu-Fang; Wu Cheng-Ta; Chiang Po-Jung; Lee Ru-Liang; Lu Victor Y.; Chen Yen-Hsiu; Tu Yeur-Luen; Yeh Yu-Lung; Lin Shi-Chieh |
11232975 | Semiconductor-on-insulator (SOI) substrate having dielectric structures that increase interface bonding strength | Tsai Min-Ying; Tu Yeur-Luen |
11232978 | Semiconductor device and manufacturing method thereof | Her Jeng Chang; Chao Cha-Hsin; Chiu Yi-Wei; Hsu Li-Te; Hsia Ying Ting |
11232979 | Method of forming trenches | Chang Che-Cheng; Lin Chih-Han |
11232982 | Deposition system and method using the same | Huang Jian-Zhi; Hsu Yun-Hsuan; Ni I-Chih; Wu Chih-I |
11232985 | Method of forming contact metal | Huang Chun-Hsien; Lee Hong-Mao; Yang Hsien-Lung; Chen Yu-Kai; Lin Wei-Jung |
11232988 | Wavy profile mitigation | Shen Shu-Wen; Lin You-Ting; Kuo Jiun-Ming; Peng Yuan-Ching; Li Yi-Cheng; Liang Pin-Ju; Jeng Pei-Ren |
11232989 | Devices with adjusted fin profile and methods for manufacturing devices with adjusted fin profile | Chang Hsiao-Chun; Shen Guan-Jie |
11233005 | Method for manufacturing an anchor-shaped backside via | Chen Chun-Yuan; Su Huan-Chieh; Chuang Cheng-Chi; Wang Chih-Hao |
11233019 | Manufacturing method of semicondcutor package | Liang Fang-Yu; Yang Ching-Feng; Wu Kai-Chiang |
11233032 | Mechanisms for forming bonding structures | Lin Yeong-Jyh; Liao Hsin-Hung; Hwang Chien-Ling; Jang Bor-Ping; Liang Hsiao-Chung; Liu Chung-Shi |
11233035 | Package structure and method of manufacturing the same | Chen Ming-Fa; Yeh Sung-Feng; Liu Tzuan-Horng; Shih Chao-Wen |
11233039 | Semiconductor packages | Yang Sheng-Chieh; Hsieh Ching-Hua; Lin Chih-Wei; Chen Yu-Hao |
11233081 | Wave guide filter for semiconductor imaging devices | Huang Cheng Yu; Chuang Chun-Hao; Tseng Chien-Hsien; Hashimoto Kazuaki; Chou Keng-Yu; Chiang Wei-Chieh; Yu Wen-Chien; Chang Ting-Cheng; Wu Wen-Hau; Chang Chih-Kung |
11233082 | Formation method of light sensing device | Cheng Yun-Wei; Chu Yi-Hsing; Huang Yin-Chieh; Chou Chun-Hao; Lee Kuo-Cheng; Huang Hsun-Ying; Chen Hsin-Chi |
11233116 | Semiconductor device structure with magnetic element | Chen Chi-Cheng; Huang Wei-Li; Kuo Chien-Chih; Huang Hon-Lin; Ku Chin-Yu; Chen Chen-Shien |
11233117 | Ring structure for film resistor | Kuo Chun-Tsung; Lu Jiech-Fun |
11233119 | Core-shell nanostructures for semiconductor devices | Peng Cheng-Yi; Lee Song-Bor |
11233120 | Semiconductor device and manufacturing method thereof | Tsai Chung-En; Chung Chia-Che; Liu Chee-Wee; Lu Fang-Liang; Huang Yu-Shiang; Yeh Hung-Yu; Tu Chien-Te; Liu Yi-Chun |
11233121 | Method of making bipolar transistor | Yang Fu-Hsiung; Lin Long-Shih; Huang Kun-Ming; Shen Chih-Heng; Chu Po-Tao |
11233123 | Fully strained channel | More Shahaji B.; Yang Huai-Tei; Pan Zheng-Yang; Chang Shih-Chieh; Wang Chun-Chieh; Lee Cheng-Han |
11233130 | Semiconductor device and method of forming the same | Young Bo-Feng; Yeong Sai-Hooi; Chui Chi-On |
11233134 | Field effect transistors with dual silicide contact structures | Chu Peng-Wei; Shih Ding-Kang; Wang Sung-Li; Okuno Yasutoshi |
11233136 | Silicon carbide oxide hard mask for reducing dishing effects | Chen Yi-Lun |
11233139 | Fin field-effect transistor and method of forming the same | Lin Shih-Yao; Lin Chih-Han; Ku Shu-Yuan; Wang Tzu-Chung; Jang Shu-Uei; Tsai Ya-Yi; Chang Chi-Hsiang |
11233140 | Semiconductor device and manufacturing method thereof | Tsai Chun Hsiung; Wann Clement Hsingjen; Yu Kuo-Feng; Lin Yi-Tang; Lin Yu-Ming |
11233145 | Manufacturing method of semiconductor structure | Liu Sheng-De; Chou Chung-Yen; Liu Shih-Chang |
11233156 | Memory device and manufacturing method thereof | Wu Wei-Cheng; Hsieh Chih-Ren |
11233197 | Phase change random access memory device | Yen Chun-Hsu; Hsu Yu-Chuan; Yang Chen-Hui |
11235969 | CMOS-MEMS integration with through-chip via process | Cheng Chun-Wen; Chu Chia-Hua; Kuo Wen Cheng; Mao Wei-Jhih |
11236996 | Line edge roughness analysis using atomic force microscopy | Hu Wei-Shan; Gui Dong; Lee Jang Jung; Li Che-Liang; Hou Duen-Huei; Liu Wen-Chung |
11237240 | Multi-probe ferromagnetic resonance (FMR) apparatus for wafer level characterization of magnetic films | Guisan Santiago Serrano; Thomas Luc; Le Son; Jan Guenole |
11237477 | Reticle container | Hsu Pei-Cheng; Lien Ta-Cheng; Wang Tzu Yi; Lee Hsin-Chang |
11237478 | Cleaning module, cleaning apparatus and method of cleaning photomask | Pan Yi-Hsun; Hsieh Kun-Lung |
11237482 | Process system and operating method thereof | Chang Chao-Chen; Luo Shao-Wei; Chung Jen-Yang; Chien Shang-Chieh; Chen Li-Jui; Cheng Po-Chung |
11237483 | Method and apparatus for controlling droplet in extreme ultraviolet light source | Liao Chi-Hung; Yang Yueh-Lin |
11237646 | Converter and conversion method for converting click position of display into light pen simulated signal for semiconductor manufacturing machine | Chen Ming-Sze; Hsieh Chin-Shen |
11237834 | Memory device, access controller thereof and method for accessing memory device | Noguchi Hiroki; Wang Yih |
11237907 | Processing-in-memory instruction set with homomorphic error correction | Chiang Katherine H |
11238207 | Method and system for fabricating integrated circuit with aid of programmable circuit synthesis | Chuang Yung-Hsu; Chou Wen-Shen; Huang Jie-Ren; Yang Yu-Tao; Peng Yung-Chow; Chen Yun-Ru |
11238904 | Using embedded switches for reducing capacitive loading on a memory system | Yu Chia-Ta; Huang Chia-En; Yeong Sai-Hooi; Wang Yih; Liu Yi-Ching |
11238905 | Sense amplifier layout for FinFET technology | Chen Yen-Huei; Tien Chien Chi Linus; Lin Kao-Cheng; Chen Jung-Hsuan |
11238906 | Series of parallel sensing operations for multi-level cells | Dong Qing; Sinangil Mahmut; Lin Yen-Ting; Akarvardar Kerem; Diaz Carlos H.; Wang Yih |
11238908 | Memory circuit and method of operating same | Tsai Jui-Che; Lee Cheng Hung; Lu Shih-Lien Linus |
11238911 | Method for writing to magnetic random access memory | Ying Ji-Feng; Wang Jhong-Sheng; Niu Baohua |
11238923 | Memory device | Lu Shih-Lien Linus |
11239001 | Method for generating extreme ultraviolet radiation and an extreme ultraviolet (EUV) radiation source | Liu Tzu Han; Wen Chih-Wei; Lin Chung-Hung |
11239060 | Ion beam etching chamber with etching by-product redistributor | Hsieh Te-Hsien; Tseng Lee-Chuan |
11239072 | Cut metal gate process for reducing transistor spacing | Wen Ming-Chang; Chang Chang-Yun; Lin Hsien-Chin; Chen Hung-Kai |
11239074 | Germanium nanosheets and methods of forming the same | Cheng Hung-Hsiang |
11239075 | Lattice-mismatched semiconductor substrates with defect reduction | Wu Cheng-Hsien; Chen I-Sheng |
11239078 | Fine line patterning methods | Huang Shih-Chun; Chen Chiu-Hsiang; Yeh Ya-Wen; Shen Yu-Tien; Chang Po-Chin; Lai Chien Wen; Lin Wei-Liang; Chang Ya Hui; Yen Yung-Sung; Lin Li-Te; Lin Pinyen; Liu Ru-Gun; Lin Chin-Hsiang |
11239083 | Tuning threshold voltage through meta stable plasma treatment | Wu Shao-Jyun; Pan Sheng-Liang; Lin Huan-Just |
11239084 | Semiconductor device and manufacturing method thereof | Colinge Jean-Pierre; Diaz Carlos H. |
11239085 | Semiconductor device and method for manufacturing the same | Chou You-Hua; Chuang Kuo-Sheng |
11239089 | Semiconductor device and manufacturing method thereof | Lin Meng-Han; Hsieh Chih-Ren; Huang Chih-Pin; Chan Ching-Wen |
11239092 | Method for forming semiconductor device structure | Wei Yu-Chen; Chan Chun-Chieh; Chu Chun-Jui; Lai Jen-Chieh; Lin Shih-Ho |
11239096 | Integrated fan-out package and manufacturing method thereof | Wu Kai-Chiang; Tsai Chung-Hao; Lu Chun-Lin; Wang Yen-Ping; Hsu Che-Wei |
11239099 | Tool monitoring device and method of monitoring tool | Lin Hom-Chung; Chang Chi-Ying; Twu Jih-Churng; Chen Chin-Yun; Chang Yi-Ting; Chen Feng-Yu |
11239103 | Package-on-package structure | Lin Chih-Wei; Huang Hui-Min; Ang Ai-Tee; Tsai Yu-Peng; Cheng Ming-Da; Liu Chung-Shi |
11239106 | Source/drain isolation structure and methods thereof | Huang Lin-Yu; Wang Sheng-Tsung; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11239114 | Semiconductor device with reduced contact resistance and methods of forming the same | Tsai Kuo-Chiang; Chen Jhy-Huei |
11239121 | Metal gate contacts and methods of forming the same | Chen Chih-Hsuan; Chen Jui-Lin; Lin Yu-Kuan |
11239134 | Package structure and method of fabricating the same | Chen Chih-Hao; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei |
11239135 | Package structure and method of manufacturing the same | Chen Shih-Wei; Tsai Hao-Yi; Pan Kuo-Lung; Kuo Tin-Hao; Teng Po-Yuan; Lai Chi-Hui |
11239136 | Adhesive and thermal interface material on a plurality of dies covered by a lid | Chen Chih-Hao; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei; Pan Chih-Chien |
11239138 | Methods of packaging semiconductor devices and packaged semiconductor devices | Cheng Li-Hui; Tsai Po-Hao; Lin Jing-Cheng |
11239142 | Package structure and method for forming the same | Huang Chih-Fan; Shen Hsiang-Ku; Chen Hui-Chi; Bao Tien-I; Chen Dian-Hau; Chen Yen-Ming |
11239143 | Semiconductor structure and manufacturing method thereof | Tsao Pei-Haw; Lu Tsung-Hsing; Chu Li-Huan |
11239154 | Fishbone structure enhancing spacing with adjacent conductive line in power network | Chao Chien-Ju; Fan Fang-Yu; Tsai Yi-Chuin; Yang Kuo-Nan; Wang Chung-Hsing |
11239157 | Package structure and package-on-package structure | Wang Chuei-Tang; Tsai Chung-Hao; Yu Chen-Hua; Chen Wei-Ting |
11239163 | Tapering discrete interconnection for an integrated circuit (IC) | Bindra Jaskirat; Lalgudi Kumar |
11239173 | Structure and formation method of chip package with fan-out feature | Tsai Po-Hao; Lin Meng-Liang; Chuang Po-Yao; Wong Techi; Jeng Shin-Puu |
11239180 | Structure and formation method of package structure with stacked semiconductor dies | Mao Yi-Chao; Chang Chin-Chuan; Lu Szu-Wei |
11239193 | Integrated circuit package and method | Chen Chien-Hsun; Wang Shou-Yi; Wu Jiun Yi; Liu Chung-Shi; Yu Chen-Hua |
11239194 | Chip package structure | Jeng Shin-Puu; Chen Shuo-Mao; Hsu Feng-Cheng |
11239201 | 3D integrated circuit (3DIC) structure | Yu Chen-Hua; Chiou Wen-Chih; Liu Chung-Shi |
11239205 | Integrating passive devices in package structures | Hu Chih-Chia; Chen Ming-Fa |
11239208 | Packaged semiconductor devices including backside power rails and methods of forming the same | Chuang Chi-Yi; Chen Hou-Yu; Cheng Kuan-Lun |
11239225 | Three-dimensional integrated circuit structures and methods of manufacturing the same | Chen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng |
11239228 | Integrated circuit layout and method of configuring the same | Lin Chung-Te; Chiang Ting-Wei; Zhuang Hui-Zhong; Sue Pin-Dai; Tien Li-Chun |
11239233 | Integrated circuit packages and methods of forming same | Wu Yi-Wen; Kuo Hung-Jui; Ho Ming-Che |
11239244 | Partial buried insulator nano-sheet device | Sio Kam-Tou; Chiu Yi-Hsun |
11239245 | Etch method for opening a source line in flash memory | Huang Yong-Sheng; Liu Ming Chyi; Huang Chih-Pin |
11239246 | Cell boundary structure for embedded memory | Lin Meng-Han; Hsieh Chih-Ren; Wu Wei Cheng; Huang Chih-Pin |
11239255 | Integrated circuit with active region jogs | Xie Tian-Yu; Wang Xin-Yong; Pan Lei; Chen Kuo-Ji |
11239279 | Resistive switching random access memory with asymmetric source and drain | Yang Chin-Chieh; Chen Hsia-Wei; Chang Chih-Yang; Tu Kuo-Chi; Chu Wen-Ting; Liao Yu-Wen |
11239305 | Display device and manufacturing method thereof | Wu Sheng-Yu; Lii Mirng-Ji; Tu Shang-Yun; Chen Ching-Hui |
11239309 | Isolation features and methods of fabricating the same | Wu I-Wen; Yang Fu-Kai; Lee Chen-Ming B.; Wang Mei-Yun; Li Jr-Hung; Lu Bo-Cyuan |
11239310 | Seamless gap fill | Huang Yen-Chun; Hsieh Bor Chiuan; Jeng Pei-Ren; Huang Tai-Chun; Lee Tze-Liang |
11239313 | Integrated chip and method of forming thereof | Lin Meng-Han; Tsair Yong-Shiuan |
11239325 | Semiconductor device having backside via and method of fabricating thereof | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11239328 | Semiconductor device having interfacial layer and high κ dielectric layer | Chuang Kuo-Sheng; Chou You-Hua; Huang Ming-Chi |
11239330 | Bipolar junction transistor with gate over terminals | Li Ming-Shuan; Su Zi-Ang; Leung Ying-Keung |
11239335 | Structure and method for semiconductor devices | Liaw Jhon Jhy |
11239339 | Gate structure and method | Lin Ta-Chun; Liaw Jhon Jhy; Pan Kuo-Hua |
11239341 | Horizontal gate all-around device having wrapped-around source and drain | Lin Chun-Hsiung; Wu Chung-Cheng; Diaz Carlos H.; Wang Chih-Hao; Hsieh Wen-Hsing; Sheu Yi-Ming |
11239345 | Gate formation with varying work function layers | Chen Jin-Dah; Chen Stan; Wu Han-Wei |
11239354 | Semiconductor device and method of fabricating the same | Lu Chun-Chieh; Cheng Chao-Ching; Chao Tzu-Ang; Li Lain-Jong |
11239365 | Structure and method for providing line end extensions for fin-type active regions | Yu Shao-Ming; Chang Chang-Yun; Chang Chih-Hao; Chen Hsin-Chih; Chang Kai-Tai; Shieh Ming-Feng; Lu Kuei-Liang; Lin Yi-Tang |
11239367 | Semiconductor device and manufacturing method thereof | Chen I-Sheng; Yeh Chih Chieh; Wu Cheng-Hsien |
11239368 | Semiconductor device and method | Holland Martin Christopher; Duriez Blandine; van Dal Marcus Johannes Henricus; Okuno Yasutoshi |
11239383 | SPAD image sensor and associated fabricating method | Yamashita Yuichiro |
11239413 | Magnetic device and magnetic random access memory | Lin Shy-Jay; Song Mingyuan |
11239749 | Regulated voltage systems and methods using intrinsically varied process characteristics | Tai Chia Liang |
11240075 | SerDes receiver with optimized CDR pulse shaping | Palusa Chaitanya; Abbott Rob; Ramirez Rolando; Chen Wei-Li; Pfaff Dirk; Hsieh Cheng-Hsiang; Kuo Fan-ming |
11240947 | Carrier tape system and components and methods of use | Chiu Sung-Sheng; Tsao Pei-Haw; Chen Tsui-Mei; Lin Shih-Hsing; Chu Li-Huan |
11243184 | Digital time-domain readout circuit method for BioFET sensor cascades | Huang Yu-Jie; Huang Jui-Cheng |
11243353 | Semiconductor device and method of manufacturing | Kuo Ying-Hao; Huang Tien-Yu |
11243461 | Reflective mask and fabricating method thereof | Wu Tsiao-Chen; Hsu Pei-Cheng |
11243472 | Optical proximity correction and photomasks | Jheng Dong-Yo; Hsieh Ken-Hsien; Chang Shih-Ming; Lee Chih-Jie; Chou Shuo-Yen; Liu Ru-Gun |
11243475 | Overlay measurement structures with variable width/pitch for measuring overlay errors | Chen Yen-Liang |
11243478 | System and method for thermal management of reticle in semiconductor manufacturing | Yang Yueh-Lin; Liao Chi-Hung |
11243479 | Method of operating semiconductor apparatus and semiconductor apparatus | Chen Hsiang; Yu Sheng-Kang; Chien Shang-Chieh; Chen Li-Jui |
11243573 | Semiconductor package, display apparatus and manufacturing method of semiconductor package | Hsu Cheng-Tung; Hung Chang-Cheng; Kuo Tyrone |
11244714 | Assisted write method for magnetic random access memory | Ying Ji-Feng; Wang Jhong-Sheng; Hou Duen-Huei |
11244822 | Apparatus for manufacturing a thin film and a method therefor | Hsiao Tsai-Fu; Hsu Kuang-Yuan; Jeng Pei-Ren; Lee Tze-Liang |
11244823 | Varying temperature anneal for film and structures formed thereby | Liao Shu Ling; Ko Chung-Chi; Kao Wan-Yi |
11244827 | Semiconductor manufacturing method and apparatus thereof | Lee Yung-Yao; Wang Wen-Chih |
11244830 | Semiconductor device and manufacturing method thereof | Chen Zheng-Long |
11244832 | Semiconductor structure with mask structure | Lin Hsin-Ying; Wang Mei-Yun; Wang Hsien-Cheng; Yang Fu-Kai; Liu Shih-Wen; Hsu Hsiao-Chiu |
11244834 | Slurry recycling for chemical mechanical polishing system | Liu Wen-Kuei |
11244856 | Method and equipment for forming gaps in a material layer | Yang Chan-Syun David; Lin Li-Te; Lin Yu-Ming |
11244857 | Semiconductor structure and manufacturing method thereof | Tsai Yung-Chih; Hsu Wei Che; Yang Yu-Chung; Kalnitsky Alexander |
11244858 | Etching to reduce line wiggling | Huang Kuan-Wei; Fan Cheng-Li; Chen Yu-Yu |
11244866 | Low dimensional material device and method | Hung Yi-Tse; Cheng Chao-Ching; Chen Tse-An; Chiang Hung-Li; Li Lain-Jong; Chen Tzu-Chiang |
11244867 | Semiconductor device with fin end spacer plug and method of manufacturing the same | Wang Tzu-Chung; Lee Tung Ying |
11244871 | Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby | Chiang Kuo-Cheng; Hsu Chung-Wei; Chu Lung-Kun; Huang Mao-Lin; Yu Jia-Ni; Wang Chih-Hao |
11244879 | Semiconductor package | Yu Chi-Yang; Lee Chien-Hsun; Cheng Jung-Wei; Wang Tsung-Ding; Liang Yu-Min |
11244896 | Package structure and manufacturing method thereof | Hsiao Min-Chien; Wang Chuei-Tang; Shih Chao-Wen; Pu Han-Ping; Chen Chieh-Yen |
11244898 | Integrated circuit interconnect structures with air gaps | Yang Tai-I; Su Li-Lin; Wu Yung-Hsu; Chen Hsin-Ping; Chuang Cheng-Chi |
11244899 | Butted contacts and methods of fabricating the same in semiconductor devices | You Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11244906 | Semiconductor structure and method of fabricating the same | Huang Tzu-Sung; Hsieh Cheng-Chieh; Lin Hsiu-Jen; Tsai Hui-Jung; Kuo Hung-Yi; Tsai Hao-Yi; Tseng Ming-Hung; Lin Yen-Liang; Lu Chun-Ti; Weng Chung-Ming |
11244914 | Bond pad with enhanced reliability | Yeh Tzu-Hsuan; Hsu Chern-Yow |
11244919 | Package structure and method of fabricating the same | Hsiao Ching-Wen; Chen Chen-Shien; Hsu Kuo-Ching; Lii Mirng-Ji |
11244924 | Tri-layer CoWoS structure | Yu Chen-Hua; Hou Shang-Yun; Lee Yun-Han |
11244925 | Semiconductor device structure with back-side layer to reduce leakage | Kao Min-Feng; Yaung Dun-Nian; Liu Jen-Cheng; Lin Jeng-Shyan; Huang Hsun-Ying |
11244939 | Package structure and method of forming the same | Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Chen Wei-Ting |
11244940 | Stress reduction apparatus and method | Chuang Yao-Chun; Hsu Yu-Chen; Liu Hao Chun; Chuang Chita; Kuo Chen-Cheng; Chen Chen-Shien |
11244944 | Temperature compensation circuits | Kundu Amit; Hu Chia-Hsin; Horng Jaw-Juinn |
11244945 | Semiconductor device and manufacturing method thereof | Huang Chih-Hsiung; Tsai Chung-En; Liu Chee-Wee; Kuok Kun-Wa; Hsiao Yi-Hsiu |
11244981 | Bond pad structure for bonding improvement | Huang Sin-Yao; Wang Ching-Chun; Yaung Dun-Nian; Hung Feng-Chi; Wang Ming-Tsong; Chou Shih Pei |
11244983 | MRAM memory cell layout for minimizing bitcell area | Chuang Harry-Hak-Lay; You Wen-Chun; Wang Hung Cho; Shih Yen-Yu |
11245005 | Method for manufacturing semiconductor structure with extended contact structure | Lin Ta-Chun; Pan Kuo-Hua; Liaw Jhon-Jhy; Cheng Chao-Ching; Chiang Hung-Li; Huang Shih-Syuan; Chen Tzu-Chiang; Chen I-Sheng; Yeong Sai-Hooi |
11245011 | Vertical tunnel field-effect transistor with U-shaped gate and band aligner | Li Jiun-Yun; Shih Pao-Chuan; Hou Wei-Chih |
11245023 | Semiconductor device and manufacturing method thereof | Wan Hsien-Wen; Cheng Yi-Ting; Hong Ming-Hwei; Kwo Juei-Nai; Yang Bo-Yu; Hong Yu-Jie |
11245024 | Semiconductor device and manufacturing method thereof | Lee Tung-Ying; Chen Tse-An; Wang Tzu-Chung; Chen Miin-Jang; Yin Yu-Tung; Yang Meng-Chien |
11245028 | Isolation structures of semiconductor devices | You Jia-Chuan; Wang Chih-Hao; Ju Shi Ning; Chiang Kuo-Cheng; Chuang Li-Yang |
11245029 | Structure and formation method of semiconductor device with metal gate stack | Huang Wang-Chun; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11245030 | Apparatus and circuits including transistors with different polarizations and methods of fabricating the same | Chern Chan-Hong |
11245033 | Semiconductor devices with core-shell structures | Diaz Carlos H.; Lin Chun-Hsiung; Chang Huicheng; Jang Syun-Ming; Wang Chien-Hsun; Huang Mao-Lin |
11245034 | Semiconductor device and manufacturing method thereof | Chang Kuei-Ming; Lin Ta-Chun; Hsieh Rei-Jay; Wang Yung-Chih; Guo Wen-Huei; Pan Kuo-Hua; Hsu Buo-Chin |
11245036 | Latch-up prevention | Chen Shih-Cheng; Chiang Kuo-Cheng; Lin Zhi-Chang |
11245071 | Memory cell, method of forming the same, and semiconductor device having the same | Lin Yu-Chao; Diaz Carlos H.; Yu Shao-Ming; Lee Tung-Ying |
11245072 | Phase-change memory and method of forming same | Wu Jau-Yi |
11245176 | Package structure, electronic device and method of fabricating package structure | Hsiao Min-Chien; Yu Chen-Hua; Liu Chung-Shi; Shih Chao-Wen; Chang Shou-Zen |
11245329 | Power module | Hsu Ying-Chih; Soenen Eric; Roth Alan |
11249112 | Devices for high-density probing techniques and method of implementing the same | Wang Mill-Jer; Peng Ching-Nen; Lin Hung-Chih; Lin Wei-Hsun; Hsu Sen-Kuei; Liu De-Jian |
11249131 | Test apparatus and testing method using the same | Chuang Harry-Hak-Lay; Chiang Tien-Wei; Wang Chia Yu; Shih Meng-Chun; Wang Ching-Huang; Chang Chih-Yang; Chen Chia-Hsiang; Weng Chih-Hui |
11249384 | Mask for EUV lithography and method of manufacturing the same | Hsu Pei-Cheng; Wen Chi-Ping; Wang Tzu Yi; Lien Ta-Cheng; Lee Hsin-Chang |
11250907 | Variable delay word line enable | Hong Hyunsung |
11250908 | Segmented reference trimming for memory arrays | Chih Yu-Der; Lee Chia-Fu; Shih Yi-Chun; Lin Hon-Jarn; Lin Ku-Feng |
11250923 | Layout structures of memory array | Chang Meng-Sheng; Yang Yao-Jen; Chou Shao-Yu; Wang Yih |
11251042 | Formation of single crystal semiconductors using planar vapor liquid solid epitaxy | Holland Martin Christopher |
11251054 | Integrated passive device package and methods of forming same | Hsu Feng-Cheng; Chen Shuo-Mao; Hung Jui-Pin; Jeng Shin-Puu |
11251063 | Article transporter in semiconductor fabrication | Su Jheng-Si; Wei Yu-Chen; Yang Chih-Yuan; Lin Shih-Ho; Lai Jen-Chieh |
11251064 | Wafer frame sorter and stocker | Kuo Tsung-Sheng; Yang I-Lun; Huang Chih-Hung; Pai Jiun-Rong; Chien Chung-Hsin; Chu Yang-Ann |
11251069 | Method for forming isolation with multi-step structure | Lin Ta-Chun; Chuang Tien-Shao; Tai Kuang-Cheng; Chen Chun-Hung; Hsieh Chih-Hung; Pan Kuo-Hua; Liaw Jhon-Jhy |
11251071 | Raised via for terminal connections on different planes | Yu Chen-Hua; Su An-Jhih; Wu Chi-Hsi; Yeh Der-Chyang; Yeh Ming Shih; Lin Jing-Cheng; Kuo Hung-Jui |
11251078 | Formation method of semiconductor device with fin structures | Cheng Chung-Liang; Fang Ziwei |
11251079 | Method for forming semiconductor device with gate stack | Hung Hua-Li; Lu Chih-Lun; Huang Hsu-Yu; Yin Tsung-Fan; Hsia Ying-Ting; Chiu Yi-Wei; Hsu Li-Te |
11251085 | Semiconductor structure and manufacturing method thereof | Deng Jie-Cheng; Tseng Horng-Huei; Chen Yi-Jen |
11251086 | Semiconductor devices, FinFET devices, and manufacturing methods thereof | Wang Sung-Li; Chang Chih-Sheng; Sun Sey-Ping |
11251087 | Semiconductor device including a Fin-FET and method of manufacturing the same | Okuno Yasutoshi; Peng Cheng-Yi; Fang Ziwei; Chang I-Ming; Mineji Akira; Lin Yu-Ming; Hsiao Meng-Hsuan |
11251088 | Semiconductor device | Hong Long-Jie; Wang Chih-Lin; Kuo Kang-Min |
11251090 | Dual channel gate all around transistor device and fabrication methods thereof | Wang Chih-Hao; Huang Jui-Chien; Lin Chun-Hsiung; Chiang Kuo-Cheng; Chou Chih-Chao; Wang Pei-Hsun |
11251091 | Semiconductor device with contracted isolation feature | Yu Dian-Sheg; Tsui Ren-Fen; Liaw Jhon-Jhy |
11251092 | Gate structure of a semiconductor device and method of forming same | More Shahaji B.; Savant Chandrashekhar Prakash; Tsai Chun Hsiung |
11251099 | Warpage control of packages using embedded core frame | Wu Jiun Yi; Yu Chen-Hua; Liu Chung-Shi |
11251100 | Semiconductor structure having an anti-arcing pattern disposed on a passivation layer and method of fabricating the semiconductor structure | Kuo Sheng-An; Yang Ching-Jung; Chen Hsien-Wei; Chen Jie; Chen Ming-Fa |
11251114 | Package substrate insulation opening design | Tseng Shu-Jung; Leu Shyue-Ter |
11251118 | Self-aligned via structures with barrier layers | Wu Chieh-Han; Tsai Cheng-Hsiung; Lu Chih Wei; Lee Chung-Ju |
11251119 | Package structure, package-on-package structure and method of fabricating the same | Yu Tsung-Yuan; Hsieh Cheng-Chieh; Kuo Hung-Yi; Tsai Hao-Yi; Tseng Ming-Hung |
11251121 | Package structure and method of fabricating the same | Kuo Hung-Jui; Tsai Hui-Jung; Peng Jyun-Siang |
11251124 | Power grid structures and method of forming the same | Biswas Hiranmay; Yu Chi-Yeh; Wang Chung-Hsing; Yang Kuo-Nan; Rusu Stefan; Lin Chin-Shen |
11251127 | Interconnect structure with vias extending through multiple dielectric layers | Ho Chun-Te; Liang Ming-Chung; Chiu Chien-Chih; Chen Chien-Han |
11251131 | Copper contact plugs with barrier layers | Su Li-Lin; Hsieh Ching-Hua; Chen Huang-Ming; Tsau Hsueh Wen |
11251141 | Package structure | Tai Chih-Hsuan; Kuo Ting-Ting; Huang Yu-Chih; Lin Chih-Wei; Lin Hsiu-Jen; Chen Chih-Hua; Cheng Ming-Da; Hsieh Ching-Hua; Tsai Hao-Yi; Liu Chung-Shi |
11251142 | Method of fabricating package structure | Lin Chia-Hsiang; Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu; Banerjee Arunima |
11251157 | Die stack structure with hybrid bonding structure and method of fabricating the same and package | Yang Ching-Jung; Chen Hsien-Wei |
11251181 | FinFET isolation structure and method for fabricating the same | Tsai Wen-Che; Hsieh Min-Yann; Chen Hua Feng; Pan Kuo-Hua |
11251213 | Concave reflector for complementary metal oxide semiconductor image sensor (CIS) | Huang Po-Han; Lu Jiech-Fun; Chen Yu-Chun |
11251268 | Semiconductor device with doped structure | Fan Miao-Syuan; Lee Pei-Wei; Lee Ching-Hua; Lee Jung-Wei |
11251272 | Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication | Li Jizhong; Lochtefeld Anthony J. |
11251284 | Dummy gate cutting process and resulting gate structures | Lin Shih-Yao; Lin Chih-Han; Jang Shu-Uei; Tsai Ya-Yi; Ku Shu-Yuan |
11251286 | Method and related apparatus for reducing gate-induced drain leakage in semiconductor devices | Thei Kong-Beng; Chou Chien-Chih; Tuan Hsiao-Chin; Chen Yi-Huan; Kalnitsky Alexander |
11251289 | FinFET device comprising plurality of dummy protruding features | Chang Che-Cheng; Wu Po-Chi; Lin Chih-Han; Tseng Horng-Huei |
11251303 | Method for fabricating a strained structure and structure formed | Lee Tsung-Lin; Chang Chih-Hao; Ko Chih-Hsin; Yuan Feng; Xu Jeff J. |
11251305 | Fin field effect transistor device structure and method for forming the same | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11251308 | Semiconductor device and method | Liao Yi-Bo; Huang Yu-Xuan; Wang Pei-Yu; Chung Cheng-Ting; Tsai Ching-Wei; Chen Hou-Yu |
11251314 | Memory devices and methods of manufacture thereof | Kalnitsky Alexander; Tuan Hsiao-Chin; Tsui Felix Ying-Kit; Lu Hau-Yan |
11251354 | Thermocouple device | Tsai Ming-Hsien; Tsai Shang-Ying; Hsueh Fu-Lung; Yang Shih-Ming; Wang Jheng-Yuan; Chen Ming-De |
11251644 | Packaged semiconductor devices with wireless charging means | Yu Chen-Hua; Tsai Hao-Yi; Huang Tzu-Sung; Tseng Ming-Hung; Kuo Hung-Yi |
11254564 | Semiconductor manufacturing method and structure thereof | Cheng Chun-Wen; Chu Chia-Hua; Lai Fei-Lung; Lin Shiang-Chi |
11255658 | Ellipsometer and method for estimating thickness of film | Hsu Feng Yuan; Yang Chi-Ming; Hsu Ching-Hsiang; Chern Chyi Shyuan |
11256176 | Litho-aware source sampling and resampling | Ho Kenneth Lik Kin |
11256179 | Mask cleaning | Chang Shu-Hao; Chen Norman; Chen Jeng-Horng; Kau Kuo-Chang; Chien Ming-Chin; Chien Shang-Chieh; Yen Anthony; Huang Kevin |
11256180 | Processing apparatus and method thereof | Hsieh Fu-Chun; Su Pei-Yi; Lin Chih-Che |
11256181 | Apparatus and method for removing particles in semiconductor manufacturing | Yang Yueh-Lin; Liao Chi-Hung |
11256588 | Scan synchronous-write-through testing architectures for a memory device | Chang Ming-Hung; Katoch Atul; Huang Chia-En; Wu Ching-Wei; Mikan, Jr. Donald G.; Yang Hao-I; Lin Kao-Cheng; Tsai Ming-Chien; Adham Saman M. I.; Chang Tsung-Yung; Chandra Uppu Sharath |
11256844 | Cell row arrangement in regions of integrated circuit layout | Ku Chun-Yao; Chen Wen-Hao; Yu Ming-Tao |
11256847 | Method and apparatus of electromigration check | Yu Tseng Hsien; Yang Tsun-Yu |
11257528 | Memory device with selective precharging | McCombs Ed |
11257550 | Bias control for memory cells with multiple gate electrodes | Tsao Szu-Chun; Horng Jaw-Juinn |
11257670 | Method of manufacturing a semiconductor device, and associated semiconductor device and system | Peng Shih-Wei; Wu Chia-Tien; Tzeng Jiann-Tyng |
11257671 | Method and system of control of epitaxial growth | Chen Winnie Victoria Wei-Ning; Kelly Andrew Joseph |
11257673 | Dual spacer metal patterning | Liao Yu-Chieh; Chuang Cheng-Chi; Wu Chia-Tien; Yang Tai-I; Chen Hsin-Ping |
11257690 | <sub>3</sub>DIC package comprising perforated foil sheet | Hung Wensen |
11257712 | Source/drain contact formation methods and devices | Chang Cheng-Wei; Huang Yu-Ming; Tseng Ethan; Chang Ken-Yu; Liu Yi-Ying |
11257714 | Method of making a pillar structure having a non-metal sidewall protection structure and integrated circuit including the same | Hwang Chien Ling; Wu Yi-Wen; Wang Chun-Chieh; Liu Chung-Shi |
11257715 | Integrated fan-out packages and methods of forming the same | Wu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei; Lin Jing-Cheng; Lee Long Hua |
11257719 | Methods for improving interlayer dielectric layer topography | Su Kuan-Wei; Huang Chun Yu; Lin Chih-Hsun; Hsieh Ping-Pang |
11257753 | Interconnect structure and method for manufacturing the interconnect structure | Mrunal Abhijith Khaderbad; Peng Yu-Yun; Yen Fu-Ting; Wang Chen-Han; Perng Tsu-Hsiu; Lin Keng-Chu |
11257755 | Metal loss prevention in conductive structures | Chen Yen-Yu; Cheng Chung-Liang |
11257757 | Semiconductor device having fuse array and method of making the same | Chang Meng-Sheng; Chou Shao-Yu; Huang Po-Hsiang; Fu An-Jiao; Chen Chih-Hao |
11257758 | Backside connection structures for nanostructures and methods of forming the same | Yu Li-Zhen; Chang Chia-Hao; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11257761 | Logic cell structure and method | Chen Fang; Liaw Jhon Jhy; Liang Min-Chang |
11257767 | Interconnect crack arrestor structure and methods | Yu Chen-Hua; Shih Da-Yuan |
11257769 | Integrated circuit layout, integrated circuit, and method for fabricating the same | Lu Shih-Lien Linus |
11257775 | Mechanisms for forming post-passivation interconnect structure | Chen Ying-Ju; Chen Hsien-Wei |
11257787 | Package structure and method of fabricating the same | Chen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng |
11257791 | Stacked die structure and method of fabricating the same | Chen Jie; Chen Hsien-Wei; Chen Ming-Fa |
11257797 | Package on package structure | Shen Dong-Han; Chen Chen-Shien; Liu Kuo-Chio; Cheng Hsi-Kuei; Lai Yi-Jen |
11257805 | Hybrid bonding with uniform pattern density | Yaung Dun-Nian; Chen Szu-Ying |
11257815 | Work function design to increase density of nanosheet devices | Huang Mao-Lin; Wang Chih-Hao; Chiang Kuo-Cheng; Yu Jia-Ni; Chu Lung-Kun; Hsu Chung-Wei |
11257816 | Method for manufacturing semiconductor device including dummy gate electrodes | Chuang Harry-Hak-Lay; Wu Wei-Cheng; Kao Ya-Chen |
11257817 | Integrated chip with improved latch-up immunity | Su Hsin-Wen; Hung Lien Jung; Wang Ping-Wei; Keng Wen-Chun; Yang Chih-Chuan; Lin Shih-Hao |
11257818 | Fin-based field effect transistors | Passlack Matthias; Doornbos Gerben; Ramvall Peter |
11257819 | Semiconductor device | Chang Chia-Yuan; Yu Xiong-Fei; Chang Hui-Cheng |
11257824 | Memory device and method for forming thereof | Yang Chih-Chuan |
11257825 | Semiconductor device and manufacturing method thereof | Xian Huai-Xin; Zhou Yang; Meng Qing-Chao |
11257827 | Layout structure including anti-fuse cell | Chang Meng-Sheng; Huang Chia-En; Cheng Wan-Hsueh; Yang Yao-Jen; Wang Yih |
11257844 | Ferroelectric random access memory (FRAM) cell | Chen Tzu-Yu; Shih Sheng-Hung; Tu Kuo-Chi; Chu Wen-Ting |
11257861 | Semiconductor structure and method of forming the same | Wu Gao-Ming; Tsai Han-Ting; Lin Chung-Te |
11257898 | Systems and methods for shielded inductive devices | Kuo Feng Wei; Jou Chewn-Pu; Chen Huan-Neng; Cho Lan-Chou; Staszewski Robert Bogdan |
11257902 | SOI device structure for robust isolation | Lu Lin-Chen; Singh Gulbagh; Tsai Tsung-Han; Wang Po-Jen |
11257903 | Method for manufacturing semiconductor structure with hybrid nanostructures | Lan Wen-Ting; Chen Guan-Lin; Ju Shi-Ning; Chiang Kuo-Cheng; Wang Chih-Hao; Tsai Ching-Wei; Cheng Kuan-Lun |
11257906 | High surface dopant concentration formation processes and structures formed thereby | Chen Chia-Cheng; Chen Liang-Yin |
11257908 | Transistors with stacked semiconductor layers as channels | Yang Tsung-Hsi; Yu Ming-Hua; Yu Jeng-Wei |
11257911 | Sacrificial layer for semiconductor process | Wang Tsan-Chun; Liu Su-Hao; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia |
11257921 | Semiconductor device and method of manufacture | Lee Hsin-Yi; Tung Hsuan-Yu; Hsu Chin-You; Hung Cheng-Lung |
11257923 | Tuning threshold voltage in field-effect transistors | Tsau Hsueh Wen; Fang Ziwei; Chao Huang-Lin; Sung Kuo-Liang |
11257924 | Metal gate using monolayers | Huang Ju-Li; Liang Chun-Sheng; Huang Ming-Chi; Yeh Ming-Hsi; Chuang Ying-Liang; Chiang Hsin-Che |
11257926 | Self-aligned contact structures | Yu Li-Zhen; Huang Lin-Yu; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11257928 | Method for epitaxial growth and device | Hsu Tzu-Hsiang; Chen Ting-Yeh; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11257931 | Gate structure of field effect transistor with footing | Chang Che-Cheng; Chen Chang-Yin; Lin Jr-Jung; Lin Chih-Han; Chang Yung Jung |
11257932 | Fin field effect transistor device structure and method for forming the same | Ma Ta-Chun; Yeo Yee-Chia |
11257950 | Semiconductor structure and manufacturing method for the semiconductor structure | Peng Chun-Yen; Chang Chih-Yu; Young Bo-Feng; Lai Te-Yang; Yeong Sai-Hooi; Chui Chi On |
11257951 | Method of making semiconductor device having first and second epitaxial materials | Su Lilly; Li Chii-Horng; Yu Ming-Hua; Tsai Pang-Yen; Lee Tze-Liang; Lee Yen-Ru |
11257952 | Source/drain structure | Liu Su-Hao; Chen Kuo-Ju; Chen Wen-Yen; Wang Ying-Lang; Chen Liang-Yin; Wang Li-Ting; Chang Huicheng |
11257953 | Selective growth for high-aspect ratio metal fill | Wu Chih-Nan; JangJian Shiu-Ko; Lin Chun Che; Hsuku Wen-Cheng |
11257963 | Semiconductor device | Lin Yu-Chu; Jen Chi-Chung; Chiang Wen-Chih; Su Ming-Hong; Chen Yung-Han; Su Mei-Chen; Pan Chia-Ming |
11257997 | Semiconductor structure | Lin Chia-Hua; Chang Yao-Wen; Wu Chii-Ming; Tsai Cheng-Yuan; Chen Eugene I-Chun; Tsai Tzu-Chung |
11258007 | Reversed stack MTJ | Huang Wei-Hang; Sung Fu-Ting; Hsu Chern-Yow; Liu Shih-Chang; Tsai Chia-Shiung |
11258151 | Semiconductor device having a high-k dielectric material disposed beyween first and second transmission lines and a dielectric directly contacting the high-k dielectric material | Wu Jiun Yi; Lee Chien-Hsun; Jou Chewn-Pu; Hsueh Fu-Lung |
11258596 | System to generate a signature key and method of operating the same | Lu Shih-Lien Linus; O'Connell Cormac Michael |
11258688 | Network path determination module, network path determining method therefof, and non-transitory storage medium thereof | Gell David; ElArabawy Ahmed; Bao Yiliang L. |
11258971 | Multi-function transfer gate electrode for a photodetector and methods of operating the same | Hsieh Feng-Chien; Hu Wei-Li; Lee Kuo-Cheng; Chen Hsin-Chi; Cheng Yun-Wei |
11260495 | Apparatus and methods for chemical mechanical polishing | Cui Ji James; Hsu Feng Yuan |
11261083 | Fence structure to prevent stiction in a MEMS motion sensor | Tseng Lee-Chuan; Wu Chang-Ming |
11262262 | Pressure sensor and manufacturing method thereof | Hung Chi-Chao |
11262658 | Photomask, photolithography system and manufacturing process | Chang Che-Yuan; Tu Chih-Chiang; Tsai Ming-Ho; Lai Ching-Hung |
11262659 | Method of cleaning extreme ultraviolet lithography collector | Zi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu |
11262778 | Reference voltage generation | Chang Yen-An; Shih Yi-Chun; Lo Chieh-Pu |
11263331 | Electronic device for checking randomness of identification key device, random key checker circuit, and method of checking randomness of electronic device | Lin Chien-Chen; Fujiwara Hidehiro; Chan Wei-Min; Chen Yen-Huei; Lu Shih-Lien Linus |
11263375 | Constraint determination system and method for semiconductor circuit | Chuang Yi-Lin; Tan Shi-Wen; Huang Szu-Ju; Hong Shih-Feng |
11263378 | Multi-row standard cell design method in hybrid row height system | Ou Hung-Chih; Chen Wen-Hao; Ku Chun-Yao |
11263380 | Failsafe circuit, layout, device, and method | Tang Zhen; Pan Lei; Ma Miranda |
11264066 | Leakage pathway prevention in a memory storage device | Wu Shang-Chi; Lee Cheng Hung; Su Chien-Kuo; Cheng Chiting; Hsu Yu-Hao; Lin Yangsyu |
11264070 | Systems and methods for memory operation using local word lines | Nien Yi-Hsin; Fujiwara Hidehiro; Chen Yen-Huei |
11264073 | Device and method for performing matrix operation | Lu Shih-Lien Linus |
11264081 | Memory circuit, electronic device having the memory circuit, and method of operating memory circuit | Yu Hua-Hsin; Lee Cheng-Hung; Liao Hung-Jen; Shieh Hau-Tai |
11264088 | Semiconductor memory with respective power voltages for memory cells | Wu Wei-Cheng; Lin Chih-Yu; Lin Kao-Cheng; Chan Wei-Min; Chen Yen-Huei |
11264093 | Duo-level word line driver | Lee Po-Hao; Lee Chia-Fu; Shih Yi-Chun |
11264109 | Memory device | Chih Yu-Der; Liu Chien-Yin; Shih Yi-Chun |
11264204 | Implanter calibration | Lin Yi-Hsiung; Lee Cheng-En; Ou Chia-Lin; Liu Hsuan-Pang; Yeh Yao-Jen |
11264232 | Methods and systems for chemical mechanical polish cleaning | Lee Chien-Ping; Huang Hui-Chi |
11264237 | Method of epitaxy and semiconductor device | Chin Chih-Yun; Hsu Tzu-Hsiang; Lee Yen-Ru; Li Chii-Horng |
11264262 | Wafer debonding and cleaning apparatus | Chiou Wen-Chih; Lin Yu-Liang; Tu Hung-Jung |
11264270 | Air-replaced spacer for self-aligned contact scheme | Lin Meng-Yu; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang |
11264273 | Electron migration control in interconnect structures | Chen Chun-Jen; Hsu Kai-Shiung; Liu Ding-I; Lin Jyh-nan |
11264277 | Semiconductor device with spacers for self aligned vias | Ho Pokuan; Chen Hsin-Ping; Wu Chia-Tien |
11264281 | Semiconductor device with reduced loading effect | Chen Wei-Lun; Lin Li-Te; Huang Chao-Hsien |
11264282 | Gate formation process | Lai Chi-Sheng; Sun Wei-Chung; Chen Li-Ting; Kao Kuei-Yu; Lin Chih-Han |
11264283 | Multi-channel devices and methods of manufacture | Lin Shih-Yao; Chiu Chih-Chung; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han |
11264284 | Semiconductor device and method of fabricating the same | You Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11264287 | Semiconductor device with cut metal gate and method of manufacture | Chen Yi-Chun; Chen Ryan Chia-Jen; Ku Shu-Yuan; Tsai Ya-Yi; Yang I-Wei |
11264288 | Gate structure and patterning method | Chu Lung-Kun; Huang Mao-Lin; Wu Wei-Hao; Chiang Kuo-Cheng |
11264292 | Cell-like floating-gate test structure | Lin Meng-Han; Hsieh Chih-Ren; Kao Ya-Chen; Liu Chen-Chin; Huang Chih-Pin |
11264300 | Package structure with lid and method for forming the same | Jeng Shin-Puu; Lin Po-Yao; Hsu Feng-Cheng; Chen Shuo-Mao; Wang Chin-Hua |
11264304 | Semiconductor structure and associated method for manufacturing the same | Chen Chin-Liang; Yu Chi-Yang; Ho Kuan-Lin; Liang Yu-Min |
11264316 | Package structure and method of manufacturing the same | Wang Chuei-Tang; Lu Chun-Lin; Wu Kai-Chiang |
11264321 | Semiconductor devices employing a barrier layer | Chang Chien Ying-Hsueh; Lee Yu-Ming; Leung Man-Kit; Yang Chi-Ming |
11264326 | Contact via formation | Huang Lin-Yu; Yu Li-Zhen; Cheng Kuan-Lun; Wang Chih-Hao; Chuang Cheng-Chi; Chang Chia-Hao |
11264327 | Backside power rail structure and methods of forming same | Chiang Kuo-Cheng; Ju Shi Ning; Chou Chih-Chao; Lan Wen-Ting; Wang Chih-Hao |
11264328 | Capping layer for improved deposition selectivity | Wang Chao-Chun; Sung Su-Jen |
11264342 | Package on package structure and method for forming the same | Yu Chen-Hua; Liu Chung-Shi; Cheng Ming-Da; Lii Mirng-Ji; Chen Meng-Tse; Lin Wei-Hung |
11264343 | Bond pad structure for semiconductor device and method of forming same | Chen Ming-Fa; Yeh Sung-Feng; Chen Hsien-Wei; Chen Jie |
11264359 | Chip bonded to a redistribution structure with curved conductive lines | Hsu Chia-Kuei; Yew Ming-Chih; Yeh Shu-Shen; Yang Che-Chia; Lin Po-Yao; Jeng Shin-Puu |
11264362 | Semiconductor structure and method of fabricating the same | Chen Ming-Fa; Shih Chao-Wen; Hsiao Min-Chien; Wu Nien-Fang; Yeh Sung-Feng; Liu Tzuan-Horng |
11264363 | Chip package structure with seal ring structure | Yu Chen-Hua; Su An-Jhih; Lin Jing-Cheng; Tsai Po-Hao |
11264368 | Mask transfer method (and related apparatus) for a bumping process | Chu Ching-Sheng; Hsu Chern-Yow |
11264374 | Method of forming electrostatic discharge (ESD) testing structure | Chang Tzu-Heng; Tseng Jen-Chou; Song Ming-Hsiang |
11264378 | Integrated circuit | Chen Shao-Yu; Chao Chih-Ping; Chen Chun-Hung; Chang Chung-Long; Tsai Kuan-Chi; Tsai Wei-Kung; Chen Hsiang-Chi; Hsu Ching-Chung; Hsu Cheng-Chang; Wang Yi-Sin |
11264380 | Semiconductor device and method of manufacturing the same | Li Hou-Ju; Fu Chur-Shyang; Liang Chun-Sheng; Yeh Jeng-Ya David |
11264383 | Fin field effect transistor (FinFET) device structure with capping layer and method for forming the same | Chen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Li Jr-Hung; Lu Bo-Cyuan |
11264385 | Fin-based device having an isolation gate in physical contact with a source/drain | Liaw Jhon Jhy |
11264393 | Source/drain contact having a protruding segment | Chen Jui-Lin; Chang Chao-Yuan; Wang Ping-Wei; Yang Fu-Kai; Fang Ting; Wu I-Wen; Lin Shih-Hao |
11264396 | Multi-type high voltage devices fabrication for embedded memory | Wu Wei Cheng; Teng Li-Feng |
11264400 | Nitride-free spacer or oxide spacer for embedded flash memory | Wu Wei Cheng; Lien Jui-Tsung |
11264402 | Boundary design to reduce memory array edge CMP dishing effect | Wu Wei Cheng; Chang Chien-Hung |
11264452 | Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode | Afzalian Aryan |
11264456 | Isolation regions for reduced junction leakage | Singh Gulbagh; Chen Hsin-Chi; Chuang Kun-Tsang |
11264467 | Semiconductor device having multi-layer diffusion barrier and method of making the same | Lin Jyh-nan; Liu Ding-I; Fan Yuh-Ta |
11264469 | Method for forming thin semiconductor-on-insulator (SOI) substrates | Wu Cheng-Ta; Tsai Chia-Shiung; Lu Jiech-Fun; Tzeng Kuo-Hwa; Chou Shih-Pei; Cheng Yu-Hung; Tu Yeur-Luen |
11264471 | Junction gate field-effect transistor (JFET) having source/drain and gate isolation regions | Chen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang |
11264478 | Transistors with reduced defect and methods forming same | More Shahaji B.; Savant Chandrashekhar Prakash; Yu Tien-Wei; Tsai Chia-Ming |
11264483 | Semiconductor device and method of manufacturing the same | Wu Cheng-Hsien |
11264484 | Structure and formation method of semiconductor device with gate stack | Chang Che-Cheng; Shih Sheng-Chi; Chen Yi-Jen |
11264485 | Spacer structure for semiconductor device | Wang Chen-Han; Shih Ding-Kang; Lin Chun-Hsiung; Tsai Teng-Chun; Lin Zhi-Chang; Mineji Akira; Huang Yao-Sheng |
11264486 | Semiconductor structure and method of fabricating the semiconductor structure | Chu Chung-Hao; Chen Chia-Chung; Fu Shu Fang; Huang Chi-Feng; Liang Victor Chiang |
11264487 | Reduction of fin loss in the formation of FinFETs | Chui Chi On; Yeo Yee-Chia |
11264489 | Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices | Lai Te-Yang; Peng Chun-Yen; Yeong Sai-Hooi; Chui Chi On |
11264498 | Semiconductor device and method of fabricating the same | Doornbos Gerben; Duriez Blandine; Vellianitis Georgios; Van Dal Marcus Johannes Henricus; Manfrini Mauricio |
11264502 | Semiconductor device and method | Chang Jung-Hung; Chang Lo-Heng; Lin Zhi-Chang; Chen Shih-Cheng; Chiang Kuo-Cheng; Wang Chih-Hao |
11264503 | Metal gate structures of semiconductor devices | Cheng Chung-Liang; Fang Ziwei |
11264505 | FinFET device and method of forming same | Chan Chia-Ling; Lin Yen-Chun |
11264506 | Semiconductor device and manufacturing method thereof | Van Dal Marcus Johannes Henricus; Doornbos Gerben |
11264508 | Leakage prevention structure and method | Tsai Ching-Wei; Liao Yi-Bo; Yeong Sai-Hooi; Chen Hou-Yu; Huang Yu-Xuan; Cheng Kuan-Lun |
11264513 | Isolation structures for transistors | More Shahaji B.; Tsai Chun Hsiung |
11264525 | SPAD image sensor and associated fabricating method | Wang Tzu-Jui; Sze Jhy-Jyi; Yamashita Yuichiro; Huang Kuo-Chin |
11264561 | Magnetic random access memory device and formation method thereof | Ku Ming-Che; Chen Jun-Yao; Huang Sheng-Huang; Tsai Jiun-Yu; Chuang Harry-Hak-Lay; Wang Hung-Cho |
11264979 | Circuit and method to enhance efficiency of semiconductor device | Li Mao-Ruei; Tsai Ming Hsien; Sheen Ruey-Bin |
11267099 | Chemical mechanical planarization membrane | Chen Cheng-Ping; Lee Ren-Dou; Peng Sheng-Tai; Lai Tsung-Lung; Shieh Tzi-Yi; Chang Chien-Wei |
11267693 | Manufacturing method of semiconductor structure | Chang Yi-Hsien; Cheng Chun-Ren; Shen Wei-Cheng; Chen Wen-Chien |
11267987 | Chemical mechanical polishing slurry composition and method of polishing metal layer | Liao Chun-Hung; Lee An-Hsuan; Lee Shen-Nan; Tsai Teng-Chun; Wu Chen-Hao; Chao Huang-Lin |
11268186 | Target structure of physical vapor deposition | Chen Ping-Yuan; Chen Hung-Cheng; Hsieh Chih-Hsuan; Wang Yu-Hsuan |
11268913 | Method and apparatus for monitoring edge bevel removal area in semiconductor apparatus and electroplating system | Wu Chao-Tung; Yu Kuo-Chung; Hu Chung-Hao; Weng Sheng-Ping |
11269202 | Optical modulator and package | Cho Lan-Chou; Jou Chewn-Pu; Kuo Feng-Wei; Chen Huan-Neng; Hsu Min-Hsiang |
11269256 | Underlayer material for photoresist | Zi An-Ren; Lai Wei-Han; Chang Ching-Yu |
11269257 | Apparatus and method for generating extreme ultraviolet radiation | Hsieh Chieh; Chen Kuan-Hung; Hsu Chun-Chia; Chien Shang-Chieh; Liu Bo-Tsun; Chen Li-Jui; Cheng Po-Chung |
11269261 | Particle removal from wafer table and photomask | Wu Min-Cheng; Liao Chi-Hung |
11269368 | Flipped gate voltage reference and method of using | Al-Shyoukh Mohammad; Kalnitsky Alex |
11270052 | System and method of timing characterization for semiconductor circuit | Tu Chia Hao; Chou Hsueh-Chih; Dhong Sang Hoo; Kao Jerry Chang Jui; Liu Chi-Lin; Lin Cheng-Chung; Hsieh Shang-Chih |
11270057 | Semiconductor device including regions for reducing density gradient effect and method of forming the same | Yang Yu-Tao; Chuang Yung-Hsu; Chou Wen-Shen; Peng Yung-Chow |
11270780 | Memory readout circuit and method | Liu Chih-Min |
11270884 | Reflection mode photomask | Chen Chun-Lang; Tu Chih-Chiang |
11270888 | Semiconductor device having source/drain with a protrusion | Wu Chia-Yang; Jangjian Shiu-Ko; Chang Keng-Chuan; Su Ting-Siang |
11270900 | Apparatus and method for handling wafer carrier doors | Kuo Tsung-Sheng; Chu Yang-Ann; Yang Alan; Huang Vic; Liu Hsu-Shui; Pai Jiun-Rong |
11270921 | Semiconductor package including dies having high-modulus dielectric layer and manufacturing method thereof | Hou Hao-Cheng; Lee Chien-Hsun; Liu Chung-Shi; Cheng Jung-Wei; Wang Tsung-Ding; Lei Yi-Yang |
11270927 | Package structure and method of forming the same | Cho Hung-Chun; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Chen Wei-Chih |
11270936 | Integrated circuit including supervia and method of making | Sio Kam-Tou; Tzeng Jiann-Tyng; Lin Wei-Cheng |
11270950 | Apparatus and method for forming alignment marks | Liu Yen-Chen; Yu Cheng-Hao; Huang Cheng-Yi; Shih Chao-Li; Yang Chih-Shen |
11270952 | Seal ring structure for semiconductor device | Wang Chin-Shan; Lee Shun-Yi |
11270953 | Structure and formation method of chip package with shielding structure | Chuang Po-Yao; Tsai Po-Hao; Jeng Shin-Puu; Chen Shuo-Mao; Yew Ming-Chih |
11270956 | Package structure and fabricating method thereof | Huang Kuan-Yu; Huang Sung-Hui; Hou Shang-Yun |
11270975 | Semiconductor packages including passive devices and methods of forming same | Jeng Shin-Puu; Chuang Po-Yao; Chen Shuo-Mao |
11270976 | Package structure and method of manufacturing the same | Liao Shu-Hang; Wu Chih-Wei; Lin Jing-Cheng; Lu Szu-Wei; Shih Ying-Ching |
11270978 | Buffer layer(s) on a stacked structure having a via | Lu Chen-Fa; Tsai Cheng-Yuan; Tu Yeur-Luen; Tsai Chia-Shiung |
11270989 | Semiconductor device packages, packaging methods, and packaged semiconductor devices | Chen Jie; Chen Ying-Ju; Chen Hsien-Wei |
11270990 | Contoured package-on-package joint | Wu Jiun Yi |
11270994 | Gate structure, fin field-effect transistor, and method of manufacturing fin-field effect transistor | Chen Ji-Cheng; Su Ching-Hwanq; Liu Kuan-Ting; Chiu Shih-Hang |
11270996 | FinFET devices with dummy fins having multiple dielectric layers | Liaw Jhon Jhy |
11271083 | Semiconductor device, FinFET device and methods of forming the same | Cheng Po-Hsien; Li Jr-Hung; Huang Tai-Chun; Lee Tze-Liang; Ko Chung-Ting; Chen Jr-Yu; Hsieh Wan-Chen |
11271086 | Semiconductor device and manufacturing method thereof | Lin Chih-Han; Tsai Shih-Chang; Hsieh Wen-Shuo; Liu Te-Yung |
11271087 | Metal gate process for FinFET device improvement | Chang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei |
11271089 | Method for manufacturing semiconductor structure with unleveled gate structure | Chang Chai-Wei; Chang Che-Cheng; Wu Po-Chi; Chao Yi-Cheng |
11271094 | Semiconductor structure and method of manufacturing the same | Chuang Chi-Yi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11271095 | FinFETs with low source/drain contact resistance | Huang Yu-Lien; Lee Tung Ying |
11271096 | Method for forming fin field effect transistor device structure | Lee Chien-Wei; Lee Yen-Ru; Sung Hsueh-Chang; Yeo Yee-Chia |
11271102 | Semiconductor structure | Hsiao Ru-Shang; Jeng Chi-Cherng; Huang Chih-Mu |
11271103 | Semiconductor device and manufacturing process thereof | Kung Pohan; Lu Ying-Jing; Hung Chi-Cheng; Wang Yu-Sheng; Jangjian Shiu-Ko |
11271104 | Composite etch stop layer for contact field plate etching | Lu Hui-Ting; Wang Pei-Lun; Jong Yu-Chang |
11271111 | Source/drain structure with barrier in FinFET device and method for forming the same | Kuan Ting-Chun; Chen I-Chih; Huang Chih-Mu; Tsai Fu-Tsun; Hsieh Sheng-Lin; Chen Kuan-Jung |
11271112 | Method for forming fin field effect transistor (FINFET) device structure with conductive layer between gate and gate contact | Wang Chao-Hsun; Chao Kuo-Yi; Lin Rueijer; Kao Chen-Yuan; Wang Mei-Yun |
11271113 | Semiconductor device structure and method for forming the same | Yeong Sai-Hooi; Chui Chi-On; Yao Chien-Ning |
11271114 | Strained gate semiconductor device with oxygen-doped interlayer dielectric material | Wu Cheng-Ta; Wu Chii-Ming; Jangjian Shiu-Ko; Lin Kun-Tzu; Chang Lan-Fang |
11271150 | Integrated circuit | Peng Tai-Yen; Huang Chien-Chung; Chen Yu-Shu; Yang Sin-Yi; Wang Chen-Jung; Lin Han-Ting; Ting Chih-Yuan; Shieh Jyu-Horng; Wei Hui-Hsien |
11271163 | Method of forming semiconductor device having carbon nanotube | Holland Martin Christopher; Vasen Timothy; Duriez Blandine |
11271482 | DC-DC converter and DC-DC converter operation method | Chang Chia-Chun; Roth Alan; Soenen Eric; Hsu Ying-Chih |
11271783 | Decision feedback equalization embedded in a slicer | Yang Shu-Chun; Huang Wen-Hung |
11272606 | EUV light source and apparatus for lithography | Chien Shang-Chieh; Cheng Po-Chung; Chen Chia-Chen; Chung Jen-Yang; Chen Li-Jui; Fu Tzung-Chi; Wu Shang-Ying |
11273396 | Liquid supply system with improved bubble venting capacity | Huang Y. L.; Fang Chin-Kun; Wu Li-Jen; Chen Yu Kai |
11273470 | In situ cleaning apparatus and system thereof | Chien Li-Hsing; Hung Yung-Ti; Wang Rouh Jier; Chang Yu-Te |
11274037 | Dual micro-electro mechanical system and manufacturing method thereof | Chen Yang-Che; Liang Victor Chiang; Lin Chen-Hua; Liu Chwen-Ming; Tseng Huang-Wen; Teng Yi-Chuan |
11275301 | Extreme ultraviolet mask and method of manufacturing the same | Shih Chih-Tsung; Chien Tsung-Chih; Fu Shih-Chi; Fu Chi-Hua; Cheng Kuotang; Liu Bo-Tsun; Lee Tsung Chuan |
11275314 | Method and apparatus for diffraction-based overlay measurement | Hsieh Hung-Chih; Chen Yen-Liang |
11275317 | Droplet generator and method of servicing a photolithographic tool | Chen Yu-Huan; Wu Cheng-Hsuan; Tsai Ming-Hsun; Chien Shang-Chieh; Chen Li-Jui |
11275318 | Radiation source for lithography process | Wu Shang-Ying; Chien Shang-Chieh; Liu Bo-Tsun; Chen Li-Jui; Cheng Po-Chung |
11275880 | Region based shrinking methodology for integrated circuit layout migration | Chang Chi-Wen; Kuan Jui-Feng |
11275885 | Engineering change order cell structure having always-on transistor | Chen Shun Li; Tien Li-Chun; Chen Ting Yu; Chang Wei-Ling |
11275886 | Integrated circuit and method of forming same and a system | Chen Sheng-Hsiung; Chen Wen-Hao; Ku Chun-Yao; Wang Shao-Huan; Ou Hung-Chih |
11276464 | Programming method and reading method for memory device | Wu Jau-Yi; Chen Yu-Sheng |
11276469 | One time programmable memory | Chih Yu-Der |
11276568 | Method for manufacturing a semiconductor device and a coating material | Chien Yu-Ling Chang; Chen Chien-Chih; Lin Chin-Hsiang; Chang Ching-Yu; Cheng Yahru |
11276571 | Method of breaking through etch stop layer | Wang Yu Shih; Yang Hong-Jie; Lee Chia-Ying; Yeh Po-Nan; Chiu U-Ting; Lin Chun-Neng; Yeh Ming-Hsi; Huang Kuo-Bin |
11276578 | Semiconductor device with fin isolation | Chen Chang-Yin; Chang Che-Cheng; Lin Chih-Han |
11276587 | Wafer bonding method and apparatus with curved surfaces | Huang Chih-Hui; Tsao Chun-Han; Chen Sheng-Chau; Tu Yeur-Luen; Tsai Chia-Shiung; Chen Xiaomeng |
11276604 | Radical-activated etching of metal oxides | Yang Chansyun David; Yang Chan-Lon; Chang Keh-Jeng; Yuh Perng-Fei |
11276637 | Barrier-free interconnect structure and manufacturing method thereof | Wang Pei-Yu; Chung Cheng-Ting; Lee Wei Ju |
11276638 | Back end of line via to metal line margin improvement | Huang Yi-Chun; Chen I-Chih; Kuo Chun-Wei |
11276643 | Semiconductor device with backside spacer and methods of forming the same | Huang Po-Yu; Yao Jason; Yang Fu-Kai; Wang Mei-Yun |
11276647 | Method of forming semiconductor device | Wang Jhih-Yu; Chu Yung-Chi; Liao Sih-Hao; Hu Yu-Hsiang; Kuo Hung-Jui |
11276649 | Devices and methods having magnetic shielding layer | Chuang Harry-Hak-Lay; Chiang Tien-Wei; Chen Chia-Hsiang; Shih Meng-Chun; Wang Ching-Huang |
11276653 | Electronic device and manufacturing method thereof | Chen Shih-Yuan; Li Jiun-Yun; Xu Rui-Fu; Chen Chiung-Yu; Yeh Ting-I; Wu Yu-Jui; Chang Yao-Chun |
11276656 | Integrated fan-out structure and method of forming | Chen Hsien-Wei; Su An-Jhih; Lin Tsung-Shu |
11276670 | Semiconductor device and manufacturing method of semiconductor device | Teng Yi-Chuan; Liang Victor Chiang; Tu Jung-Kuo; Shen Ching-Kai |
11276684 | Recessed composite capacitor | Chen Yi-Huan; Chou Chien-Chih; Kalnitsky Alexander; Thei Kong-Beng |
11276692 | Manufacturing method of integrated circuit | Lee Yi-Jing; Li Kun-Mu; Yu Ming-Hua; Kwok Tsz-Mei |
11276693 | FinFET device having flat-top epitaxial features and method of making the same | Lee Yi-Jing; Chou Li-Wei; Yu Ming-Hua |
11276695 | Multi-gate device and related methods | Ching Kuo-Cheng; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11276696 | SRAM structure and method for manufacturing SRAM structure | Hsieh Chih-Hung; Liao Yu-Min; Liaw Jhon-Jhy |
11276699 | Surface topography by forming spacer-like components | Wu Chun-Chang; Cheng Chihy-Yuan; Chen Sz-Fan; Yang Shun-Shing; Chang Wei-Lin; Kuo Ching-Sen; Shiu Feng-Jia; Chen Chun-Chang |
11276716 | Image sensor with improved near-infrared (NIR) radiation phase-detection autofocus (PDAF) performance | Chou Keng-Yu; Chuang Chun-Hao; Hashimoto Kazuaki; Chiang Wei-Chieh; Huang Cheng Yu; Wu Wen-Hau; Chang Chih-Kung |
11276763 | Contacts for highly scaled transistors | Diaz Carlos H.; Wu Chung-Cheng; Chang Chia-Hao; Wang Chih-Hao; Colinge Jean-Pierre; Lin Chun-Hsiung; Lien Wai-Yi; Leung Ying-Keung |
11276766 | FinFET fabrication methods | Tsai Chun Hsiung; Peng Cheng-Yi; Wang Yin-Pin; Yu Kuo-Feng; Lin Da-Wen; Chen Jian-Hao; More Shahaji B. |
11276818 | Phase change memory structure and the same | Wu Jau-Yi |
11276819 | Metal landing on top electrode of RRAM | Chang Chih-Yang; Chu Wen-Ting |
11276832 | Semiconductor structure with diffusion break and method | van Dal Marcus Johannes Henricus; Vasen Timothy; Doornbos Gerben |
11277136 | Semiconductor device for logic and memory co-optimization | Liaw Jhon Jhy |
11279001 | Method and apparatus for monitoring chemical mechanical polishing process | Huang Chung-Wei; Jheng Ren-Hao |
11279611 | Micro-electro mechanical system device containing a bump stopper and methods for forming the same | Cheng Chun-wen; Chin Chi-Hang; Chang Kuei-Sung |
11279615 | Method for manufacturing a MEMS device by first hybrid bonding a CMOS wafer to a MEMS wafer | Lin Hung-Hua; Wu Chang-Ming; Yu Chung-Yi; Liu Ping-Yin; Peng Jung-Huei |
11280021 | Method of controlling chemical concentration in electrolyte and semiconductor apparatus | Huang Yung-Chang; Chen You-Fu; Tsai Yu-Chi; Chang Chu-Ting |
11280786 | Method for forming biochips and biochips with non-organic landings for improved thermal budget | Chu Chia-Hua; Chang Allen Timothy; Chen Ching-Ray; Chang Yi-Hsien; Liu Yi-Shao; Cheng Chun-Ren; Cheng Chun-Wen |
11280823 | Monitoring system and method of monitoring static charge | Wu Shin-Ta; Yu Chwen |
11280847 | Circuit, semiconductor device and method for parameter PSRR measurement | Kundu Amit; Horng Jaw-Juinn; Wang Yi-Hsiang |
11281091 | Photomask | Chou You-Hua; Chuang Kuo-Sheng |
11281107 | Method for performing lithography process with post treatment | Weng Ming-Hui; Chang Ching-Yu; Lin Chin-Hsiang |
11281835 | Cell layout and structure | Hsieh Tung-Heng; Wang Sheng-Hsiung; Zhuang Hui-Zhong; Yeh Yu-Cheng; Tsai Tsung-Chieh; Wu Juing-Yi; Lee Liang-Yao; Ting Jyh-Kang |
11281836 | Cell structures and semiconductor devices having same | Chang Fong-Yuan; Chang Jyun-Hao; Chen Sheng-Hsiung; Yu Ho Che; Lu Lee-Chung; Fan Ni-Wan; Huang Po-Hsiang; Lu Chi-Yu; Lee Jeo-Yen |
11281838 | Optimized layout cell | Sheen Ruey-Bin; Huang Tien-Chien; Tan Chuan-Yao |
11282572 | Multinary bit cells for memory devices and network applications and method of manufacturing the same | Chiang Katherine H.; Lin Chung-Te |
11282673 | Ion implantation system and source bushing thereof | Meng Ying-Chieh; Peng Chui-Ya; Cheng Nai-Han |
11282697 | Integrate rinse module in hybrid bonding platform | Huang Xin-Hua; Liu Ping-Yin; Lin Hung-Hua; Kuang Hsun-Chung; Hsieh Yuan-Chih; Chao Lan-Lin; Tsai Chia-Shiung; Chen Xiaomeng |
11282705 | Semiconductor device and method of forming the same | Chiang Hsin-Che; Liang Chun-Sheng; Pan Kuo-Hua |
11282707 | Method and system of estimating wafer crystalline orientation | Tsai Bo-Tsung |
11282711 | Plasma-assisted etching of metal oxides | Yang Chansyun David; Chang Keh-Jeng; Yang Chan-Lon |
11282712 | Method for preventing bottom layer wrinkling in a semiconductor device | Shiu Jung-Hau; Ko Chung-Chi; Lee Tze-Liang; Peng Yu-Yun |
11282728 | Contamination control in semiconductor manufacturing systems | Chen Bo Chen; Wu Sheng-Wei; Tsai Yung-Li |
11282742 | Semiconductor device with multi-layer etch stop structure and method for forming the same | Shih Po-Cheng; Lee Tze-Liang; Wang Jen-Hung; Lin Yu-Kai; Sung Su-Jen |
11282748 | Semiconductor device and method of forming the same | Fung Ka-Hing |
11282749 | Forming nitrogen-containing low-k gate spacer | Kao Wan-Yi; Ko Chung-Chi |
11282750 | Contact structure and method of fabricating the same | Hsu Wan Hsuan; Wang I-Hsiu; Chen Yean-Zhaw; Chang Cheng-Wei; Wang Yu Shih; Lu Hsin-Yan; Chiu Yi-Wei |
11282751 | Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device | Hsieh Min-Yann; Chen Hua Feng; Liaw Jhon Jhy |
11282756 | Organic interposer including stress-resistant bonding structures and methods of forming the same | Lee Tsung-Yen; Wang Chin-Hua; Yew Ming-Chih; Hsu Chia-Kuei; Lai Po-Chen; Lin Po-Yao; Jeng Shin-Puu |
11282759 | Chip package structure having warpage control and method of forming the same | Hsu Feng-Cheng; Jeng Shin-Puu; Chen Shuo-Mao |
11282761 | Semiconductor packages and methods of manufacturing the same | Wu Jiun-Yi; Yu Chen-Hua; Liu Chung-Shi; Liang Yu-Min |
11282766 | Package structure | Ku Shih-Chang; Hung Wensen; Li Hung-Chi |
11282769 | Oversized via as through-substrate-via (TSV) stop layer | Kao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Chu Yi-Shin; Chen Ping-Tzu |
11282779 | Package structure and fabricating method thereof | Wu Kai-Chiang; Wu Jiun-Yi; Liang Yu-Min |
11282784 | Semiconductor package and manufacturing method of the same | Chen Ming-Fa; Yeh Sung-Feng; Yu Chen-Hua |
11282785 | Wireless charging package with chip integrated in coil center | Yu Chen-Hua; Chu Chiang-Jui; Liu Chung-Shi; Tsai Hao-Yi; Tseng Ming Hung; Kuo Hung-Yi |
11282791 | Semiconductor device having a heat dissipation structure connected chip package | Teng Po-Yuan; Kuo Hung-Yi; Tsai Hao-Yi; Kuo Tin-Hao; Lai Yu-Chia; Chen Shih-Wei |
11282793 | Integrated fan-out structure with rugged interconnect | Lin Shih Ting; Lu Szu-Wei; Lin Jing-Cheng; Yu Chen-Hua |
11282796 | Integrated fan-out package and method of fabricating the same | Chiu Ming-Yen; Chang Ching-Fu; Huang Hsin-Chieh |
11282802 | Semiconductor device structure and method for forming the same | Yang Ming-Hsien; Wang Ching-Chun; Yaung Dun-Nian; Hung Feng-Chi; Huang Sin-Yao |
11282803 | Device, semiconductor package and method of manufacturing semiconductor package | Hsu Chia-Kuei; Yew Ming-Chih; Tsai Po-Hao; Lin Po-Yao; Jeng Shin-Puu |
11282804 | Package structure and method of manufacturing the same | Chen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao |
11282810 | Integrated fan-out package and manufacturing method thereof | Wan Albert; Hsieh Ching-Hua; Tsai Chung-Hao; Wang Chuei-Tang; Shih Chao-Wen; Pu Han-Ping; Hwang Chien-Ling; Lee Pei-Hsuan; Tang Tzu-Chun; Chiu Yu-Ting; Kuo Jui-Chang |
11282816 | Memory packages and methods of forming same | Yu Chen-Hua; Tsai Chung-Hao; Wang Chuei-Tang; Wang Yih |
11282817 | Semiconductor device package including embedded conductive elements | Chen Yu-Feng; Lin Chun-Hung; Pu Han-Ping; Cheng Ming-Da; Wu Kai-Chiang |
11282825 | Package structure | Lin Tsung-Shu; Chen Tsung-Yu; Hung Wensen |
11282829 | Integrated circuit with mixed row heights | Sio Kam-Tou; Tzeng Jiann-Tyng; Wang Chung-Hsing; Cheng Yi-Kan |
11282830 | High voltage ESD protection apparatus | Chang Yi-Feng; Lee Jam-Wem |
11282831 | Semiconductor device having multiple electrostatic discharge (ESD) paths | Peng Po-Lin; Chu Li-Wei; Tsai Ming-Fu; Lee Jam-Wem; Su Yu-Ti |
11282842 | Memory device and manufacturing method | Lo Kuo-Hung; Chang Feng-Ming; Kuo Ying-Hsiu |
11282843 | Memory device, SRAM cell, and manufacturing method thereof | Ye Hung-Yu; Lin Chung-Yi; Pan Yun-Ju; Liu Chee-Wee |
11282846 | Mask design for embedded memory | Teng Li-Feng; Wu Wei Cheng |
11282859 | Semiconductor circuit with metal structure and manufacturing method | Liaw Jhon Jhy |
11282916 | Magnetic thin film inductor structures | Roth Alan; Soenen Eric; Ranucci Paul |
11282920 | Semiconductor device with air gap on gate structure and method for forming the same | Lin Tien-Lu; Wu Che-Chen; Chuang Chia-Lin; Lin Yu-Ming; Chang Chih-Hao |
11282931 | Memory device and manufacturing method thereof | Lin Yu-Chu; Jen Chi-Chung; Pan Chia-Ming; Yeh Su-Yu; Liao Keng-Ying; Sung Chih-Wei |
11282933 | FinFET having a work function material gradient | Lim Peng-Soon; Fang Zi-Wei; Lin Cheng-Ming |
11282934 | Structure for metal gate electrode and method of fabrication | Hsiao Ru-Shang; Su Ching-Hwanq; Kung Pohan; Lu Ying Hsin; Huang I-Shan |
11282935 | Gate-all-around device with protective dielectric layer and method of forming the same | Chung Cheng-Ting; Liao Yi-Bo; Chen Hou-Yu; Cheng Kuan-Lun |
11282938 | Capping layers in metal gates of transistors | Tang Tsung-Ta; Wang Yi-Ting; Chen Chung Ta; Lee Hsien-Ming |
11282940 | Field effect transistors with ferroelectric dielectric materials | Lin Cheng-Ming; Lam Kai Tak; Yeong Sai-Hooi; Chui Chi On; Fang Ziwei |
11282941 | Semiconductor structure and manufacturing method thereof | Wang Shiang-Bau |
11282942 | Semiconductor device structure with uniform threshold voltage distribution and method of forming the same | Kao Wei-Chih; Chiang Hsin-Che; Chien Yu-San; Liang Chun-Sheng; Pan Kuo-Hua |
11282943 | Multi-gate devices and fabricating the same with etch rate modulation | Wang Chih-Ching; Yang Chung-I; Ho Jon-Hsu; Hsieh Wen-Hsing; Cheng Kuan-Lun; Wu Chung-Wei; Wu Zhiqiang |
11282944 | Method of manufacturing a semiconductor device and a semiconductor device | Yu Chia-Chi; Hseih Jui Fu; Lin Yu-Li; Liao Chih-Teng; Chen Yi-Jen |
11282945 | Negative-capacitance field effect transistor | Young Bo-Feng; Chang Chih-Yu; Yeong Sai-Hooi; Chui Chi On; Wang Chih-Hao |
11282967 | Nanostructure field-effect transistor device and method of forming | Chang Cheng-Chung; Tsao Hsiu-Hao; Sie Ming-Jhe; Yang Shun-Hui; Huang Chen-Huang; Wei An Chyi; Chen Ryan Chia-Jen |
11283005 | Spacer scheme and method for MRAM | Liou Joung-Wei; Lan Chin Kun |
11283009 | Method for manufacturing memory device having protection spacer | Chuang Harry-Hak-Lay; Liao Chun-Heng; Chen Jun-Yao; Wang Hung-Cho |
11283011 | Phase change memory device having tapered portion of the bottom memory layer | Lee Tung-Ying; Yu Shao-Ming; Lin Yu-Chao |
11283402 | Device and method of operating the same | Tsai Ping-Han; Hou Chih-Sheng; Chen Po-Yu; Tseng Nan-Hsin |
11287452 | Low power comparator and self-regulated device | Peng Yung-Chow; Hsieh Chung-Peng |
11287740 | Photoresist composition and method of forming photoresist pattern | Zi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu |
11287745 | Reticle-masking structure, extreme ultraviolet apparatus, and method of forming the same | Hsu Ching-Hsiang; Hwang James Jeng-Jyi; Hsu Feng Yuan |
11287746 | System and method for overlay error reduction | Chien Hung-Chung; Hung Hao-Ken; Yang Chih-Chieh; Shieh Ming-Feng; Hu Chun-Ming |
11287751 | System and method for lens heating control | Liao Chi-Hung; Yang Yueh Lin |
11287754 | Mask blank for lithography and method of manufacturing the same | Chen Ming-Wei; Lee Hsin-Chang; Lin Ping-Hsun |
11287755 | Lithography system and cleaning method thereof | Lin Sheng-Ta; Chen Li-Jui; Chien Shang-Chieh |
11288436 | Method of analyzing and detecting critical cells | Verma Anurag; Hsu Meng-Kai; Chang Chih-Wei |
11288437 | Electromigration evaluation methodology with consideration of both self-heating and heat sink thermal effects | Yu Tseng Hsien; Chang Chun-Wei; Liu Szu-Lin; Kundu Amit; Liu Sheng-Feng |
11289141 | Integrated circuit with asymmetric arrangements of memory arrays | Yang Xiu-Li; Wan He-Zhou; Cheng Kuan; Wu Ching-Wei |
11289143 | SOT-MRAM with shared selector | Song MingYuan; Lin Shy-Jay; Lee Chien-Min; Gallagher William Joseph |
11289154 | Circuit and method of writing to a bit cell | Aggarwal Pankaj; Wu Ching-Wei; Aseem Jaymeen Bharatkumar |
11289161 | PCRAM analog programming by a gradual reset cooling step | Wu Jau-Yi |
11289311 | Method and apparatus for reducing vacuum loss in an ion implantation system | Lin Tsung-Min; Chien Fang-Chi; Huang Cheng-Yi; Lu Chao-Po |
11289330 | Semiconductor-on-insulator (SOI) substrate and method for forming | Wu Cheng-Ta; Hsieh Chia-Ta; Wu Kuo Wei; Chang Yu-Chun; Tseng Ying Ling |
11289332 | Directional processing to remove a layer or a material formed over a substrate | Huang Shih-Chun; Lin Chin-Hsiang; Lai Chien-Wen; Liu Ru-Gun; Lin Wei-Liang; Chang Ya Hui; Yen Yung-Sung; Shen Yu-Tien; Yeh Ya-Wen |
11289338 | Method for improved critical dimension uniformity in a semiconductor device fabrication process | Hung Chi-Cheng; Chen Chun-Kuang; Chen De-Fang; Lin Wei-Liang; Shen Yu-Tien |
11289341 | Pattern transfer technique and method of manufacturing the same | Yoo Chue San |
11289343 | Method of gap filling using conformal deposition-annealing-etching cycle for reducing seam void and bending | Yu De-Wei; Chen Chien-Hao; Chang Chia-Ao; Liang Pin-Ju |
11289373 | Semiconductor package and manufacturing method thereof | Teng Po-Yuan; Su Bor-Rung; Lu De-Yuan; Tsai Hao-Yi; Kuo Tin-Hao; Lee Tzung-Hui; Chang Tai-Min |
11289376 | Methods for forming self-aligned interconnect structures | Liu Ru-Gun; Chang Shih-Ming; Ng Hoi-Tou |
11289383 | Semiconductor device and method | Chen Chien-Yuan; Lin Jui-Ping; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11289384 | Method of manufacturing a semiconductor device and a semiconductor device | Chiang Hung-Li; Chen Chih-Liang; Chen Tzu-Chiang; Chen I-Sheng; Chou Lei-Chun |
11289396 | Sensing component encapsulated by an encapsulation layer with a roughness surface having a hollow region | Chu Yung-Chi; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Hu Tian |
11289398 | Package structure and manufacturing method thereof | Wang Shih-Hui; Yeh Der-Chyang; Tai Shih-Peng; Lin Tsung-Shu; Huang Yi-Chung |
11289399 | Package structure and manufacturing method thereof | Wang Pu; Kao Chin-Fu; Lu Szu-Wei |
11289404 | Semiconductor device and method | Liu Hsu-Lun; Lu Wen-Hsiung; Cheng Ming-Da; Yen Chen-En; Yang Cheng-Lung; Huang Kuanchih |
11289410 | Integrated circuit packages and methods of forming same | Yu Chen-Hua; Kuo Hung-Jui; Ho Ming-Che; Lee Tzung-Hui |
11289417 | Semiconductor device and methods of forming the same | Chou Pei-Yu; Li Jr-Hung; Chen Liang-Yin; Liu Su-Hao; Lee Tze-Liang; Chou Meng-Han; Chen Kuo-Ju; Chang Huicheng; Ho Tsai-Jung; Ho Tzu-Yang |
11289418 | Package structure and manufacturing method thereof | Hwang Chien-Ling; Lu Chun-Lin; Wu Kai-Chiang |
11289424 | Package and method of manufacturing the same | Wu Chih-Wei; Yu Chen-Hua; Yee Kuo-Chung; Lu Szu-Wei; Shih Ying-Ching |
11289426 | Semiconductor package and manufacturing method thereof | Chu Yung-Chi; Kuo Hung-Jui; Wang Jhih-Yu; Hu Yu-Hsiang |
11289449 | Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof | Wang Chuei-Tang; Liu Monsen; Yu Chen-Hua |
11289450 | Semiconductor structure and manufacturing method thereof | Chen Ming-Fa; Chiou Wen-Chih; Yeh Sung-Feng |
11289455 | Backside contact to improve thermal dissipation away from semiconductor devices | Kao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Chu Yi-Shin; Chen Ping-Tzu; Chen Che-Wei |
11289472 | Integrated circuit with electrostatic discharge protection | Peng Po-Lin; Su Yu-Ti |
11289477 | Semiconductor structure with low defect | Duriez Blandine; Vellianitis Georgios |
11289479 | Fin-type field effect transistor structure and manufacturing method thereof | Tsai Chun-Hsiung; Fang Ziwei; Wang Tsan-Chun; Chen Kei-Wei |
11289480 | Semiconductor device and method | Wu Chung-Chiang; Chiu Shih-Hang; Hung Chih-Chang; Yang I-Wei; Ku Shu-Yuan; Hung Cheng-Lung; Lee Da-Yuan; Su Ching-Hwanq |
11289481 | Single metal that performs N work function and P work function in a high-K/metal gate | Lin Yih-Ann; Chen Ryan Chia-Jen; Chao Donald Y.; Mor Yi-Shien; Huang Kuo-Tai |
11289482 | Field effect transistor contact with reduced contact resistance | Liu Su-Hao; Tsai Yan-Ming; Wei Chung-Ting; Fang Ziwei; Chang Chih-Wei; Chen Chien-Hao; Chang Huicheng |
11289494 | Structure and method for SRAM FinFET device having an oxide feature | Ching Kuo-Cheng; Fung Ka-Hing; Chang Chih-Sheng; Wu Zhiqiang |
11289529 | Pixel circuit, sensing device, and method thereof | Yin Chin; Lee Chih-Lin; Yeh Shang-Fu; Wu Meng-Hsiu |
11289533 | Biometric sensor and methods thereof | Lin Chin-Min; Chou Cheng San |
11289538 | Memory device and semiconductor die, and method of fabricating memory device | Ying Ji-Feng; Wang Jhong-Sheng; Lin Tsann |
11289539 | Self-aligned dielectric spacer for magnetic tunnel junction patterning and methods for forming the same | Ko Min-Yung; Liu Shih-Chang |
11289568 | Reduction of electric field enhanced moisture penetration by metal shielding | Shih Chi-Yuan; Chang Kai-Fung; Huang Shih-Fen; Tai Wen-Chuan; Teng Yi-Chuan; Tsai Yi Heng; Lin You-Ru; Chen Yen-Wen; Lin Anderson; Huang Fu-Chun; Cheng Chun-Ren; Wu Ivan Hua-Shu; Hu Fan; Lin Ching-Hui; Liao Yan-Jie |
11289569 | Hybrid decoupling capacitor and method forming same | Chan Hao-Chieh; Chen Chung-Hui |
11289574 | Methods of forming epitaxial source/drain features in semiconductor devices | Hsu Tzu-Hsiang; Chen Ting-Yeh; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11289578 | Selective etching to increase threshold voltage spread | Lee Hsin-Yi; Li Ya-Huei; Lee Da-Yuan; Su Ching-Hwanq |
11289580 | Semiconductor device | Vellianitis Georgios |
11289582 | Single-crystal hexagonal boron nitride layer and method forming same | Chen Tse-An; Chuu Chih-Piao; Li Lain-Jong; Chang Wen-Hao; Tseng ChienChih; Wen Chao-Kai |
11289583 | High aspect ratio gate structure formation | Yeong Sai-Hooi; Chui Chi-On; Lee Kai-Hsuan; Cheng Kuan-Lun; Wang Chih-Hao |
11289584 | Inner spacer features for multi-gate transistors | Wu Bone-Fong; Yu Chih-Hao; Lin Chia-Pin |
11289585 | Semiconductor devices and methods of formation | Lin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han; Chang Ming-Ching; Chen Chao-Cheng |
11289586 | Spacer structure for semiconductor device | Lo Yi-Chen |
11289589 | Semiconductor device and manufacturing method thereof | Ohtou Tetsu; Oniki Yusuke |
11289591 | Bipolar junction device | Su Zi-Ang; Li Ming-Shuan; Yeh Chih Chieh |
11289599 | Power metal-oxide-semiconductor field effect transistor | Syue Wan-Jyun; Huang Chin-Yi; Tzeng Kuo-Lung; Yang Zhuo-Cang |
11289602 | FeFET of 3D structure for capacitance matching | Chiang Hung-Li; Chang Chih-Sheng; Chen Tzu-Chiang |
11289603 | Semiconductor device and method | Young Bo-Feng; Yeong Sai-Hooi; Chui Chi On |
11289606 | Capacitance reduction for back-side power rail device | Ju Shi Ning; Wang Chih-Hao; Chiang Kuo-Cheng; Cheng Kuan-Lun; Lan Wen-Ting |
11289646 | Method of forming a bottom electrode of a magnetoresistive random access memory cell | Huang Wei-Chieh; Chen Jieh-Jang |
11289647 | Resistive random access memory device | Mo Chun-Chieh; Kuo Shih-Chi |
11289648 | Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls | Tseng Yuan-Tai; Liu Shih-Chang |
11289651 | Memory device having via landing protection | Yang Tsung-Hsueh; Liu Shih-Chang; Tseng Yuan-Tai |
11290096 | System and method for adjusting cycle of a signal | Sheen Ruey-Bin; Tsai Ming Hsien; Tsai Tsung-Hsien |
11291116 | Integrated circuit structure | Yu Chen-Hua; Hung Jui-Pin; Yee Kuo-Chung |
11292101 | Chemical mechanical polishing apparatus and method | Wei Yu-Chen; Su Jheng-Si; Lin Shih-Ho; Lai Jen-Chieh; Chan Chun-Chieh |
11292712 | Method of forming semiconductor device structure | Teng Yi-Chuan; Tsai Chun-Yin; Chu Chia-Hua; Cheng Chun-Wen |
11292715 | Conductive bond structure to increase membrane sensitivity in MEMS device | Lin Hung-Hua; Hung Chia-Ming; Huang Xin-Hua; Hsieh Yuan-Chih |
11293897 | High sensitivity ISFET sensor | Chiang Katherine H.; Huang Jui-Cheng; Su Ke-Wei; Chen Tung-Tsun; Lee Wei; Liu Pei-Wen |
11293974 | System and method for semiconductor device testing | Chen Hao; Wang Mill-Jer |
11294271 | Mask for extreme ultraviolet photolithography | Hsueh Wen-Chang; Lee Hsin-Chang; Lien Ta-Cheng |
11294274 | Pellicle assembly and method for advanced lithography | Chen Amo; Lin Yun-Yue; Lien Ta-Cheng; Lee Hsin-Chang; Lin Chih-Cheng; Chen Jeng-Horng |
11294275 | Apparatus for mounting a pellicle to a photomask and method for mounting a pellicle to a photomask | Liu Tzu Han; Wen Chih-Wei; Lin Chung-Hung |
11294286 | Pattern formation method using a photo mask for manufacturing a semiconductor device | Liu Ru-Gun; Lin Chin-Hsiang; Huang Cheng-I; Lai Chih-Ming; Lai Chien-Wen; Hsieh Ken-Hsien; Chang Shih-Ming; Hou Yuan-Te |
11294292 | Particle removing assembly and method of cleaning mask for lithography | Lin Chen-Yang; Yu Da-Wei; Wang Li-Hsin; Lin Kuan-Wen; Chen Chia-Jen; Lee Hsin-Chang |
11294293 | Overlay marks for reducing effect of bottom layer asymmetry | Hsieh Hung-Chih; Chen Kai-Hsiung; Cheng Po-Chung |
11294419 | Clock duty cycle adjustment and calibration circuit and method of operating same | Huang Tien-Chien |
11294764 | Method of correcting errors in a memory array and method of screening weak bits in the same | Chih Yu-Der; Lee Chia-Fu; Liu Chien-Yin; Shih Yi-Chun; Chen Kuan-Chun; Yang Hsueh-Chih; Lu Shih-Lien Linus |
11295055 | Transmission gate structure and method | Chien Shao-Lun; Sue Pin-Dai; Tien Li-Chun; Chiang Ting-Wei; Chen Ting Yu |
11295056 | Vertex-based OPC for opening patterning | Yu Shinn-Sheng |
11295791 | SRAM with local bit line, input/output circuit, and global bit line | Katoch Atul; Taghvaei Ali |
11295792 | Back-up and restoration of register data | Chih Yu-Der |
11295831 | Systems and methods to detect cell-internal defects | Patidar Ankita; Goel Sandeep Kumar |
11295926 | Repellent electrode for electron repelling | Yen Ching-Heng; Chiu Jen-Chung; Kao Tai-Kun; Lin Lu-Hsun; Lin Tsung-Min |
11295948 | Low-K feature formation processes and structures formed thereby | Kao Wan-Yi; Ko Chung-Chi; Te Li Chun; Lin Hsiang-Wei; Cheng Te-En; Lin Wei-Ken; Tu Guan-Yao; Liao Shu Ling |
11295956 | Selective formation of titanium silicide and titanium nitride by hydrogen gas control | Chang Cheng-Wei; Lin Kao-Feng; Hung Min-Hsiu; Chao Yi-Hsiang; Huang Huang-Yi; Lin Yu-Ting |
11295957 | Package structure and method of manufacturing the same | Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu |
11295961 | Method of manufacturing a semiconductor device | Chen Yen-Hao; Lai Wei-Han; Chang Ching-Yu; Lin Chin-Hsiang |
11295973 | Apparatus and method for automated wafer carrier handling | Wu Ren-Hau; Wu Cheng-Lung; Pai Jiun-Rong; Hu Cheng-Kang |
11295979 | Semiconductor package device with integrated antenna and manufacturing method thereof | Liao Wen-Shiang; Kuo Feng Wei; Tung Chih-Hang; Yu Chen-Hua |
11295989 | Gate structures for semiconductor devices | Cheng Chung-Liang |
11295990 | Methods of forming metal gates | Huang Ju-Li; Chiang Hsin-Che; Tzeng Ju-Yuan; Xu Wei-Ze; Chen Yueh-Yi; Wang Shu-Hui; Chang Shih-Hsun |
11296011 | Through-substrate vias with improved connections | Lin Jing-Cheng; Yang Ku-Feng |
11296012 | Barrier structures between external electrical connectors | Miao Chia-Chun; Liang Shih-Wei; Wu Kai-Chiang |
11296026 | Semiconductor device and manufacturing method thereof | Lee Ming-Han; Shue Shau-Lin |
11296027 | Dielectric film for semiconductor fabrication | Wu Cheng-Yi; Chu Li-Hsuan; Wen Ching-Wen; Hung Chia-Chun; Chang Chen Liang; Lee Chin-Szu; Liu Hsiang |
11296032 | Silicon interposer including through-silicon via structures with enhanced overlay tolerance and methods of forming the same | Tsou Hsien-Ju; Wu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei |
11296051 | Semiconductor packages and forming method thereof | Kao Chin-Fu; Chien Chih-Yuan; Cheng Li-Hui; Lu Szu-Wei |
11296055 | Structures for providing electrical isolation in semiconductor devices | Chern Chan-Hong; Chen Mark |
11296062 | Three-dimension large system integration | Yu Chen-Hua; Kuo Tin-Hao |
11296065 | Semiconductor packages and methods of forming same | Jeng Shin-Puu; Wong Techi; Chuang Po-Yao; Chen Shuo-Mao; Chou Meng-Wei |
11296067 | Package structure | Hsu Sen-Kuei; Pan Hsin-Yu; Tsai Ming-Hsien |
11296070 | Integrated circuit with backside power rail and backside interconnect | Peng Shih-Wei; Wu Guo-Huei; Tzeng Jiann-Tyng |
11296077 | Transistors with recessed silicon cap and method forming same | Chen Yen-Ting; Lai Bo-Yu; Lee Chien-Wei; Sung Hsueh-Chang; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11296080 | Source/drain regions of semiconductor devices and methods of forming the same | Lu Wei Hao; Pai Yi-Fang; Cheng Cheng-Wen; Su Li-Li; Kuo Chien-I |
11296081 | Integration of silicon channel nanostructures and silicon-germanium channel nanostructures | Ju Shi Ning; Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun; Chen Guan-Lin |
11296082 | Multi-gate device and related methods | Chuang Li-Yang; You Jia-Chuan; Chiang Kuo-Cheng; Wang Chih-Hao |
11296084 | Deposition method, semiconductor device and method of fabricating the same | Lin Cheng-I; Chen Chun-Heng; Lin Ming-Ho; Chui Chi-On |
11296095 | Memory device and method for forming the same | Su Hsin-Wen; Lin Yu-Kuan; Lin Shih-Hao; Hung Lien-Jung; Wang Ping-Wei |
11296099 | FeRAM decoupling capacitor | Chen Tzu-Yu; Tu Kuo-Chi; Chang Fu-Chen; Chang Chih-Hsiang; Shih Sheng-Hung |
11296100 | Cell boundary structure for embedded memory | Liu Ming Chyi; Liu Shih-Chang; Chen Sheng-Chieh; Chang Yu-Hsing |
11296116 | Semiconductor device and method of forming the same | Chang Fu-Chen; Tu Kuo-Chi; Chen Tzu-Yu; Shih Sheng-Hung |
11296147 | Method for manufacturing memory device having spacer | Chiu Chieh-Fei; Tsair Yong-Shiuan; Chu Wen-Ting; Liao Yu-Wen; Mei Chin-Yu; Tseng Po-Hao |
11296187 | Seal material for air gaps in semiconductor devices | Liang Shuen-Shin; Wang Chen-Han; Lin Keng-Chu; Ueno Tetsuji; Chen Ting-Ting |
11296198 | Semiconductor structure with barrier layer and method for forming the same | Huang Shih-Wen; Ko Chung-Ting; Ke Hong-Hsien; Lin Chia-Hui; Huang Tai-Chun |
11296199 | Semiconductor devices and methods | Ju Shi Ning; Chen Guan-Lin; Chiang Kuo-Cheng; Wang Chih-Hao |
11296201 | Gate structure and method of fabricating the same | Hsieh Bo-Wen; Lo Yi-Chun; Hsieh Wen-Jia |
11296202 | Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications | Liaw Jhon Jhy |
11296209 | RF switch device with a sidewall spacer having a low dielectric constant | Wu Cheng-Ta |
11296225 | FinFET device and method of forming same | Yeh Hsin-Hao; Yen Fu-Ting |
11296227 | Method of manufacturing semiconductor devices and semiconductor devices | Chang Hsiao-Chun; Shen Guan-Jie |
11296236 | Semiconductor device and manufacturing method thereof | Vellianitis Georgios |
11296252 | Method and apparatus for CMOS sensor packaging | Cheng Ching-Hung |
11296502 | Electrostatic discharge protection circuit | Lai Ming-Fang; Wu Yi-Hsun; Chang Ching-Yun |
11296682 | Input circuit of a flip-flop and associated manufacturing method | Xu Jin-Wei; Zhuang Hui-Zhong; Chen Chih-Liang |
11296684 | Gated tri-state inverter, and low power reduced area phase interpolator system including same, and method of operating same | Lu Tsung-Che; Fu Chin-Ming; Chang Chih-Hsien |
11296703 | Multiplexing latch circuit and method | Hong Hyunsung |
11297710 | Extreme ultraviolet lithography system with heated tin vane bucket having a heated cover | Chen Ssu-Yu; Chien Shang-Chieh; Chen Li-Jui |
11299302 | Integrated semiconductor die parceling platforms | Kuo Tsung-Sheng; Liu Hsu-Shui; Pai Jiun-Rong; Chu Yang-Ann; Lin Chieh-Chun; Chen Shine |
11300525 | Wafer inspection apparatus and method | Chou Chung-Pin |
11300878 | Photoresist developer and method of developing photoresist | Zi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu; Cheng Joy |
11301148 | Configurable memory storage system | Hsu Yu-Hao; Lee Cheng Hung; Yang Chen-Lin; Cheng Chiting; Wu Fu-An; Liao Hung-Jen; Yang Jung-Ping; Chang Jonathan Tsung-Yung; Chan Wei Min; Chen Yen-Huei; Lin Yangsyu; Lin Chien-Chen |
11301343 | Memory block age detection | Lu Shih-Lien Linus |
11302529 | Seed layer for ferroelectric memory device and manufacturing method thereof | Lu Chun-Chieh; Yeong Sai-Hooi; Lin Yu-Ming |
11302535 | Performing annealing process to improve fin quality of a FinFET semiconductor | Tsai Tzung-Yi; Chen Yen-Ming; Lee Tsung-Lin; Ho Po-Kang |
11302537 | Chip package structure with conductive adhesive layer and method for forming the same | Hsu Kuo-Ching; Chen Yu-Huan; Chen Chen-Shien |
11302546 | Semiconductor process system and method | Chin Shih-Ming; Huang Hsiao-Chi; Liang Han-Ming |
11302552 | Multiple transport carrier docking device | Huang Chih-Hung; Wu Cheng-Lung; Chu Yang-Ann; Lee Hsuan; Pai Jiun-Rong |
11302553 | Transport carrier docking device | Huang Chih-Hung; Wu Cheng-Lung; Chu Yang-Ann; Lee Hsuan; Pai Jiun-Rong |
11302566 | Wafer table with dynamic support pins | Liao Chi-Hung; Wu Min-Cheng |
11302567 | Shallow trench isolation forming method and structures resulting therefrom | Chen Szu-Ying; Syue Sen-Hong; Chang Huicheng; Yeo Yee-Chia |
11302570 | Interconnect structure and method for forming the same | Liu Hsiang-Wei |
11302577 | Self aligned contact scheme | Huang Yu-Lien |
11302580 | Nanosheet thickness | Lan Wen-Ting; Pan Kuan-Ting; Ju Shi Ning; Chiang Kuo-Cheng; Wang Chih-Hao |
11302581 | Gate profile control through sidewall protection during etching | Lin Shih-Yao; Kao Kuei-Yu; Lin Chih-Han; Chang Ming-Ching; Chen Chao-Cheng |
11302582 | Pre-deposition treatment for FET technology and devices formed thereby | Tsai Cheng-Yen; Wu Chung-Chiang; Hwang Tai-Wei; Chung Hung-Chin; Lee Wei-Chin; Lee Da-Yuan; Su Ching-Hwanq; Chuang Yin-Chuan; Liu Kuan-Ting |
11302600 | Semiconductor device and manufacturing method thereof | Hung Wensen; Huang Ping-Kang; Chiu Sao-Ling; Lin Tsung-Shu; Chen Tsung-Yu; Huang Chien-Yuan; Lao Chen-Hsiang |
11302631 | Integrated circuit cells and related methods | Chiu Te-Hsin; Peng Shih-Wei; Tzeng Jiann-Tyng |
11302641 | Self-aligned cavity strucutre | Liao Wei-Hao; Lee Chung-Ju; Lu Chih Wei; Tien Hsi-Wen; Dai Yu-Teng |
11302649 | Semiconductor device with shielding structure for cross-talk reduction | Huang Shih-Ya; Tsai Chung-Hao; Wang Chuei-Tang; Yu Chen-Hua; Chang Chih-Yuan |
11302650 | Package structure and method of fabricating the same | Wu Yi-Wen; Jeng Shin-Puu; Hung Shih-Ting; Chuang Po-Yao |
11302654 | Method of fabricating semiconductor device including dummy via anchored to dummy metal layer | Lin Jian-Hong; Liu Kuo-Yen; Chang Hsin-Chun; Lee Tzu-Li; Lee Yu-Ching; Wang Yih-Ching |
11302663 | Film scheme for bumping | Chang Yao-Wen; Hsu Chern-Yow; Tsai Cheng-Yuan; Thei Kong-Beng |
11302683 | Optical signal processing package structure | Pan Chih-Chien; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei |
11302691 | High voltage integration for HKMG technology | Thei Kong-Beng; Chou Chien-Chih; Fan Fu-Jier; Tuan Hsiao-Chin; Chen Yi-Huan; Kalnitsky Alexander; Chen Yi-Sheng |
11302692 | Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same | Lin Ta-Chun; Pan Kuo-Hua; Liaw Jhon Jhy; Wu Shien-Yang |
11302693 | Semiconductor device structure and methods of forming the same | You Jia-Chuan; Ju Shi-Ning; Chiang Kuo-Cheng; Wang Chih-Hao |
11302695 | Method for forming integrated semiconductor device with 2D material layer | Peng Cheng-Yi; Lu Chun-Chieh; Hsiao Meng-Hsuan; Yeh Ling-Yen; Diaz Carlos H.; Lee Tung-Ying |
11302701 | Three-dimensional static random access memory device structures | Huang Chien-Yu; Chen Chien-Yuan; Shieh Hau-Tai |
11302730 | Polarizers for image sensor devices | Cheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng |
11302734 | Deep trench isolation structures resistant to cracking | Wu Ming-Chi; Fang Chun-Chieh; Su Bo-Chang; Tu Chien Nan; Yeh Yu-Lung; Lin Kun-Yu; Chen Shih-Shiung |
11302738 | Image sensor with improved quantum efficiency surface structure | Cheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng; Huang Hsun-Ying; Hsu Shih-Hsun |
11302782 | In-situ straining epitaxial process | Chen Hsiu-Ting; Huang Yi-Ming; Chang Shih-Chieh; Chen Hsing-Chi; Jeng Pei-Ren |
11302784 | Semiconductor device having contact feature and method of fabricating the same | Wu Xusheng; Liu Chang-Miao; Leung Ying-Keung; Shang Huiling; Lin Youbo |
11302787 | Integrated circuit layouts with source and drain contacts of different widths | Ciou Shang-Syuan; Zhuang Hui-Zhong; Yang Jung-Chan; Tien Li-Chun |
11302792 | Fabrication of gate all around device | Wang Yung-Chih; Liao Yu-Chieh; Yang Tai-I; Chen Hsin-Ping |
11302793 | Transistor gates and method of forming | Lee Hsin-Yi; Chen Ji-Cheng; Hung Cheng-Lung; Chui Chi On |
11302796 | Method of forming self-aligned source/drain metal contacts | Wang Pei-Hsun; Chiang Kuo-Cheng; Wang Chih-Hao |
11302798 | Semiconductor devices with air gate spacer and air gate cap | Chuang Cheng-Chi; Huang Lin-Yu; Chang Chia-Hao; Lin Yu-Ming; Lo Ting-Ya; Teng Chi-Lin; Huang Hsin-Yen; Chen Hai-Ching |
11302801 | Semiconductor device | Vellianitis Georgios |
11302802 | Parasitic capacitance reduction | Wang Jia-Heng; Chen Chun-Han; Wu I-Wen; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11302804 | Devices having a semiconductor material that is semimetal in bulk and methods of forming the same | Colinge Jean-Pierre; Diaz Carlos H; Yeo Yee-Chia |
11302809 | Semiconductor device and manufacturing method thereof | Chen Zheng-Long |
11302816 | Semiconductor structure and method for forming the same | Huang Yuan-Sheng; Chen Ryan Chia-Jen |
11302818 | Gate resistance reduction through low-resistivity conductive layer | Wu Chung-Chiang; Chen Po-Cheng; Huang Kuo-Chan; Chung Hung-Chin; Lee Hsien-Ming; Chen Chien-Hao |
11302820 | Localized protection layer for laser annealing process | Duriez Blandine; Van Dal Marcus Johannes Henricus; Holland Martin Christopher; Doornbos Gerben; Vellianitis Georgios |
11302825 | Self-aligned spacers for multi-gate devices and method of fabrication thereof | Ching Kuo-Cheng; Ju Shi Ning; Chen Guan-Lin; Cheng Kuan-Lun; Wang Chih-Hao |
11302864 | Semiconductor device with asymmetrical pinned magnets, and method of manufacture | Song Mingyuan; Yu Chwen; Lin Shy-Jay |
11302865 | Phase-change memory with two-portioned phase-change layer | Wu Jau-Yi |
11303292 | Analog to digital converter with current steering stage | Kinyua Martin; Soenen Eric |
11304290 | Semiconductor structures and methods | Yu Chen-Hua; Lin Yung-Chi; Chiou Wen-Chih |
11305980 | Anti-stiction process for MEMS device | Weng Jui-Chun; Sanagavarapu Lavanya; Hu Ching-Hsiang; Wu Wei-Ding; Cheng Shyh-Wei; Chiang Ji-Hong; Chen Hsin-Yu; Hsu Hsi-Cheng |
11307489 | EUV photomask and manufacturing method of the same | Hsu Feng Yuan; Shen Tran-Hui; Hsu Ching-Hsiang |
11307492 | Method for forming photomask and photolithography method | Chang Shih-Ming; Chen Minfeng; Yang Min-An; Wei Shao-Chi |
11307500 | Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package | Ouyang Christine Y |
11307504 | Humidity control in EUV lithography | Zi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu; Cheng Joy |
11308254 | Method and system for reducing layout distortion due to exposure non-uniformity | Lu Chi-Ta; Liao Chia-Hui; Lin Yihung; Tsai Chi-Ming |
11308255 | Generation of layout including power delivery network | Yang Chung-Chieh; Chen Tai-Yi; Chen Yun-Ru; Peng Yung-Chow |
11308256 | Method of post optical proximity correction (OPC) printing verification by machine learning | Wang Hung-Chun; Tsai Cheng Kun; Huang Wen-Chun; Chien Wei-Chen; Liu Chi-Ping |
11308999 | Boost bypass circuitry in a memory storage device | Fujiwara Hidehiro; Chen Yen-Huei |
11309000 | Systems and methods for controlling power management operations in a memory device | Jain Sanjeev Kumar; Singh Sahil Preet; Katoch Atul |
11309005 | Current steering in reading magnetic tunnel junction | Gupta Gaurav; Wu Zhiqiang; Wang Yih |
11309011 | Method and system for refresh of memory devices | Noguchi Hiroki; Wang Yih |
11309022 | RRAM voltage compensation | Lai Chien-An; Chou Chung-Cheng; Chih Yu-Der |
11309185 | Fin field-effect transistor and method of forming the same | Chiang Tzu Ang; Yeh Ming-Hsi; Lin Chun-Neng; Lian Jian-Jou; Wang Po-Yuan; Chen Chieh-Wei |
11309187 | Methods of forming silicide contact in field-effect transistors | Lin Chun-Hsiung; Chen Shih-Cheng; Wang Chih-Hao; Chang Jung-Hung; Huang Jui-Chien |
11309189 | Fin field effect transistor (FinFET) device structure and method for forming the same | Chen Chang-Yin; Chang Chai-Wei; Liao Chia-Yang; Young Bo-Feng |
11309190 | Semiconductor device and method of manufacture | Lian Jian-Jou; Lin Chun-Neng; Chen Chieh-Wei; Chiang Tzu-Ang; Yeh Ming-Hsi |
11309212 | Semiconductor device structure and methods of forming the same | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11309213 | Method for manufacturing semiconductor structure | Liao Yu-Hsiang; Li Ya-Huei; Chu Li-Wei; Nieh Chun-Wen; Huang Hung-Yi; Chang Chih-Wei; Su Ching-Hwanq |
11309217 | Contact plug and method of formation | Li Ya-Huei; Chu Li-Wei; Liao Yu-Hsiang; Huang Hung-Yi; Chang Chih-Wei; Su Ching-Hwanq |
11309223 | Method of forming semiconductor device package having dummy devices on a first die | Yu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei; Liu Hui-Wen; Yuan Ching-Pin |
11309225 | Fan-out package structure and method of manufacturing the same | Tai Chih-Hsuan; Chen Chih-Hua; Tsai Hao-Yi; Huang Yu-Chih; Liu Chia-Hung; Kuo Ting-Ting |
11309226 | Three-dimensional integrated circuit structures and methods of forming the same | Lin Chia-Min; Hsieh Ching-Hua; Lin Chih-Wei; Chiu Sheng-Hsiang; Weng Sheng-Feng; Lai Yao-Tong |
11309240 | Conductive rail structure for semiconductor devices | Liao Yi-Bo; Lee Wei Ju; Chung Cheng-Ting; Chen Hou-Yu; Cheng Chun-Fu; Cheng Kuan-Lun |
11309241 | Protection liner on interconnect wire to enlarge processing window for overlying interconnect via | Yang Shin-Yi; Huang Hsin-Yen; Lee Ming-Han; Shue Shau-Lin; Chan Yu-Chen; Lu Meng-Pei |
11309242 | Package component, semiconductor package and manufacturing method thereof | Liang Fang-Yu; Wu Kai-Chiang |
11309243 | Package having different metal densities in different regions and manufacturing method thereof | Chen Hsien-Wei; Chen Jie; Chen Ming-Fa; Jan Sen-Bor |
11309244 | Electrical fuse structure and method of formation | Wu Shien-Yang; Kung Wei-Chang |
11309247 | Semiconductor device, and associated method and system | Peng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng |
11309258 | Semiconductor structure | Wu Tung-Jiun; Lu Yinlung; Chang Mingni; Wang Ming-Yih |
11309265 | Methods of fabricating semiconductor devices having conductive pad structures with multi-barrier films | Huang Po-Hsun; Wang Po-Han; Lee Ing-Ju; Chen Chao-Lung; Wu Cheng-Ming |
11309268 | Method of designing a layout, method of making a semiconductor structure and semiconductor structure | Singh Gulbagh; Lee Chih-Ming; Lin Chi-Yen; Kuo Wen-Chang; Liu C. C. |
11309289 | Integrated circuit package having heat dissipation structure | Chen Ming-Fa; Chen Hsien-Wei; Yu Chen-Hua |
11309291 | Die stack structure and manufacturing method thereof | Chen Hsien-Wei; Chen Jie; Chen Ming-Fa; Yeh Sung-Feng; Chen Ying-Ju |
11309294 | Integrated fan-out packages and methods of forming the same | Yu Chen-Hua; Pan Kuo Lung; Kuo Tin-Hao; Tsai Hao-Yi; Lin Hsiu-Jen; Pei Hao-Jan; Hsieh Ching-Hua |
11309302 | Manufacturing method of semiconductor package including thermal conductive block | Chen Shih-Wei; Chen Chih-Hua; Pan Hsin-Yu; Tsai Hao-Yi; Chuang Lipu Kris; Kuo Tin-Hao |
11309306 | Stack-gate circuit | Yang Yu-Tao; Chou Wen-Shen; Peng Yung-Chow |
11309307 | Integrated circuit filler and method thereof | Lo Tseng Chin; Chang Molly; Tseng Ya-Wen; Sun Chih-Ting; Li Zi-Kuan; Chang Bo-Sen; Lin Geng-He |
11309311 | Methods of resistance and capacitance reduction to circuit output nodes | Lai Po-Chia; Fang Shang-Wei; Shen Meng-Hung; Tzeng Jiann-Tyng; Chiang Ting-Wei; Yang Jung-Chan; Rusu Stefan |
11309342 | Dummy vertical transistor structure to reduce cross talk in pixel sensor | Tsao Tsun-Kai; Lu Jiech-Fun; Chou Shih-Pei |
11309347 | Integrated circuit photodetector | Hsu Chun-Wei; Hung Tsai-Hao; Lin Chung-Yu; Chen Ying-Hsun |
11309348 | High density image sensor | Takahashi Seiji; Wang Chen-Jong; Yaung Dun-Nian; Sze Jhy-Jyi; Huang Yimin |
11309353 | Spacer-defined back-end transistor as memory selector | Goto Ken-Ichi; Lin Chung-Te; Manfrini Mauricio |
11309385 | Strained nanowire CMOS device and method of forming | Peng Cheng-Yi; Chiang Hung-Li; Yang Yu-Lin; Yeh Chih Chieh; Yeo Yee-Chia; Liu Chi-Wen |
11309396 | Semiconductor device and manufacturing method thereof | Wu Wei-Hao; Lin Zhi-Chang; Hsu Ting-Hung; Cheng Kuan-Lun |
11309398 | Semiconductor device and manufacturing method for the semiconductor device | Peng Chun-Yen; Lai Te-Yang; Young Bo-Feng; Chang Chih-Yu; Yeong Sai-Hooi; Chui Chi On |
11309403 | Fin field-effect transistor device and method of forming the same | Lin Shih-Yao; Kao Kuei-Yu; Lin Chih-Han; Chang Ming-Ching; Chen Chao-Cheng |
11309417 | Method of manufacturing a semiconductor device and a semiconductor device | Duriez Blandine; van Dal Mark; Holland Martin Christopher; Doornbos Gerben |
11309418 | Contact structure for FinFET semiconductor device | Li Kun-Mu; Chen Liang-Yi; Hsiao Wen-Chu |
11309419 | Semiconductor device and manufacturing method thereof | Hsu Chao-Wei |
11309423 | Fin field effect transistor (finFET) device structure and method for forming the same | Chen Wei-Barn; Kuo Ting-Huang; Jangjian Shiu-Ko; Jeng Chi-Cherng |
11309424 | Semiconductor device and manufacturing method thereof | Lin Zhi-Chang; Chen Shih-Cheng; Chang Jung-Hung; Chang Lo-Heng; Yao Chien-Ning |
11309489 | Magnetic tunnel junction with low defect rate after high temperature anneal for magnetic device applications | Liu Huanlong; Lee Yuan-Jen; Zhu Jian; Jan Guenole; Wang Po-Kang |
11309490 | Memory devices and methods of forming the same | Wu Chao-I |
11309491 | Data storage structure for improving memory cell reliability | Trinh Hai-Dang; Wu Chii-Ming; Tsai Cheng-Yuan; Tsai Tzu-Chung; Jiang Fa-Shen |
11309492 | Multi-layer structure to increase crystalline temperature of a selector device | Trinh Hai-Dang |
11309685 | Techniques for vertical cavity surface emitting laser oxidation | Chen Chen Yu; Liu Ming Chyi; Chen Jhih-Bin |
11312615 | Method to form a rough crystalline surface | Chen Ting-Jung |
11312623 | Semiconductor structure for MEMS device | Liu Yu-Chia; Chu Chia-Hua; Cheng Chun-Wen; Peng Jung-Huei |
11312882 | CMP slurry solution for hardened fluid material | Lin Kuo-Yin; Liu Wen-Kuei; Tsai Teng-Chun; Lee Shen-Nan; Lien Kuo-Cheng; Lin Chang-Sheng; Chou Yu-Wei |
11314164 | Structure and method of reticle pod having inspection window | Shih Wang Cheng; Chang Hao-Ming; Huang Chung-Yang; Lin Cheng-Ming |
11314169 | Robust, high transmission pellicle for extreme ultraviolet lithography systems | Lin Yun-Yue |
11314914 | Method and non-transitory computer readable medium of operating an electronic design automation platform for an optimal intgrated circuit design | Yang Tsun-Yu; Fu Ren-Hong; Kuo Chin-Cheng; Kuan Jui-Feng |
11315785 | Epitaxial blocking layer for multi-gate devices and fabrication methods thereof | Chiang Hsin-Che; Kao Wei-Chih; Liang Chun-Sheng; Pan Kuo-Hua |
11315805 | Cross-wafer RDLs in constructed wafers | Yu Chen-Hua; Kuo Tin-Hao |
11315810 | Apparatus for wafer processing | Tseng Tung-Ching; Yang Sung-Po; Lee Feng-Tao; Chen Shih Fang |
11315815 | Wafer container and method for holding wafer | Tseng Po-Hsun; Liu Yan-Hong; Tan Wen-Han; Chen Hung-Wen |
11315828 | Metal oxide composite as etch stop layer | Cheng Kai-Fang; Teng Chi-Lin; Huang Hsin-Yen; Chen Hai-Ching |
11315829 | Amorphous layers for reducing copper diffusion and method forming same | Lin Jyh-Nan; Wu Chia-Yu; Hsu Kai-Shiung; Liu Ding-I |
11315834 | FinFETs with epitaxy regions having mixed wavy and non-wavy portions | More Shahaji B. |
11315837 | Semiconductor device and method | Lee Yi-Jing; Yang Tsung-Hsi; Yu Ming-Hua |
11315838 | FinFET device and method of forming same | Lin Chien; Lee Kun-Yu; More Shahaji B.; Lee Cheng-Han; Chang Shih-Chieh |
11315855 | Package structure with photonic die and method | Chen Hsien-Wei; Chen Ming-Fa; Chen Ying-Ju |
11315860 | Semiconductor package and manufacturing process thereof | Chu Li-Huan; Chen Hsu-Hsien; Lin Liang-Chen; Hsieh Tsung-Yang; Lee Hsin-Hsien; Tsai Kuen-Hong |
11315861 | Method for forming a homogeneous bottom electrode via (BEVA) top surface for memory | Chen Hsia-Wei; Chu Wen-Ting; Liao Yu-Wen |
11315862 | Semiconductor structure and manufacturing method thereof | Ho Kuan-Lin; Chen Chin-Liang; Wu Jiun-Yi; Yu Chi-Yang; Liang Yu-Min; Chen Wei-Yu |
11315874 | Cell structure with intermediate metal layers for power supplies | Tien Li-Chun; Chen Chih-Liang; Zhuang Hui-Zhong; Chen Shun Li; Chen Ting Yu |
11315878 | Photonics integrated circuit package | Kuo Feng Wei; Jou Chewn-Pu; Chen Shuo-Mao |
11315891 | Methods of forming semiconductor packages having a die with an encapsulant | Tsai Chung-Hao; Lin Chia-Chia; Wu Kai-Chiang; Wang Chuei-Tang; Yu Chen-Hua |
11315896 | Conical-shaped or tier-shaped pillar connections | Kuo Tin-Hao; Chen Chen-Shien; Lii Mirng-Ji; Yu Chen-Hua; Wu Sheng-Yu; Chuang Yao-Chun |
11315900 | Bonded semiconductor devices and methods of forming the same | Yu Chen-Hua; Shao Tung-Liang; Tung Chih-Hang |
11315921 | Integrated circuit with anti-punch through control | Ho Yi-Chen; Lin Chien; Lin Tzu-Wei; Hsieh Ju Ru; Lai Ching-Lun; Lo Ming-Kai |
11315924 | Isolation structure for preventing unintentional merging of epitaxially grown source/drain | Lin Ta-Chun; Yeh Kuan-Lin; Lin Chun-Jun; Pan Kuo-Hua; Chiang Mu-Chi |
11315925 | Uniform gate width for nanostructure devices | Huang Jui-Chien; Chen Shih-Cheng; Wang Chih-Hao; Chiang Kuo-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chang Lo-Heng; Ju Shi Ning; Chen Guan-Lin |
11315931 | Embedded transistor | Ting Yu-Wei; Tsai Chun-Yang; Huang Kuo-Ching |
11315933 | SRAM structure and method for forming the same | Wen Ming-Chang; Hsu Kuo-Hsiu; Tian Jyun-Yu; Wu Wan-Yao; Chang Chang-Yun; Chen Hung-Kai; Hung Lien Jung |
11315936 | Memory device and manufacturing method thereof | Chang Meng-Sheng; Huang Chia-En; Chiu Yi-Hsun; Wang Yih |
11315972 | BSI image sensor and method of forming same | Hsu Hung-Wen; Lu Jiech-Fun; Tu Yeur-Luen; Chen U-Ting; Tsai Shu-Ting; Cheng Hsiu-Yu |
11316023 | Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11316026 | Recessed channel structure in FDSOI | Liu Ming Chyi |
11316030 | Fin field-effect transistor device and method | Chang Che-Lun; Cheng Shiao-Shin; Tsai Ji-Yin; Tsai Yu-Lin; Huang Hsin-Chieh; Wu Ming-Yuan; Kuo Jiun-Ming; Huang Ming-Jie; Wang Yu-Wen; Hsu Che-Yuan |
11316033 | Semiconductor device and manufacturing method thereof | Chen Jin-Dah; Chen Stan |
11316034 | Post-formation mends of dielectric features | Kao Wan-Yi; Lin Hung Cheng; Chang Che-Hao; Lu Yung-Cheng; Chui Chi On |
11316039 | Method for manufacturing semiconductor device | Wu Chao-Hsin; Chang Li-Cheng; Dai Cheng-Jia; Yang Shun-Cheng |
11316046 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B.; Tsai Chun Hsiung |
11316047 | Structure and formation method of semiconductor device with monoatomic etch stop layer | Ko Chung-Ting; Lu Bo-Cyuan; Li Jr-Hung; Chui Chi-On |
11316096 | Memory device | Chuang Harry-Hak-Lay; Wang Hung Cho; Ong Tong-Chern; Chu Wen-Ting; Liao Yu-Wen; Shen Kuei-Hung; Tu Kuo-Yuan; Huang Sheng-Huang |
11316098 | High thermal stability by doping of oxide capping layer for spin torque transfer (STT) magnetic random access memory (MRAM) applications | Jan Guenole; Iwata Jodi Mari; Tong Ru-Ying; Lui Huanlong; Lee Yuan-Jen; Zhu Jian |
11316103 | Combined physical and chemical etch to reduce magnetic tunnel junction (MTJ) sidewall damage | Shen Dongna; Wang Yu-Jen; Tong Ru-Ying; Sundar Vignesh; Patel Sahil |
11316473 | Oscillator circuit, device, and method | Lin Chi-Hsien; Chen Ho-Hsiang; Liao Hsien-Yuan; Yeh Tzu-Jin; Lu Ying-Ta |
11316548 | Channel loss compensation circuits | Cho Lan-Chou; Jou Chewn-Pu; Kuo Feng Wei; Chen Huan-Neng; Shen William Wu |
11318506 | Apparatus for cleaning semiconductor equipment | Liu Shih-Kuo; Chang Chia-Hsun; Koai Keith Kuang-Kuo; Cheah Wai Hong; Hung Ming-Chuan |
11318579 | Multiple nozzle slurry dispense scheme | Hsieh Chih-Hsuan; Huang Tseng-Hsuan; Liao Chen-Hsiang |
11320395 | BioFET and method of manufacturing the same | Lin Ching-Hui; Cheng Chun-Ren; Huang Jui-Cheng; Huang Shih-Fen; Chen Tung-Tsun; Huang Yu-Jie; Huang Fu-Chun |
11320733 | Reticle with conductive material structure | Chang Hsiao-Lun; Kuo Chueh-Chi; Lee Tsung-Yen; Fu Tzung-Chi; Chen Li-Jui; Cheng Po-Chung; Hsu Che-Chang |
11320738 | Pattern formation method and material for manufacturing semiconductor devices | Wang Chien-Wei; Chang Ching-Yu; Chang Shang-Wern; Chen Yen-Hao |
11320742 | Method and system for generating photomask patterns | Hu Yen-Tung; Chen Kuan-Chi; Wu Ya-Hsuan; Lin Shiuan-Li; Huang Chih-Chung; Tsai Chi-Ming |
11320744 | Method and apparatus for controlling extreme ultraviolet light | Chen Ssu-Yu; Chien Shang-Chieh; Chen Li-Jui |
11320747 | Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device | Yu Shinn-Sheng; Liu Ru-Gun; Huang Hsu-Ting; Yamazoe Kenji; Chen Minfeng; Chou Shuo-Yen; Lin Chin-Hsiang |
11322185 | Active random access memory | Chen Hsin-Cheng; Jiang Jung-Rung; Huang Yen-Hao |
11322188 | Memory device having bitline segmented into bitline segments and related method for operating memory device | Lu Shih-Lien Linus; Chang Fong-Yuan; Shih Yi-Chun |
11322195 | Compute in memory system | Sinangil Mahmut |
11322198 | Multi word line assertion | Fujiwara Hidehiro; Pan Hsien-Yu; Lin Chih-Yu; Chen Yen-Huei; Zhao Wei-Chang |
11322338 | Sputter target magnet | He Ming-Jie; Yang Shawn; Lo Szu-Hsien; Tseng Shuen-Liang; Cheng Wen-Cheng; Chung Chen-Fang; Hsueh Chia-Lin; Chuang Kuo-Pin |
11322345 | Post-CMP cleaning and apparatus | Huang Fu-Ming; Chen Liang-Guang; Chang Ting-Kui; Lin Chun-Chieh |
11322360 | Method of manufacturing semiconductor structure | Hu Yu-Hsiang; Chen Wei-Yu; Kuo Hung-Jui; Lin Wei-Hung; Cheng Ming-Da; Liu Chung-Shi |
11322362 | Landing metal etch process for improved overlay control | Hsiao Chih-Min; Lai Chih-Ming; Lai Chien-Wen; Chang Ya Hui; Liu Ru-Gun |
11322391 | Interconnect structure without barrier layer on bottom surface of via | Kuo Tz-Jun; Ho Chien-Hsin; Lee Ming-Han |
11322393 | Method of forming a semiconductor device | Su Yi-Nien; Suen Shu-Huei; Shieh Jyu-Horng; Liu Ru-Gun |
11322394 | Contact formation method and related structure | Wang Chao-Hsun; Hsueh Wang-Jung; Chao Kuo-Yi; Wang Mei-Yun |
11322395 | Dielectric capping structure overlying a conductive structure to increase stability | Huang Hsin-Yen; Teng Chi-Lin; Chen Hai-Ching; Shue Shau-Lin; Lee Shao-Kuan; Lee Cheng-Chin; Lo Ting-Ya |
11322396 | Etch stop layer for semiconductor devices | Tung Szu-Ping; Wang Jen Hung; Pan Shing-Chyang |
11322397 | Method of manufacturing semiconductor devices including formation of adhesion enhancement layer | Chen Hsiao-Min; Lin Jyh-Nan; Hsu Kai-Shiung; Liu Ding-I |
11322409 | Multi-gate devices and method of fabricating the same | Chang Lo-Heng; Wang Chih-Hao; Chiang Kuo-Cheng; Chang Jung-Hung; Wang Pei-Hsun |
11322410 | Threshold voltage tuning for fin-based integrated circuit device | Cheng Chung-Liang; Chen Wei-Jen; Chen Yen-Yu; Lin Ming-Hsien |
11322411 | Pre-deposition treatment for FET technology and devices formed thereby | Tsai Cheng-Yen; Wu Chung-Chiang; Hwang Tai-Wei; Chung Hung-Chin; Lee Wei-Chin; Lee Da-Yuan; Su Ching-Hwanq; Chuang Yin-Chuan; Liu Kuan-Ting |
11322412 | Forming nitrogen-containing low-K gate spacer | Kao Wan-Yi; Ko Chung-Chi |
11322419 | Package with tilted interface between device die and encapsulating material | Chiu Ming-Yen; Huang Hsin-Chieh; Chang Ching Fu |
11322421 | Package structure and method of forming the same | Chang Mao-Yen; Lin Chih-Wei; Tsai Hao-Yi; Pan Kuo-Lung; Lin Chun-Cheng; Kuo Tin-Hao; Lai Yu-Chia; Tai Chih-Hsuan |
11322443 | Standard cell layout for better routability | Zohrabyan Tigran; Shin YangJae; Bregman Konstantin; Villanueva Rolando A.; Sun Yunle |
11322447 | Dual-sided routing in 3D SiP structure | Tsai Po-Hao; Chuang Po-Yao; Lin Meng-Liang; Wu Yi-Wen; Jeng Shin-Puu; Wong Techi |
11322449 | Package with fan-out structures | Jeng Shin-Puu; Tsai Po-Hao; Chuang Po-Yao; Wong Techi |
11322450 | Chip package and method of forming the same | Hu Yu-Hsiang; Yu Chen-Hua; Kuo Hung-Jui |
11322453 | Semiconductor package having channels formed between through-insulator-vias | Hsu Sen-Kuei |
11322464 | Film structure for bond pad | Yang Julie; Wu Chii-Ming; Tsai Tzu-Chung; Chang Yao-Wen |
11322470 | Optical semiconductor package and method for manufacturing the same | Wang Chuei-Tang; Chang Chih-Chieh; Liao Yu-Kuang; Hsia Hsing-Kuo; Chang Chih-Yuan; Hsieh Jeng-Shien; Yu Chen-Hua |
11322477 | Package structure and method of fabricating the same | Chen Ming-Fa; Wu Nien-Fang; Yeh Sung-Feng; Liu Tzuan-Horng; Shih Chao-Wen |
11322479 | Semiconductor packages and manufacturing methods thereof | Liu Zi-Jheng; Kuo Chen-Cheng; Kuo Hung-Jui |
11322481 | Hybrid bonding technology for stacking integrated circuits | Wu Kuo-Ming; Wang Ching-Chun; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Kao Min-Feng; Lin Yung-Lung; Huang Shih-Han; Chen I-Nan |
11322493 | Method (and related apparatus) for forming a semiconductor device with reduced spacing between nanostructure field-effect transistors | Lin Zhi-Chang; Su Huan-Chieh; Chiang Kuo-Cheng |
11322495 | Complementary metal-oxide-semiconductor device and method of manufacturing the same | Vellianitis Georgios |
11322505 | Ferroelectric random access memory devices and methods | Young Bo-Feng; Yeong Sai-Hooi; Chui Chi On |
11322519 | Semiconductor device and method of fabricating the same | Doornbos Gerben; Manfrini Mauricio |
11322540 | Pad structure for front side illuminated image sensor | Hsu Kai-Chun; Wang Ching-Chun; Yaung Dun-Nian; Lin Jeng-Shyan; Ting Shyh-Fann |
11322543 | Method for MRAM top electrode connection | Chuang Harry-Hak-Lay; Wang Hung Cho; Chen Sheng-Chang; Huang Sheng-Huang |
11322576 | Inductive device | Chou Wei-Yu; Chen Yang-Che; Lin Chen-Hua; Liang Victor Chiang; Tseng Huang-Wen; Liu Chwen-Ming |
11322577 | Negative capacitance FET with improved reliability performance | Lu Chun-Chieh; Peng Cheng-Yi; Lee Chien-Hsing; Yeh Ling-Yen; Chang Chih-Sheng; Diaz Carlos H. |
11322580 | Titanium layer as getter layer for hydrogen in a MIM device | Shih Chi-Yuan; Chang Kai-Fung; Huang Shih-Fen; Liao Yan-Jie |
11322603 | Anti-punch-through doping on source/drain region | Chang Hsiao-Chun; Shen Guan-Jie |
11322609 | High voltage device | Wang Hung-Sen; Tsai Yun-Ta; Liu Ruey-Hsin; Huang Shih-Fen; Liou Ho-Chun |
11322618 | Semiconductor device and manufacturing method thereof | Chang Che-Cheng; Lin Chih-Han |
11322619 | Semiconductor device structure and method for forming the same | Lin Yu-Chao; Yun Wei-Sheng; Lee Tung-Ying |
11322680 | MRAM cell, MRAM and IC with MRAM | Yuh Perng-Fei; Wang Yih |
11323068 | Oscillator circuit and device | Lin Chi-Hsien; Chen Ho-Hsiang; Liao Hsien-Yuan; Yeh Tzu-Jin; Lu Ying-Ta |
11327228 | Photonic device and fabrication method thereof | Hsu Sui-Ying; Lee Yueh-Ying; Wu Chien-Ying; Huang Chen-Hao; Lee Chien-Chang; Lai Chia-Ping |
11327405 | Method of manufacturing photo masks | Chen Chien-Cheng; Chen Chia-Jen; Lee Hsin-Chang; Chang Shih-Ming; Shen Tran-Hui; Ho Yen-Cheng; Hsu Chen-Shao |
11327860 | Memory device and methods for programming and reading memory device | Chih Yu-Der; Chang Jonathan Tsung-Yung |
11328762 | Write driver boost circuit for memory cells | Jain Sanjeev Kumar |
11328788 | Method and system for replacement of memory cells | Noguchi Hiroki |
11328931 | Method of manufacturing a semiconductor device | Lin En-Ping; Ko Yu-Ling; Wang I-Chung; Chen Yi-Jen; Jou Sheng-Kai; Liao Chih-Teng |
11328936 | Structure and formation method of package structure with underfill | Huang Kuan-Yu; Huang Sung-Hui; Lai Jui-Hsieh; Hou Shang-Yun |
11328952 | Interconnect structure and method | Chou Chia-Cheng; Chi Chih-Chien; Ko Chung-Chi; Chang Yao-Jen; Kao Chen-Yuan; Kuo Kai-Shiang; Shih Po-Cheng; Lee Tze-Liang; Ruan Jun-Yi |
11328957 | Semiconductor device and manufacturing method thereof | Peng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng |
11328958 | Semiconductor device having planar transistor and FinFET | Chen Wei-Barn; Kuo Ting-Huang; Jangjian Shiu-Ko; Jeng Chi-Cherng; Lo Kuang-Yao |
11328959 | Semiconductor structure and related methods | Chou Hung-Jiu; Peng Yuan-Ching; Kuo Jiun-Ming |
11328960 | Semiconductor structure with gate-all-around devices and stacked FinFET devices | Chu Feng-Ching; Lee Wei-Yang; Lin Chia-Pin |
11328962 | Notched gate structure fabrication | Chen Chang-Yin; Chang Che-Cheng; Lin Chih-Han |
11328963 | Multi-gate device and related methods | Pan Kuan-Ting; Su Huan-Chieh; Lin Zhi-Chang; Ju Shi Ning; Jhan Yi-Ruei; Chiang Kuo-Cheng; Wang Chih-Hao |
11328965 | Systems and methods for suction pad assemblies | Chao Yu-Hsiang; Lei Chi-Ping |
11328971 | Semiconductor device and method of manufacture | Yeh Shu-Shen; Lin Po-Yao; Leu Shyue-Ter; Jeng Shin-Puu; Huang Chih-Kung; Yeh Tsung-Ming |
11328972 | Temporary bonding scheme | Lee Wan-Yu; Kuo Ying-Hao; Yee Kuo-Chung |
11328975 | Semiconductor device | Yu Chen-Hua; Yu Chun-Hui; Hung Jeng-Nan; Yee Kuo-Chung; Lin Po-Fan |
11328982 | Air gap seal for interconnect air gap and method of fabricating thereof | Wu Xusheng; Lin Youbo |
11328990 | Via structure having a metal hump for low interface resistance | Yu Li-Zhen; Huang Lin-Yu; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11328991 | Semiconductor structure and method making the same | Huang Hsin-Yen; Cheng Kai-Fang; Teng Chi-Lin; Chen Hai-Ching; Bao Tien-I |
11329006 | Semiconductor device package with warpage control structure | Yew Ming-Chih; Li Fu-Jen; Lin Po-Yao; Liu Kuo-Chuan |
11329008 | Method for manufacturing semiconductor package for warpage control | Chen Chen-Shien; Cheng Ming-Da; Yew Ming-Chih; Su Yu-Tse |
11329022 | Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices | Yang Ching-Jung; Wang Yen-Ping |
11329031 | Structure and formation method for chip package | Hung Jui-Pin; Huang Cheng-Lin; Liu Hsien-Wen; Jeng Shin-Puu |
11329042 | Gate structures having neutral zones to minimize metal gate boundary effects and methods of fabricating thereof | Pao Chia-Hao; Chen Chih-Hsuan; Hung Lien Jung; Lin Shih-Hao |
11329043 | Semiconductor device with improved device performance | Wang Chih-Hao; Cao Min; Chang Shang-Wen |
11329083 | Wafer level image sensor package | Wu Wen-Hau; Chuang Chun-Hao; Hashimoto Kazuaki; Chou Keng-Yu; Chiang Wei-Chieh; Huang Cheng Yu |
11329094 | Image sensor | Tsai Bo-Tsung |
11329101 | Method and related apparatus for improving memory cell performance in semiconductor-on-insulator technology | Liu Jack; Young Charles Chew-Yuen |
11329124 | Semiconductor device structure with magnetic element | Ku Chin-Yu; Chen Chi-Cheng; Huang Hon-Lin; Huang Wei-Li; Wu Chun-Yi; Chen Chen-Shien |
11329125 | Integrated circuit including trench capacitor | Kuo Wen-Feng; Tseng Chung-Chuan; Lai Chia-Ping |
11329128 | High voltage device with gate extensions | Chen Jhih-Bin; Liu Ming Chyi |
11329139 | Semiconductor device with reduced trap defect and method of forming the same | Tsai Chun Hsiung; Yu Kuo-Feng; Lin Yu-Ming; Wann Clement Hsingjen |
11329140 | Semiconductor device and method of manufacture | Huang Chen-Huang; Sie Ming-Jhe; Chang Cheng-Chung; Hsu Shao-Hua; Jang Shu-Uei; Wei An Chyi; Wang Shiang-Bau; Chen Ryan Chia-Jen |
11329141 | Spacer structure with high plasma resistance for semiconductor devices | Kao Wan-Yi; Ko Chung-Chi |
11329148 | Semiconductor device having doped seed layer and method of manufacturing the same | Chen Chi-Ming; Liu Po-Chun; Yu Chung-Yi; Tsai Chia-Shiung; Lee Ru-Liang |
11329159 | Strained structure of a semiconductor device | Cheng Chun-Fai; Fung Ka-Hing; Huang Li-Ping; Lu Wei-Yuan |
11329160 | FinFET gate structure | Wu Cheng-Ta; Jangjian Shiu-Ko; Sun Chung-Ren; Chen Ming-Te; Wang Ting-Chun; Cheng Jun-Jie |
11329163 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B.; Tsai Chun Hsiung |
11329165 | Structure and formation method of semiconductor device with isolation structure | Chiang Kuo-Cheng; Su Huan-Chieh; Pan Kuan-Ting; Ju Shi-Ning; Wang Chih-Hao |
11329168 | Semiconductor device with fish bone structure and methods of forming the same | Yang Chih-Chuan; Hsu Kuo-Hsiu |
11329216 | Magnetic tunnel junction devices | Tien Hsi-Wen; Liao Wei-Hao; Dai Pin-Ren; Lu Chih-Wei; Lee Chung-Ju |
11329218 | Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices | Yang Yi; Wang Yu-Jen |
11329221 | Electrode structure to improve RRAM performance | Chu Wen-Ting; Ong Tong-Chern; Wang Ying-Lang |
11329647 | Radio frequency switch circuit | Liang Garming; Yeh En-Hsiang |
11329659 | Hybrid analog-to-digital converter with inverter-based residue amplifier | Kinyua Martin; Soenen Eric |
11333708 | Built-in self test circuit for measuring phase noise of a phase locked loop | Chou Mao-Hsuan; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien |
11333827 | Protective ring structure to increase waveguide performance | Chang Yung-Chang; Lin Meng-Han |
11333983 | Light source, EUV lithography system, and method for generating EUV radiation | Yang Chi; Chen Ssu-Yu; Chien Shang-Chieh; Hsieh Chieh; Fu Tzung-Chi; Liu Bo-Tsun; Chen Li-Jui; Cheng Po-Chung |
11334080 | Systems and methods for raised floor automated sensor vehicles | Hu Cheng-Kang; Chen Cheng-Hung; Chen Yan-Han; Wu Feng-Kuang; Liu Hsu-Shui; Pai Jiun-Rong; Kuo Shou-Wen |
11334703 | Integrated circuit layouts with fill feature shapes | Yeh Yu-Cheng; Wang Yen-Sen; Lin Ming-Yi |
11335424 | One-time programmable memory bit cell | Chang Meng-Sheng; Yang Yao-Jen; Wu Min-Shin |
11335552 | Structure and formation method of semiconductor device with oxide semiconductor channel | Chang Chih-Yu; Yeong Sai-Hooi; Lin Yu-Ming |
11335553 | Bonded semiconductor structures | Lin Jing-Cheng |
11335562 | Self-aligned contact and manufacturing method thereof | Tang Bang-Tai; Huang Tai-Chun |
11335579 | Method for manufacturing a semiconductor package and method for testing bonding strength of composite specimen | Wang Chih; Kuo Hung-Jui; Tsai Hui-Jung |
11335585 | Vacuum wafer chuck for manufacturing semiconductor devices | Liao Chung-Hsien; Hsieh Chin-Shen |
11335589 | Semiconductor device and method of manufacture | Hsu Yao-Wen; Ku Ming-Che; Yang Neng-Jye; Wang Yu-Wen |
11335592 | Contact resistance between via and conductive line | Chen Chun-Yuan; Chiu Shih-Chuan; You Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming |
11335593 | Interconnect structure of semiconductor device including barrier layer located entirely in via | Shen Bo-Jhih; Chiu Yi-Wei; Chang Hung Jui |
11335596 | Selective deposition for integrated circuit interconnect structures | Huang Hsin-Yen; Lee Shao-Kuan; Lee Cheng-Chin; Chen Hai-Ching; Shue Shau-Lin |
11335603 | Multi-layered insulating film stack | Wang Chieh-Ping; Chen Ting-Gang; Lu Bo-Cyuan; Huang Tai-Chun; Chui Chi On |
11335604 | Method of manufacturing a semiconductor device and a semiconductor device | Cheng Chao-Ching; Chen I-Sheng; Chiang Hung-Li; Chen Tzu-Chiang; Chang Kai-Tai |
11335606 | Power rails for stacked semiconductor device | Yang Chansyun David; Chang Keh-Jeng; Yang Chan-Lon |
11335610 | Semiconductor structure including interconnection to probe pad with probe mark and method of manufacturing the same | Chen Hsien-Wei; Yang Ching-Jung; Chen Jie |
11335634 | Chip package structure and method for forming the same | Chen Yu-Huan; Hsu Kuo-Ching; Chen Chen-Shien |
11335638 | Reducing RC delay in semiconductor devices | Singh Gulbagh; Chuang Kun-Tsang; Wang Po-Jen |
11335654 | Devices and methods for enhancing insertion loss-performance of an antenna switch | Jin Jun-De |
11335655 | Package structure and manufacturing method thereof | Wan Albert; Shih Chao-Wen; Chang Shou-Zen; Chuang Nan-Chin |
11335656 | Semiconductor device and method of manufacturing | Hu Chih-Chia; Yuan Ching-Pin; Yeh Sung-Feng; Jan Sen-Bor; Chen Ming-Fa |
11335658 | Multi-chip package and method of formation | Lin Jing-Cheng; Yu Chen-Hua; Hung Jui-Pin; Yeh Der-Chyang |
11335666 | Memory device and manufacturing method thereof | Huang Ching-Yu; Pu Han-Ping; Liu Ming-Kai; Ko Ting-Chu; Chiang Yung-Ping; Huang Chang-Wen; Hsieh Yu-Sheng |
11335672 | Semiconductor structure and manufacturing method thereof | Lu Hsiang-Tai; Chen Shuo-Mao; Wang Mill-Jer; Hsu Feng-Cheng; Yang Chao-Hsiang; Jeng Shin-Puu; Hong Cheng-Yi; Lin Chih-Hsien; Chen Dai-Jang; Lin Chen-Hua |
11335681 | Fin-type field effect transistor structure and manufacturing method thereof | Chang Che-Cheng; Lin Chih-Han |
11335716 | Photosensing pixel, image sensor and method of fabricating the same | Huang Sin-Yao; Hung Feng-Chi; Lin Chen-Hsien; Hsu Tzu-Hsuan; Lu Yan-Chih |
11335721 | Backside illuminated image sensor device with shielding layer | Chien Volume; Chang Su-Hua; Wei Chia-Yu; Huang Zen-Fong; Jeng Chi-Cherng |
11335726 | Lens structure configured to increase quantum efficiency of image sensor | Lu Jiech-Fun; Kuo Chun-Tsung |
11335767 | Package structure and method of fabricating the same | Yu Chen-Hua; Wang Chuei-Tang; Tang Tzu-Chun; Chen Wei-Ting; Chen Chieh-Yen |
11335774 | Contact structure for semiconductor device and method | Tsai Yan-Ming; Chang Chih-Wei; Tsai Ming-Hsing; Lin Sheng-Hsuan; Chen Hung-Hsu; Loh Wei-Yip |
11335776 | Hybrid channel semiconductor device and method | Wang Pei-Yu; Wang Pei-Hsun |
11335784 | Field plate structure for high voltage device | Ho Chia-Cheng; Lu Hui-Ting; Wang Pei-Lun; Jong Yu-Chang; Jhou Jyun-Guan |
11335786 | Gate structure in high-κ metal gate technology | Wu Wei Cheng; Kalnitsky Alexander; Lo Shih-Hao; Ko Hung-Pin |
11335806 | Semiconductor device structure and method for forming the same | Yeong Sai-Hooi; Chui Chi-On; Yao Chien-Ning |
11335809 | Stacked Gate-All-Around FinFET and method forming the same | Chiang Kuo-Cheng; Liu Chi-Wen; Leung Ying-Keung |
11335811 | Semiconductor arrangement comprising buffer layer and semiconductor columns over the buffer layer and formation thereof | Vellianitis Georgios |
11335817 | Composite etch stop layers for sensor devices | Lin Cheng-Han; Chang Chao-Ching; Lin Yi-Ming; Chou Yen-Ting; Chen Yen-Chang; Li Sheng-Chan; Chou Cheng-Hsien |
11336174 | Charge pump system with low ripple output voltage | Chou Chung-Cheng; Wang Tien-Yen |
11336183 | Multi-phase voltage regulator system | Kinder Russell |
11336246 | Amplifier circuit | Lin Wei Shuo |
11336814 | Integrated circuit device | Huang Zen-Fong; Chien Volume |
11338472 | Cutting apparatus with auto chuck cleaning mechanism | Tseng Chih-Min |
11340291 | Testing holders for chip unit and die package | Wang Mill-Jer; Liu Kuo-Chuan; Peng Ching-Nen; Lin Hung-Chih; Chen Hao |
11340524 | Photomask, method of fabricating a photomask, and method of fabricating a semiconductor structure using a photomask | Liu Tzu Han; Wen Chih-Wei; Lin Chung-Hung |
11340525 | Pellicle and method of manufacturing same | Li Po Hsuan; Lin Yu-Ting; Lin Yun-Yue; Yang Huai-Tei |
11340531 | Target control in extreme ultraviolet lithography systems using aberration of reflection image | Cheng Ting-Ya; Chang Han-Lung; Shann Shi-Han; Chen Li-Jui; Su Yen-Shuo |
11340584 | Synchronized parallel tile computation for large area lithography simulation | Peng Danping; Lei Junjiang; Beylkin Daniel; Ho Kenneth Lik Kin; Trivedi Sagar; Xu Fangbo |
11341308 | Method and layout of an integrated circuit | Hanchinal Mahantesh; Ying Shu-Yi; Hu Chi Wei; Tsai Min-Yuan |
11342015 | Memory device and memory circuit | Chiang Hung-Li; Lin Chung-Te; Lin Shy-Jay; Chen Tzu-Chiang; Song Ming-Yuan; Wong Hon-Sum Philip |
11342016 | Read circuit for magnetic tunnel junction (MTJ) memory | Gupta Gaurav; Wu Zhiqiang |
11342019 | Compensation word line driver | Pao Chia-Hao; Lin Shih-Hao; Lim Kian-Long |
11342025 | Non-volatile memory device | Tseng Yu-Wen; Yang Tsung-Yu; Huang Chung-Jen |
11342164 | High density plasma chemical vapor deposition chamber and method of using | Wu Wei-Ching; Liu Ding-I; Lee Wen-Long |
11342177 | Treatment to control deposition rate | Kao Wan-Yi; Hsu Kuang-Yuan |
11342181 | Semiconductor devices and methods of manufacture | Chao Tzu-Ang; Pitner Gregory Michael; Chen Tse-An; Li Lain-Jong; Lin Yu Chao |
11342188 | Methods for doping high-k metal gates for tuning threshold voltages | Yu Kuo-Feng; Tsai Chun Hsiung; Chen Jian-Hao; Wong Hoong Shing; Hsu Chih-Yu |
11342193 | Method of manufacturing semiconductor devices | Liu Ru-Gun; Lai Chih-Ming; Lin Wei-Liang; Yen Yung-Sung; Hsieh Ken-Hsien; Lin Chin-Hsiang |
11342196 | Integrated circuit package pad and methods of forming | Chen Hsien-Wei; Yu Chen-Hua; Wu Chi-Hsi; Yeh Der-Chyang; Su An-Jhih; Chen Wei-Yu |
11342199 | Wafer carrier assembly | Wu Ming-Tung; Kuang Hsun-Chung |
11342202 | Automated wafer cleaning | Lee Chun-Yu; Peng Sen-Yeo; Peng Chui-Ya |
11342219 | Chemical mechanical polishing topography reset and control on interconnect metal lines | Fu Shih-Kang; Lee Ming-Han |
11342222 | Self-aligned scheme for semiconductor device and method of forming the same | Hsueh Hsiu-Wen; Wu Cai-Ling; Tseng Ya-Ching; Chen Chii-Ping; Yang Neng-Jye |
11342225 | Barrier-free approach for forming contact plugs | Chen Ching-Yi; Lin Sheng-Hsuan; Loh Wei-Yip; Chen Hung-Hsu; Chang Chih-Wei |
11342228 | Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof | Ma Chih-Yu; Pan Zheng-Yang; More Shahaji B.; Chang Shih-Chieh; Lee Cheng-Han |
11342229 | Method for forming a semiconductor device structure having an electrical connection structure | Chiu Shih-Chuan; You Jia-Chuan; Chang Chia-Hao; Chen Chun-Yuan; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11342231 | Integrated circuit device with low threshold voltage | Cheng Chung-Liang; Fang Ziwei |
11342253 | Package structures and methods for forming the same | Hsiao Ching-Wen; Cheng Ming-Da; Lin Chih-Wei; Chen Chen-Shien; Chen Chih-Hua; Kuo Chen-Cheng |
11342255 | Semiconductor structure and manufacturing method thereof | Chen Shuo-Mao; Hsu Feng-Cheng; Jeng Shin-Puu |
11342266 | Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer | Cheng Chun-Wen; Chu Chia-Hua |
11342269 | Package structure with reinforcement structures in a redistribution circuit structure and manufacturing method thereof | Yu Chen-Hua; Lu Chun-Lin; Pu Han-Ping; Wu Kai-Chiang |
11342291 | Semiconductor packages with crack preventing structure | Chuang Yao-Chun; Shue Hong-Seng; Chiu Chen-Nan; Chu Li-Huan; Lii Mirng-Ji |
11342295 | Electronic assembly, package structure having hollow cylinders and method of fabricating the same | Yu Chen-Hua; Liu Chung-Shi; Tsai Hao-Yi; Kuo Tin-Hao |
11342296 | Semiconductor structure, semiconductor package and method of fabricating the same | Chen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Wang Po-Han |
11342297 | Package structure and manufacturing method thereof | Chen Ming-Fa; Chen Hsien-Wei; Chen Jie; Jan Sen-Bor; Yeh Sung-Feng |
11342302 | Bonding with pre-deoxide process and apparatus for performing the same | Yu Chen-Hua; Huang Ying-Jui; Tung Chih-Hang; Shao Tung-Liang; Hsieh Ching-Hua; Hwang Chien Ling; Hsiao Yi-Li; Yang Su-Chun |
11342306 | Multi-chip wafer level packages | Chen Shuo-Mao; Hsu Feng-Cheng; Huang Han-Hsiang; Liu Hsien-Wen; Jeng Shin-Puu; Lee Hsiao-Wen |
11342309 | Semiconductor packages and methods of forming same | Yu Chen-Hua; Tung Chih-Hang; Yee Kuo-Chung |
11342321 | Manufacturing method of package on package structure | Kuo Hsuan-Ting; Hsieh Ching-Hua; Chen Cheng-Ting; Lin Hsiu-Jen; Pei Hao-Jan; Tsai Yu-Peng; Chang Chia-Lun; Tsao Chih-Chiang; Chung Philip Yu-Shuan |
11342322 | Seal ring structures and methods of forming same | Wu Kuo-Ming; Liu Kuan-Liang; Wang Wen-De; Lin Yung-Lung |
11342325 | Integration of multiple fin structures on a single substrate | Chou Chih-Chao; Wang Chih-Hao; Ju Shi Ning; Chiang Kuo-Cheng; Lan Wen-Ting |
11342326 | Self-aligned etch in semiconductor devices | Chiu Yi-Hsun; Tsai Ching-Wei; Huang Yu-Xuan; Chuang Cheng-Chi; Chang Shang-Wen |
11342334 | Memory cell and method | Lin Meng-Han; Chia Han-Jong; Yeong Sai-Hooi; Chui Chi On; Lin Yu-Ming |
11342337 | Structure and method for FinFET SRAM | Liaw Jhon Jhy |
11342338 | Memory device with improved margin and performance and methods of formation thereof | Lin Shih-Hao; Lim Kian-Long; Yang Chih-Chuan; Pao Chia-Hao; Lin Jing-Yi |
11342340 | Layout of static random access memory periphery circuit | Lin Yangsyu; Lee Chi-Lung; Tien Chien-Chi; Cheng Chiting |
11342341 | Integrated circuit layout, method, structure, and system | Chang Meng-Sheng; Chen Chien-Ying; Huang Chia-En; Wang Yih |
11342343 | Semiconductor structure and method for manufacturing the same | Chang Chih-Yu; Yeong Sai-Hooi; Lin Yu-Ming; Wang Chih-Hao |
11342372 | Image sensor device with reflective layer | Fang Chun-Chieh; Wu Ming-Chi; Jiang Ji-Heng; Wen Chi-Yuan; Tu Chien-Nan; Yeh Yu-Lung; Chen Shih-Shiung; Lin Kun-Yu |
11342373 | Manufacturing method of image sensing device | Wu Wei-Chuang; Wang Ming-Tsong; Hung Feng-Chi; Wang Ching-Chun; Liu Jen-Cheng; Yaung Dun-Nian |
11342374 | Mechanisms for forming image-sensor device with deep-trench isolation structure | Lin Jeng-Shyan; Yaung Dun-Nian; Liu Jen-Cheng; Hung Feng-Chi |
11342378 | Magnetic tunnel junction device with residue-protection sidewall spacer and the method for forming a magnetic tunnel junction device with residue-protection sidewall spacer | Hsu Chern-Yow |
11342379 | Trench formation scheme for programmable metallization cell to prevent metal redeposit | Sung Fu-Ting; Min Chung-Chiang; Tseng Yuan-Tai |
11342380 | Memory devices with selector layer and methods of forming the same | Chiang Hung-Li; Chiu Jung-Piao; Chen Tzu-Chiang; Chen Yu-Sheng; Bao Xinyu |
11342408 | Metal-insulator-metal structure and methods of fabrication thereof | Huang Chih-Fan; Kao Hung-Chao; Hsiao Yuan-Yang; Hsiao Tsung-Chieh; Shen Hsiang-Ku; Chen Hui-Chi; Chen Dian-Hau; Chen Yen-Ming |
11342413 | Selective liner on backside via and method thereof | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11342422 | Method of manufacturing semiconductor device and associated memory device | Xu Nuo; Wu Zhiqiang |
11342434 | Method of manufacturing semiconductor devices and semiconductor devices | More Shahaji B.; Savant Chandrashekhar Prakash; Yu Tien-Wei; Tsai Chia-Ming |
11342444 | Dielectric spacer to prevent contacting shorting | Chen Ting-Gang; Huang Tai-Chun; Wen Ming-Chang; Ku Shu-Yuan; Yang Fu-Kai; Lee Tze-Liang; Lu Yung-Cheng; Fu Yi-Ting |
11342454 | Semiconductor device and method | Chan Chia-Ling; Liu Meng-Yueh; Lin Wei-Ken |
11342455 | Minimization of silicon germanium facets in planar metal oxide semiconductor structures | Wang Yi-Sin; Cheng Shan-Yun; Kao Ching-Hung; Chou Jing-Jyu; Chen Yi-Ting |
11342458 | Semiconductor structure and fabricating method thereof | Chang Che-Cheng; Cheng Tung-Wen; Chen Chang-Yin; Lin Mu-Tsang |
11342501 | Memory cell, method of forming the same, and semiconductor device having the same | Lin Yu-Chao; Lee Tung-Ying |
11343433 | Image processing apparatus having overlapping sub-regions | Goel Sandeep Kumar; Lee Yun-Han; Mehta Ashok |
11344910 | Spin dispenser module substrate surface protection system | Yang Ching-Hai; Kao Yao-Hwan; Li Shang-Sheng; Chen Kuo-Pin; Tseng Hsiang-Kai; Chen Chuan-Wei |
11345591 | Device for protecting FEOL element and BEOL element | Yeh Ping-Chun; Tsai Lien-Yao; Yu Shao-Chi |
11345991 | Semiconductor device, method and machine of manufacture | Wang Jen-Chun; Lee Ya-Lien; Chi Chih-Chien; Su Hung-Wen |
11347001 | Semiconductor structure and method of fabricating the same | Chen Hsien-Wei; Chen Ming-Fa |
11347143 | Cleaning method, method for forming semiconductor structure and system thereof | Ko Wu-Hung; Lin Chung-Hung; Wen Chih-Wei |
11347920 | Circuit synthesis optimization for implements on integrated circuit | Lo Chao-Chun; Huang Boh-Yi; Yu Chih-Yuan Stephen; Chuang Yi-Lin; Hou Chih-Sheng |
11347922 | Method of fabricating semiconductor device including standard-cell-adapted power grid arrangement | Biswas Hiranmay; Wang Chung-Hsing; Lin Chin-Shen; Yang Kuo-Nan |
11347926 | Optical mode optimization for wafer inspection | Chao Bing-Siang |
11348638 | Memory sense amplifier with precharge | Lin Zheng-Jun; Chou Chung-Cheng; Tseng Pei-Ling |
11348790 | Apparatus and method for wafer bonding | Lin Yeong-Jyh; Tu Yeur-Luen; Liang Chin-Wei |
11348792 | Reduce well dopant loss in FinFETs through co-implantation | Liu Sih-Jie; Nieh Chun-Feng; Chang Huicheng |
11348800 | Ultra narrow trench patterning with dry plasma etching | Chen Chao-Hsuan; Huang Yuan-Sheng |
11348811 | Thermal chamber exhaust structure and method | Hsieh Hsien-Chang; Lin Chun-Chih; Shih Tah-Te; Wu Wen-Hsong; Yang Chune-Te; Su Yu-Jen |
11348816 | Systems and methods for die container warehousing | Kuo Tsung-Sheng; Huang Chih-Hung; Wang Hsueh-Lei; Chu Yang-Ann; Lee Hsuan; Pai Jiun-Rong |
11348817 | Wafer transport system and method for transporting wafers | Chen Wei-Chih; Chen Shi-Chi; Wang Ting-Wei; Wang Jen-Ti; Chuang Kuo-Fong |
11348818 | Slit door assembly and method of operating the same | Chen Yen-Ji; Yang Jer-Shien; Lin Chien-Hung; Lin Pei-Sheng |
11348828 | Interconnect structure and method of forming the same | Cheng Jye-Yen; Shyu Chen-Yu; Liang Ming-Shuoh |
11348829 | Patterning methods for semiconductor devices and structures resulting therefrom | Peng Tai-Yen; Chen Wen-Yen; Chen Chih-Hao |
11348830 | Method of forming trenches with different depths | Wang Chao-Hsun; Wang Hsien-Cheng; Wang Mei-Yun |
11348835 | Ion implantation for nano-FET | Lin Yu-Chang; Nieh Chun-Feng; Chang Huicheng; Yeo Yee-Chia |
11348836 | Semiconductor structure with nanostructure and method for manufacturing the same | Wang Pei-Hsun; Lin Chun-Hsiung; Wang Chih-Hao; Chou Chih-Chao |
11348837 | Semiconductor device and manufacturing method thereof | Lim Peng-Soon; Fang Zi-Wei |
11348839 | Method of manufacturing semiconductor devices with multiple silicide regions | Loh Wei-Yip; Tsai Yan-Ming; Chen Hung-Hsu; Chang Chih-Wei; Lin Sheng-Hsuan |
11348840 | Semiconductor device and method | Liu Wei-Min; Sung Hsueh-Chang; Yeo Yee-Chia |
11348841 | Semiconductor device structure with recessed spacer | Tsai Ming-Heng; Liang Chun-Sheng; Wu Pei-Lin; Chen Yi-Ren; Chang Shih-Hsun |
11348874 | Semiconductor packages and forming methods thereof | Wu Kai-Chiang; Chen Chin-Liang; Wu Jiun-Yi; Wang Yen-Ping |
11348879 | Semiconductor structure | Wang Rung-De; Liu Chen-Hsun; Ku Chin-Yu; Pang Te-Hsun; Wang Chia-Hua; Tsai Pei-Shing; Lin Po-Chang |
11348881 | Device crack-stop structure to prevent damage due to dicing crack | Wu Tung-Ting; Wang Chen-Jong; Liu Jen-Cheng; Huang Yimin; Kuo Chin-Chia |
11348884 | Organic interposer including a dual-layer inductor structure and methods of forming the same | Chiang Wei-Han; Cheng Ming-Da; Cheng Ching-Ho; Chang Wei Sen; Shue Hong-Seng; Hsiao Ching-Wen; Chen Chun-Hung |
11348886 | Integrated fan-out package | Wang Chuei-Tang; Tang Tzu-Chun; Chen Chieh-Yen; Hsu Che-Wei |
11348889 | Semiconductor device and bump formation process | Hsiao Yi-Li; Yu Chen-Hua; Jeng Shin-Puu; Tung Chih-Hang; Wei Cheng-Chang |
11348917 | Semiconductor device with isolation structure | Wang Chieh-Ping; Huang Tai-Chun; Lu Yung-Cheng; Chen Ting-Gang; Chui Chi On |
11348920 | Vertical semiconductor device with steep subthreshold slope | Chiang Hung-Li; Huang Szu-Wei; Yeh Chih-Chieh; Yeo Yee-Chia |
11348921 | Semiconductor structure and method of manufacturing the same | Chen Wei-Lun |
11348926 | Conductive layers with different thicknesses | Liaw Jhon Jhy |
11348927 | Conductive feature formation | Huang Yu-Lien |
11348929 | Memory device and method for forming the same | Su Hsin-Wen; Huang Chia-En; Lin Shih-Hao; Hung Lien-Jung; Wang Ping-Wei |
11348935 | Memory devices and method of fabricating same | Wu Chang-Ming; Wu Wei Cheng; Liu Shih-Chang; Chuang Harry-Hak-Lay; Tsai Chia-Shiung |
11348944 | Semiconductor wafer with devices having different top layer thicknesses | Singh Gulbagh; Liu Kuan-Liang; Po-Jen Wang; Chuang Kun-Tsang; Chen Hsin-Chi |
11348958 | Image sensing device with grid structure and fabrication method thereof | Chen Yun-Hao; Wu Kuo-Yu; Lu Tse-Hua |
11349002 | Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof | Lin Ta-Chun; Yeh Kuan-Lin; Lin Chun-Jun; Pan Kuo-Hua; Chiang Mu-Chi; Liaw Jhon Jhy |
11349004 | Backside vias in semiconductor device | Yu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11349005 | Silicide structures in transistors and methods of forming | Tzeng Kai-Di; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11349008 | Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile | Hsu Chi-Hsing; Yeong Sai-Hooi; Chang Chih-Yu; Tsai Ching-Wei; Cheng Kuan-Lun |
11349009 | High-k gate dielectric | Pao Chia-Hao; Chen Chih-Hsuan; Lin Yu-Kuan |
11349010 | Schottky barrier diode with reduced leakage current and method of forming the same | Lin Meng-Han; Chen Te-An |
11349014 | Air spacer and method of forming same | Sie Ming-Jhe; Huang Chen-Huang; Hsu Shao-Hua; Chang Cheng-Chung; Lee Szu-Ping; Wei An Chyi; Wang Shiang-Bau; Chen Chia-Jen |
11349015 | Dual metal via for contact resistance reduction | Cheng Chung-Liang; Chen Yen-Yu |
11349016 | Fin field effect transistor (FinFET) with a liner layer | Ching Kuo-Cheng; Pan Kuan-Ting; Ju Shi-Ning; Wang Chih-Hao |
11349022 | Tunnel field-effect transistor with reduced trap-assisted tunneling leakage | Vasen Timothy; Doornbos Gerben; Passlack Matthias |
11349023 | Integration of p-channel and n-channel E-FET III-V devices without parasitic channels | Kwan Man-Ho; Yao Fu-Wei; Tsai Chun Lin; Yu Jiun-Lei Jerry; Chang Ting-Fu |
11349025 | Multi-channel device to improve transistor speed | Chiang Hsin-Chih |
11349027 | Structure and method for FinFET device with asymmetric contact | Liaw Jhon Jhy |
11349035 | Semiconductor device including non-volatile memory cells | Shu Cheng-Bo; Wu Yun-Chi; Huang Chung-Jen |
11349069 | Resistive memory devices using a carbon-based conductor line and methods for forming the same | Chiang Hung-Li; Cheng Chao-Ching; Chen Tzu-Chiang; Li Lain-Jong |
11349070 | Phase-change random access memory device with doped Ge—Sb—Te layers and method of making the same | Wu Jau-Yi |
11349462 | Selector-based random number generator and method thereof | Khwa Win-San; Wu Jui-Jen; Liu Jen-Chieh; Ambrosi Elia; Bao Xinyu; Chang Meng-Fan |
11349484 | Compensation technique for the nonlinear behavior of digitally-controlled oscillator (DCO) gain | Li Chao Chieh; Yuan Min-Shueh; Staszewski Robert Bogdan; Liao Chia-Chun |
11351509 | Filter with seal treatment | Lo Kuan-Hsin; Chang Ching-Yu |
11351635 | Apparatus and method for directional etch with micron zone beam and angle control | Yang Chansyun David; Lin Li-Te; Lin Pinyen |
11351648 | Chemical mechanical polishing apparatus, slurry, and method of using the same | Chou Tung-He; Kuang Hsun-Chung |
11353324 | Method for validating measurement data | Chiu Chui-Jung; Lo Jen-Chieh; Cheng Ying-Chou; Liu Ru-Gun |
11353421 | Direct sensing BioFETs and methods of manufacture | Chang Yi-Hsien; Cheng Chun-Ren; Lin Shih-Wei; Liu Yi-Shao |
11354465 | Function safety and fault management modeling at electrical system level (ESL) | Ting Kai-Yuan; Goel Sandeep Kumar; Lee Yun-Han; Wong Mei; Chen Hsin-Cheng |
11354481 | Phase shifter circuit, phase shifter layout and method of forming the same | Lin Chi-Hsien; Chen Ho-Hsiang; Liao Hsien-Yuan; Yeh Tzu-Jin; Lu Ying-Ta |
11355173 | Power supply generator assist | Chang Yen-An; Lee Po-Hao; Shih Yi-Chun |
11355183 | Memory device with word line pulse recovery | Hsieh Wei-jer; Hsu Yu-Hao; Chang Zhi-Hao; Lee Cheng Hung |
11355318 | Adjustable fastening device for plasma gas injectors | Hsu Yung-Shun; Chang Ching-Yu; Chang Chiao-Kai; Cheah Wai Hong; Lin Chien-Fang |
11355339 | Forming nitrogen-containing layers as oxidation blocking layers | Kao Wan-Yi; Ko Chung-Chi |
11355363 | Semiconductor devices and methods of manufacturing | Liaw Jhon Jhy |
11355366 | Systems and methods for shuttered wafer cleaning | Wang Tsui-Wei; Tsai Yung-Li; Peng Chui-Ya |
11355370 | Nozzle having real time inspection functions | Chuang Kai-Lin; Chen Tsung-Chi; Chang Pei-Jung; Huang Chun-Wei; Liu Jun Xiu |
11355378 | Fan-out interconnect structure and methods forming the same | Hu Yu-Hsiang; Liu Chung-Shi; Kuo Hung-Jui; Cheng Ming-Da |
11355387 | Semiconductor device and method of fabricating the same | You Jia-Chuan; Chang Chia-Hao; Lien Wai-Yi; Lin Yu-Ming |
11355388 | Semiconductor device and manufacturing method thereof | Huang Yan-Jhi; Chen Yu-Yu |
11355390 | Interconnect strucutre with protective etch-stop | Lee Shao-Kuan; Chen Hai-Ching; Huang Hsin-Yen; Shue Shau-Lin; Lee Cheng-Chin |
11355395 | Integrated circuit in hybrid row height structure | Kao Jerry Chang-Jui; Zhuang Hui-Zhong; Hsu Li-Chung; Yeh Sung-Yen; Chien Yung-Chen; Yang Jung-Chan; Lin Tzu-Ying |
11355396 | Method of forming a semiconductor structure including laterally etching semiconductor material in fin recess region and depositing metal gate therein | Ching Kuo-Cheng; Lin Zhi-Chang; Ju Shi Ning; Wang Chih-Hao; Pan Kuan-Ting |
11355398 | Semiconductor device structure and methods of forming the same | Pan Kuan-Ting; Chiang Kuo-Cheng; Chang Shang-Wen; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11355399 | Gap patterning for metal-to-source/drain plugs in a semiconductor device | Huang Yu-Lien; Fu Ching-Feng; Lin Huan-Just; Li Fu-Sheng; Ho Tsai-Jung; Hsieh Bor Chiuan; Chen Guan-Xuan; Wang Guan-Ren |
11355400 | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET | Chen Yen-Ting; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11355406 | Non-vertical through-via in package | Huang Cheng-Lin; Chang Jung-Hua; Gau Jy-Jie; Lin Jing-Cheng |
11355410 | Thermal dissipation in semiconductor devices | Huang Wen-Sheh; Chen Yu-Hsiang; Chen Chii-Ping |
11355418 | Package structure and manufacturing method thereof | Yu Chen-Hua; Yu Chun-Hui; Hung Jeng-Nan; Yee Kuo-Chung |
11355428 | Semiconductor package | Wu Jiun Yi; Yu Chen-Hua; Liu Chung-Shi |
11355430 | Capping layer overlying dielectric structure to increase reliability | Lo Ting-Ya; Teng Chi-Lin; Chen Hai-Ching; Huang Hsin-Yen; Shue Shau-Lin; Lee Shao-Kuan; Lee Cheng-Chin |
11355432 | Semiconductor device with patterned ground shielding | Yen Hsiao-Tsung; Kuo Chin-Wei; Luo Cheng-Wei; Liang Kung-Hao |
11355436 | Semiconductor device and manufacturing method thereof | Wu Yu-Bey; Chen Dian-Hau; Cheng Jye-Yen; Wei Sheng-Hsuan; Lee Li-Yu; Wu TaiYang |
11355454 | Package structure and manufacturing method thereof | Tsai Tsung-Fu; Lin Shih-Ting; Lu Szu-Wei; Tsai Chen-Hsuan; Huang I-Ting |
11355461 | Integrated fan-out package and manufacturing method thereof | Yu Chi-Yang; Chen Chin-Liang; Chen Hai-Ming; Ho Kuan-Lin; Liang Yu-Min |
11355463 | Semiconductor package and method | Wu Jiun Yi; Yu Chen-Hua |
11355466 | Package structure and manufacturing method of package structure thereof | Teng Po-Yuan; Tsai Hao-Yi; Pan Kuo-Lung; Hsu Sen-Kuei; Kuo Tin-Hao; Lei Yi-Yang; Tseng Ying-Cheng; Lai Chi-Hui |
11355468 | Structure and method of forming a joint assembly | Chen Ying-Ju; Su An-Jhih; Chen Hsien-Wei; Yeh Der-Chyang; Wu Chi-Hsi; Yu Chen-Hua |
11355471 | System for processing semiconductor devices | Huang Kuei-Wei; Lin Hsiu-Jen; Ang Ai-Tee; Cheng Ming-Da; Liu Chung-Shi |
11355474 | Semiconductor package and method manufacturing the same | Hsu Feng-Cheng; Jeng Shin-Puu |
11355475 | Singulation and bonding methods and structures formed thereby | Yu Chen-Hua; Wu Tsang-Jiuh; Chiou Wen-Chih |
11355487 | Layout designs of integrated circuits having backside routing tracks | Lai Wei-An; Peng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng |
11355488 | Integrated circuit layout method, device, and system | Chen Chien-Ying; Lu Lee-Chung; Tien Li-Chun; Guo Ta-Pen |
11355491 | ESD protection device | Su Shu-Yu; Lee Jam-Wem; Lin Wun-Jie |
11355493 | Method to embed planar FETs with finFETs | Chuang Harry-Hak-Lay; Wu Wei Cheng; Teng Li-Feng; Liu Li-Jung |
11355496 | High-density 3D-dram cell with scaled capacitors | Manfrini Mauricio; Lin Chung-Te |
11355499 | Static random access memory cell | Hsu Jordan; Lin Yu-Kuan; Lu Shau-Wei; Yang Chang-Ta; Wang Ping-Wei; Lo Kuo-Hung |
11355500 | Static random access memory cell and manufacturing method thereof | Lee Yi-Jing; Kwok Tsz-Mei; Yu Ming-Hua; Li Kun-Mu |
11355501 | Method for manufacturing static random access memory device | Liaw Jhon Jhy |
11355502 | Semiconductor device with gate recess and methods of forming the same | Liaw Jhon Jhy |
11355507 | Semiconductor device and manufacturing method thereof | Lin Meng-Han; Chen Te-An |
11355516 | Three-dimensional memory device and method | Yang Feng-Cheng; Lin Meng-Han; Wang Sheng-Chen; Chia Han-Jong; Lin Chung-Te |
11355518 | Semiconductor device having buried bias pads | Wu Jian; Han Feng; Zhang Shuai |
11355544 | Image sensor with improved light conversion efficiency | Liao Shih-Yu; Hung Tsai-Hao; Chen Ying-Hsun |
11355545 | Semiconductor image sensor device having back side illuminated image sensors with embedded color filters | Chen Chiu-Jung; Chou Chun-Hao; Chen Hsin-Chi; Lee Kuo-Cheng; Chien Volume; Hsu Yung-Lung; Cheng Yun-Wei |
11355551 | Multi-level magnetic tunnel junction NOR device with wrap-around gate electrodes and methods for forming the same | Chia Han-Jong; Young Bo-Feng; Yeong Sai-Hooi; Wang Chenchen Jacob; Lin Meng-Han; Lin Yu-Ming |
11355587 | Source/drain EPI structure for device boost | More Shahaji B. |
11355590 | Steep sloped vertical tunnel field-effect transistor | Ramvall Peter; Passlack Matthias |
11355596 | High power device with self-aligned field plate | Liu Ming Chyi |
11355601 | Semiconductor devices with backside power rail and backside self-aligned via | Chiang Kuo-Cheng; Ju Shi Ning; Cheng Kuan-Lun; Wang Chih-Hao; Chuang Cheng-Chi |
11355603 | Methods and structures of novel contact feature | Wu Wei-Hao; Chang Chia-Hao; Wang Chih-Hao; You Jia-Chuan; Lin Yi-Hsiung; Lin Zhi-Chang; Kuo Chia-Hao; Yu Ke-Jing |
11355605 | Semiconductor device structure and method for forming the same | Lee Tung-Ying; Chang Kai-Tai |
11355611 | Multi-gate device and method of fabrication thereof | Ching Kuo-Cheng; Huang Ching-Fang; Hsieh Wen-Hsing; Leung Ying-Keung; Wang Chih-Hao; Diaz Carlos H. |
11355615 | FinFET having fluorine-doped gate sidewall spacers | Min Wei-Lun; Liu Chang-Miao; Wu Xu-Sheng |
11355616 | Air spacers around contact plugs and method forming same | Huang Chen-Huang; Sie Ming-Jhe; Lin Yih-Ann; Wei An Chyi; Chen Ryan Chia-Jen |
11355620 | FinFET device and method of forming same | Lee Chien-Wei; Lin Che-Yu; Sung Hsueh-Chang; Yeo Yee-Chia |
11355635 | Semiconductor structure and methods of forming same | Tsai Chun-Hsiung; Yu Kuo-Feng; Chen Kei-Wei |
11355637 | Semiconductor device and method | Fu Ching-Feng; Wang Guan-Ren; Chang Yun-Min; Huang Yu-Lien |
11355638 | Semiconductor device and a method for fabricating the same | Huang Yu-Lien; Chang Meng-Chun |
11355641 | Merged source/drain features | Lin Chun-An; Lu Wei-Yuan; Yang Feng-Cheng; Lin Tzu-Ching; Su Li-Li |
11355642 | Method for manufacturing semiconductor structure | Hsu Ju-Wang; Ting Chih-Yuan; Zhong Tang-Xuan; Su Yi-Nien; Tsai Jang-Shiang |
11355696 | Magnetic tunnel junction structures and related methods | Chen Jun-Yao; Liao Chun-Heng; Wang Hung Cho |
11355701 | Integrated circuit | Liao Wei-Hao; Tien Hsi-Wen; Lu Chih-Wei; Dai Pin-Ren; Lee Chung-Ju |
11355927 | Device and method for operating the same | Peng Po-Lin; Su Yu-Ti; Hsu Chia-Wei; Tsai Ming-Fu; Su Shu-Yu; Chu Li-Wei; Lee Jam-Wem; Chang Chia-Jung; Cheng Hsiang-Hui |
11356108 | Frequency generator and associated method | Liao Chia-Chun; Yuan Min-Shueh; Li Chao-Chieh; Staszewski Robert Bogdan |
11356115 | Loop gain auto calibration using loop gain detector | Chou Mao-Hsuan; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien |
11356140 | Clock and data recovery circuit | Lan Po-Hsiang; Hsieh Cheng-Hsiang |
11356625 | Semiconductor structure and operating method for improving charge transfer of image sensor device | Takahashi Seiji; Sze Jhy-Jyi |
11358252 | Method of using a polishing system | Lin Shih-Chi; Wu Kun-Tai; Chou You-Hua; Lee Chih-Tsung; Hong Min Hao; Wu Chih-Jen; Huang Chen-Ming; Huang Soon-Kang; Chang Chin-Hsiang; Yang Chih-Yuan |
11360045 | Integrated biological sensing platform | Chen Tsung-Tsun; Chang Allen Timothy; Huang Jui-Cheng |
11360073 | Semiconductor device for determining a biomolecule characteristic | Chen Kun-Lung; Chen Tung-Tsun; Hsieh Cheng-Hsiang; Huang Yu-Jie; Huang Jui-Cheng |
11360376 | Extreme ultraviolet mask and method for forming the same | Lin Yun-Yue |
11360379 | Photo mask data correction method | Tien Fu An; Huang Hsu-Ting; Liu Ru-Gun |
11360383 | Mask optimization process | Beylkin Daniel; Trivedi Sagar Vinodbhai |
11360384 | Method of fabricating and servicing a photomask | Yang Chun-Fu; Hsu Pei-Cheng; Lien Ta-Cheng; Lee Hsin-Chang |
11360392 | Photolithography device having illuminator and method for adjusting intensity uniformity | Hsu Che-Chang; Cheng Chieh-Jen; Chen Li-Jui; Chien Shang-Chieh; Chang Chao-Chen; Chen Ssu-Yu |
11361141 | Method and system for manufacturing a semiconductor device | Huang Hsuan-Ming; Teng An Shun; Chang Mingni; Wang Ming-Yih; Lu Yinlung |
11361810 | Power mode wake-up for memory on different power domains | Yeh Che-Ju; Shieh Hau-Tai; Chen Yi-Tzu |
11361812 | Sub-word line driver placement for memory device | Chen Yi-Tzu; Wu Ching-Wei; Shieh Hau-Tai; Liao Hung-Jen |
11361818 | Memory device with global and local latches | Katoch Atul; Singh Sahil Preet |
11361943 | Adjustable fastening device for plasma gas injectors | Hsu Yung-Shun; Chang Ching-Yu; Chang Chiao-Kai; Cheah Wai Hong; Lin Chien-Fang |
11361971 | High aspect ratio Bosch deep etch | Chang Yu-Hsing; Liu Ming Chyi; Liu Shih-Chang |
11361977 | Gate structure of semiconductor device and method of manufacture | Wang Tsan-Chun; Nieh Chun-Feng |
11361986 | Using a liner layer to enlarge process window for a contact via | Yu Li-Zhen; Chuang Cheng-Chi; Wang Chih-Hao; Lin Yu-Ming; Huang Lin-Yu |
11361989 | Method for manufacturing interconnect structures including air gaps | Lee Cheng-Chin; Lee Shao-Kuan; Huang Hsin-Yen; Chen Hai-Ching; Shue Shau-Lin |
11361994 | Fully self-aligned interconnect structure | Chen Hsin-Ping; Shue Shau-Lin; Cao Min |
11362000 | Wrap-around contact on FinFET | Wang Sung-Li; Chen Neng-Kuo; Shih Ding-Kang; Chang Meng-Chun; Lin Yi-An; Huang Gin-Chen; Hsu Chen-Feng; Lin Hau-Yu; Ko Chih-Hsin; Sun Sey-Ping; Wann Clement Hsingjen |
11362001 | Method for manufacturing nanostructures with various widths | Liu Hsiao-Han; Wang Chih-Hao; Chiang Kuo-Cheng; Ju Shi-Ning; Cheng Kuan-Lun |
11362002 | Adjusting work function through adjusting deposition temperature | Lee Hsin-Yi; Chen Ji-Cheng; Hung Cheng-Lung; Chang Weng; Chui Chi On |
11362003 | Prevention of contact bottom void in semiconductor fabrication | Lee Yun; Ko Chung-Ting; Lee Chen-Ming; Wang Mei-Yun; Yang Fu-Kai |
11362004 | FinFET devices and methods of forming | Chiang Kuo-Cheng; Liu Chi-Wen |
11362006 | Semiconductor device and method of manufacture | Hsu Yao-Wen; Huang Ming-Chi; Chuang Ying-Liang |
11362009 | Package structure and method of fabricating the same | Lin Sheng-Ta; Lu Chun-Lin; Wu Kai-Chiang |
11362010 | Structure and formation method of chip package with fan-out feature | Lin Meng-Liang; Tsai Po-Hao; Chuang Po-Yao; Wu Yi-Wen; Wong Techi; Jeng Shin-Puu |
11362013 | Package structure for heat dissipation | Yu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa |
11362026 | Embedded metal insulator metal structure | Kuo Feng-Wei; Liao Wen-Shiang |
11362029 | Integrated circuit structure of capacitive device | Chen Tai-Yi; Peng Yung-Chow; Yang Chung-Chieh |
11362030 | Sidewall spacer structure enclosing conductive wire sidewalls to increase reliability | Dai Yu-Teng; Lee Chung-Ju; Lu Chih Wei; Yao Hsin-Chieh; Tien Hsi-Wen; Liao Wei-Hao |
11362035 | Diffusion barrier layer for conductive via to decrease contact resistance | Hsueh Hsiu-Wen; Chen Chii-Ping; Yang Neng-Jye; Lee Ya-Lien; Fu An-Jiao; Tseng Ya-Ching |
11362037 | Integrated fan-out package | Chiu Ming-Yen; Chang Ching-Fu; Huang Hsin-Chieh |
11362038 | Photolithography alignment process for bonded wafers | Lin Yeong-Jyh; Li Ching I; Chiou De-Yang; Chen Sz-Fan; Hu Han-Jui; Wang Ching-Hung; Lee Ru-Liang; Yu Chung-Yi |
11362039 | Semiconductor structure and fabricating method thereof | Lee Kuo-Hung; Lee Chih-Fei; Chang Fu-Cheng; Kao Ching-Hung |
11362046 | Semiconductor package | Lin Jing-Cheng; Chang Chin-Chuan; Hung Jui-Pin |
11362064 | Semiconductor package with shared barrier layer in redistribution and via | Chen Hsien-Wei; Yang Ching-Jung; Chen Ming-Fa; Yeh Sung-Feng; Chen Ying-Ju |
11362065 | Package and manufacturing method thereof | Chen Hsien-Wei; Chen Jie; Chen Ming-Fa |
11362066 | Semiconductor structure and manufacturing method thereof | Chen Ying-Ju; Chen Hsien-Wei; Chen Ming-Fa |
11362069 | Three-dimensional stacking structure and manufacturing method thereof | Chen Hsien-Wei; Chen Jie; Chen Ming-Fa |
11362077 | Photonic semiconductor device and method | Chang Chih-Chieh; Tsai Chung-Hao; Wang Chuei-Tang; Hsia Hsing-Kuo; Yu Chen-Hua |
11362087 | Systems and methods for fabricating FinFETs with different threshold voltages | Liu Chi-Wen; Wang Chao-Hsiung |
11362089 | Gate structure with additional oxide layer and method for manufacturing the same | Lin Chih-Wei; Wang Chih-Lin; Kuo Kang-Min; Lian Cheng-Wei |
11362090 | Semiconductor device having buried logic conductor type of complementary field effect transistor, method of generating layout diagram and system for same | Wu Guo-Huei; Wang Pochun; Chen Chih-Liang; Tien Li-Chun |
11362096 | Semiconductor device structure and method for forming the same | Lin Ta-Chun; Pan Kuo-Hua |
11362108 | Semiconductor structure with a logic device and a memory device being formed in different levels, and method of forming the same | Young Bo-Feng; Chia Han-Jong; Yeong Sai-Hooi; Lin Yu-Ming; Lin Chung-Te |
11362110 | Semiconductor structure and method for manufacturing the same | Wang Pochun; Wu Guo-Huei; Zhuang Hui-Zhong; Chen Chih-Liang; Tien Li-Chun |
11362169 | Capacitor structure and semiconductor structure | Kao Chih-Kuang |
11362170 | Metal-insulator-metal (MIM) capacitor structure and method for forming the same | Huang Chih-Fan; Pai Chih-Yang; Hsiao Yuan-Yang; Hsiao Tsung-Chieh; Chen Hui-Chi; Chen Dian-Hau; Chen Yen-Ming |
11362176 | RFSOI semiconductor structures including a nitrogen-doped charge-trapping layer and methods of manufacturing the same | Wu Cheng-Ta; Chen Chiu Hua |
11362180 | Semiconductor device and manufacturing method thereof | Wang Yun-Yuan; Hsiao Chih-Hsiang; Ni I-Chih; Wu Chih-I |
11362185 | Memory device and method for manufacturing the same | Lin Yu-Chu; Jen Chi-Chung; Wang Yen-Di; Ko Jia-Yang; Tsai Men-Hsi |
11362191 | Semiconductor device and method for making the same | Chuang Chi-Yi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11362198 | Semiconductor structure and method of forming the same | Chen Zheng-Long |
11362199 | Semiconductor device and method | Wong I-Hsieh; Chen Yen-Ting; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11362213 | Method for manufacturing a FinFET device with a backside power rail and a backside self-aligned via by etching an extended source trench | Ju Shi Ning; Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun |
11362217 | Method of forming transistors of different configurations | Min Wei-Lun; Liu Chang-Miao |
11362265 | Semiconductor structure and method of manufacturing the same | Sung Fu-Ting; Min Chung-Chiang; Tseng Yuan-Tai; Hsu Chern-Yow; Liu Shih-Chang |
11362267 | Memory device having resistance switching element surrounding top electrode and integrated circuit device including the same | Tseng Hsin-Hsiang; Wang Chih-Lin; Wu Yi-Huang |
11362268 | Semiconductor structure and associated operating and fabricating method | Yu Chwen; Lin Shy-Jay |
11362271 | Switching layer scheme to enhance RRAM performance | Trinh Hai-Dang; Tsai Cheng-Yuan; Lin Hsing-Lien; Chu Wen-Ting |
11362277 | Sidewall protection for PCRAM device | Lin Yu-Chao; Tu Yuan-Tien; Yu Shao-Ming; Lee Tung-Ying |
11362624 | Varainductor having ground and floating planes and method of using | Liu Yi-Hsuan; Hsieh Hsieh-Hung; Jou Chewn-Pu; Hsueh Fu-Lung |
11362660 | Level shifter circuit and method of operating the same | Ou Yu-Lun; Lin Ji-Yung; Chien Yung-Chen; Sun Ruei-Wun; Ma Wei-Hsiang; Kao Jerry Chang Jui; Hsieh Shang-Chih; Lu Lee-Chung |
11362845 | Secure communication between server device and clients utilizing strong physical unclonable functions | Lu Shih-Lien Linus |
11365115 | Piezoelectric anti-stiction structure for microelectromechanical systems | Hu Fan; Cheng Chun-Ren; Chen Hsiang-Fu; Tai Wen-Chuan |
11366160 | Jitter monitoring circuit | Huang Tien-Chien |
11366951 | Method for evaluating failure-in-time | Lin Chin-Shen; Lin Ming-Hsien; Yang Kuo-Nan; Wang Chung-Hsing |
11367468 | Sense amplifier | Lee Chia-Fu; Lin Hon-Jarn; Chih Yu-Der |
11367479 | SRAM structure and method | Yang Chih-Chuan; Lin Shih-Hao |
11367482 | Read method, write method and memory circuit using the same | Diaz Carlos H.; Chiang Hung-Li; Chen Tzu-Chiang; Wang Yih |
11367494 | Memory structure with doping-induced leakage paths | Su Hsin-Wen; Lin Shih-Hao; Chen Jui-Lin; Hung Lien Jung; Wang Ping-Wei |
11367500 | Method for LUT-free memory repair | Chiang Katherine H. |
11367507 | Memory device and electronic device | Huang Chien-Yu; Huang Chia-En; Lee Cheng Hung; Shieh Hua-Tai |
11367591 | Composite plasma modulator for plasma chamber | Liao Han-Wen |
11367616 | Method of patterning material layer | Chou You-Hua; Chuang Kuo-Sheng |
11367621 | Semiconductor device and manufacturing method thereof | Chang Tien-Shun; Nieh Chun-Feng; Chang Huicheng; Yeo Yee-Chia |
11367623 | Structure and method to expose memory cells with different sizes | Chen Sheng-Chau; Hsiao Cheng-Tai; Tsai Cheng-Yuan; Kuang Hsun-Chung; Chang Yao-Wen |
11367632 | Heater lift assembly spring damper | Wu Kai-Wen; Chen Chun-Ta; Hsieh Chin-Shen; Huang Cheng-Yi |
11367637 | Method of operating transport system | Chu Chi-Yuan; Wang Jen-Ti; Chen Wei-Chih; Chuang Kuo-Fong; Hung Cheng-Ho |
11367644 | System and method for correcting non-ideal wafer topography | Lin Cheng-Mu; Liao Chi-Hung; Dai Yi-Ming; Yang Yueh Lin |
11367658 | Semiconductor die singulation and structures formed thereby | Chang Fu-Chen; Huang Cheng-Lin; Chen Wen-Ming |
11367659 | FinFET channel on oxide structures and related methods | Ching Kuo-Cheng; Tsai Ching-Wei; Leung Ying-Keung |
11367660 | Semiconductor method and device | Yen Cheng-Hsiung; Ma Ta-Chun; Su Chien-Chang; Chen Jung-Jen; Jeng Pei-Ren; Li Chii-Horng; Chen Kei-Wei |
11367663 | Interconnect structure for fin-like field effect transistor | Liaw Jhon Jhy |
11367695 | Interposer with capacitors | Chang Fong-yuan; Yeh Cheng-Hung; Chang Hsiang-Ho; Huang Po-Hsiang; Chien Chin-Her; Chen Sheng-Hsiung; Khan Aftab Alam; Chang Keh-Jeng; Liu Chin-Chou; Cheng Yi-Kan |
11367721 | Semiconductor structure | Huang Jing-Jung; Chen Ching En; Kao Jung-Hui; Thei Kong-Beng |
11367731 | Memory device and method of manufacturing the same | Lu Hau-Yan; Ko Chun-Yao; Tsui Felix Ying-Kit |
11367745 | Apparatus and methods for sensing long wavelength light | Cheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng; Chen Ying-Hao |
11367778 | MOSFET device structure with air-gaps in spacer and methods for forming the same | Singh Gulbagh; Wang Po-Jen; Chuang Kun-Tsang |
11367782 | Semiconductor manufacturing | Liaw Jhon Jhy |
11367783 | Method of manufacturing a semiconductor device | Yeh Ling-Yen |
11367784 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B.; Lin Chien; Lee Cheng-Han; Chang Shih-Chieh; Kuan Shu |
11367803 | Light detecting device, optical device and method of manufacturing the same | Jou Chewn-Pu; Cho Lan-Chou; Song Weiwei |
11367832 | Method of making magnetoresistive random access memory device | Hsu Chern-Yow; Liu Shih-Chang; Tsai Chia-Shiung |
11371133 | Undercut-free patterned aluminum nitride structure and methods for forming the same | Hsieh Yuan-Chih; Wang Yi-Ren; Lin Hung-Hua |
11372160 | Package, optical device, and manufacturing method of package | Chen Hsien-Wei; Chen Ming-Fa |
11373690 | Circuits and methods for compensating a mismatch in a sense amplifier | Lin Ku-Feng; Chih Yu-Der; Shih Yi-Chun; Lee Chia-Fu |
11373702 | Boost schemes for write assist | Hsieh Wei-Jer; Cheng Chiting; Lin Yangsyu; Wu Shang-Chi |
11373706 | Memory circuit, method, and electronic device for implementing ternary weight of neural cell network | Chih Yu-Der |
11373866 | Dielectric material and methods of forming same | Peng Yu-Yun |
11373867 | Integrated circuits having source/drain structure and method of making | Huang Shih-Hsien; Pai Yi-Fang; Su Chien-Chang |
11373870 | Method for manufacturing semiconductor device including performing thermal treatment on germanium layer | Wu Cheng-Hsien |
11373878 | Technique for semiconductor manufacturing | Lin Han-Yu; Lin Li-Te; Lin Tze-Chung; Lee Fang-Wei; Chen Yi-Lun; Chang Jung-Hao; Lo Yi-Chen; Lin Fo-Ju; Sano Kenichi; Lin Pinyen |
11373879 | Chemical mechanical polishing method | Chen Tung-Kai; Tsai Ching-Hsiang; Liao Kao-Feng; Chang Chih-Chieh; Kung Chun-Hao; Chih Fang-I; Ho Hsin-Ying; Hsu Chia-Jung; Huang Hui-Chi; Chen Kei-Wei |
11373902 | Semiconductor structure and method for manufacturing the same | Sun Hung-Chang; Chang Po-Chin; Mineji Akira; Fang Zi-Wei; Lin Pinyen |
11373905 | Semiconductor device pre-cleaning | Chu Li-Wei; Su Ying-Chi; Chen Yu-Kai; Loh Wei-Yip; Chen Hung-Hsu; Chang Chih-Wei; Tsai Ming-Hsing |
11373910 | Semiconductor device including a Fin-FET and method of manufacturing the same | Okuno Yasutoshi; Peng Cheng-Yi; Fang Ziwei; Chang I-Ming; Mineji Akira; Lin Yu-Ming; Hsiao Meng-Hsuan |
11373918 | Planar passivation layers | Wang Po-Shu |
11373922 | Semiconductor packages having thermal through vias (TTV) | Hsu Sen-Kuei; Yang Ching-Feng; Pan Hsin-Yu; Wu Kai-Chiang; Chiang Yi-Che |
11373946 | Semiconductor package and manufacturing method thereof | Huang Chi-Ming; Huang Ping-Kang; Chiu Sao-Ling; Hou Shang-Yun |
11373947 | Methods of forming interconnect structures of semiconductor device | Chou Chia-Cheng; Ko Chung-Chi; Lee Tze-Liang |
11373952 | Deep trench protection | Kuo Fu-Chiang; Liu Tao-Cheng; Kuo Shih-Chi; Lee Tsung-Hsien |
11373953 | Semiconductor structure and manufacturing method thereof | Yang Ching-Jung; Chen Hsien-Wei; Chen Ming-Fa |
11373962 | Advanced seal ring structure and method of making the same | Chen Hsien-Wei |
11373969 | Semiconductor package and method of forming the same | Wu Chi-Hsi; Yeh Der-Chyang; Chen Hsien-Wei; Chen Jie |
11373970 | Semiconductor device having a redistribution line | Cheng Anhao; Liu Chun-Chang; Yeh Sheng-Wei |
11373971 | Semiconductor device structure and methods of forming the same | Chen Hsin-Chi; Huang Hsun-Ying; Lee Chih-Ming; Wu Shang-Yen; Yang Chih-An; Ho Hung-Wei; Chang Chao-Ching; Huang Tsung-Wei |
11373981 | Package and manufacturing method thereof | Chen Ming-Fa; Yeh Sung-Feng; Hong Jian-Wei |
11373993 | Integrated standard cell structure | Chen Fang; Liaw Jhon Jhy |
11374000 | Trench capacitor with lateral protrusion structure | Lee Ru-Liang; Liu Ming Chyi; Liu Shih-Chang |
11374003 | Integrated circuit | Wu Guo-Huei; Wang Po-Chun; Zhuang Hui-Zhong; Chen Chih-Liang; Tien Li-Chun |
11374005 | Semiconductor structure and method of forming the same | Peng Shih-Wei; Chiu Te-Hsin; Lin Wei-Cheng; Tzeng Jiann-Tyng |
11374006 | Semiconductor device and method of forming the same | Chien Yu-San; Liang Chun-Sheng; Liaw Jhon-Jhy; Pan Kuo-Hua; Chiang Hsin-Che |
11374046 | Semiconductor structure and method of manufacturing the same | Li Sheng-Chan; Chen I-Nan; Chen Tzu-Hsiang; Wang Yu-Jen; Chiang Yen-Ting; Chou Cheng-Hsien; Tsai Cheng-Yuan |
11374057 | Vertical metal oxide semiconductor channel selector transistor and methods of forming the same | Wu Yong-Jie; Ho Yen-Chung; Hsu Pin-Cheng; Manfrini Mauricio; Lin Chung-Te |
11374088 | Leakage reduction in gate-all-around devices | Liaw Jhon Jhy |
11374089 | Shallow trench isolation (STI) contact structures and methods of forming same | Wang Tai-Yuan; Chen Shu-Fang |
11374090 | Gate structures for semiconductor devices | Chen Yen-Yu; Cheng Chung-Liang |
11374093 | Semiconductor device structure and methods of forming the same | Huang Lin-Yu; Yu Li-Zhen; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11374095 | GE based semiconductor device and a method for manufacturing the same | Holland Martin Christopher; Duriez Blandine |
11374104 | Methods of reducing capacitance in field-effect transistors | Chen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11374105 | Nanosheet device with dipole dielectric layer and methods of forming the same | Hsu Chung-Wei; Chiang Kuo-Cheng; Chu Lung-Kun; Huang Mao-Lin; Yu Jia-Ni; Wang Chih-Hao |
11374107 | Semiconductor device | You Jheng-Sheng; Lin Hsin-Chih; Huang Kun-Ming; Chen Lieh-Chuan; Chu Po-Tao; Wang Shen-Ping; Kuo Chien-Li |
11374108 | Fin semiconductor device having a stepped gate spacer sidewall | Chen Yi-Lun; Wang Bau-Ming; Lin Chun-Hsiung |
11374110 | Partial directional etch method and resulting structures | Wang Shiang-Bau |
11374114 | Semiconductor device and method for forming the same | Savant Chandrashekhar P.; Yu Tien-Wei; Liu Ke-Chih; Tsai Chia-Ming |
11374115 | Method for forming semiconductor device having boron-doped germanium tin epitaxy structure | Tsai Chung-En; Lu Fang-Liang; Chen Pin-Shiang; Liu Chee-Wee |
11374126 | FinFET structure with fin top hard mask and method of forming the same | Yang Che-Yu; Yang Kai-Chieh; Tsai Ching-Wei; Cheng Kuan-Lun |
11374127 | Multi-layer film device and method | Chang Yao-Jen; Chi Chih-Chien; Kao Chen-Yuan; Su Hung-Wen; Kuo Kai-Shiang; Shih Po-Cheng; Ruan Jun-Yi |
11374128 | Method and structure for air gap inner spacer in gate-all-around devices | Chen Shih-Chiang; Lee Wei-Yang; Lin Chia-Pin; Peng Yuan-Ching |
11374136 | Semiconductor package and forming method thereof | Tai Chih-Hsuan; Tsai Hao-Yi; Huang Yu-Chih; Chang Chih-Hao; Liu Chia-Hung; Wu Ban-Li; Tseng Ying-Cheng; Lin Po-Chun |
11374169 | Magnetic random access memory | Niu Baohua; Ying Ji-Feng |
11374303 | Package structure and method of fabricating the same | Liang Fang-Yu; Tsao Chih-Chiang |
11374403 | Single-gate-oxide power inverter and electrostatic discharge protection circuit | Chen Po-Hung; Chen Kuo-Ji; Chou Shao-Yu |
11374561 | Integrated circuit and method of testing | Chien Jinn-Yeh |
11374584 | Frequency divider circuit, and method for frequency divider circuit | Chou Mao-Hsuan; Sheen Ruey-Bin; Chang Chih-Hsien |
11376744 | Method of handling a substrate | Chou You-Hua; Chuang Kuo-Sheng |
11378750 | Germanium photodetector embedded in a multi-mode interferometer | Song Weiwei; Rusu Stefan; Jou Chewn-Pu; Chen Huan-Neng |
11378882 | Chemical composition for tri-layer removal | Chen Li-Min; Huang Kuo Bin; Yang Neng-Jye; Wu Chia-Wei; Lian Jian-Jou |
11378884 | Extreme ultraviolet photoresist and method | Liu Chen-Yu; Chang Ya-Ching; Wu Cheng-Han; Chang Ching-Yu; Lin Chin-Hsiang |
11378886 | Method for removing resist layer, and method of manufacturing semiconductor | Kuo Hung-Jui; Tsai Hui-Jung; Chang Tai-Min |
11378888 | Lens adjustment for an edge exposure tool | Wu Yong-Ting; Chen Yu Kai |
11378889 | Immersion lithography system and method of using | Lee Yung-Yao; Lin Wei Chih; Lin Chih Chien |
11378892 | Overlay-shift measurement system | Lee Yu-Ching; Fang Yu-Piao |
11378894 | Lithography system with an embedded cleaning module | Chien Shang-Chieh; Chen Jeng-Horng; Wu Jui-Ching; Chen Chia-Chen; Hsieh Hung-Chang; Lu Chi-Lun; Yu Chia-Hao; Chang Shih-Ming; Yen Anthony |
11379298 | Memory address protection circuit and method | Adham Saman M. I.; Shariat-Yazdi Ramin; Lu Shih-Lien Linus |
11379643 | Integrated circuit design method, system and computer program product | Patidar Ankita; Goel Sandeep Kumar; Lee Yun-Han |
11380369 | Semiconductor device including memory cells and method for manufacturing thereof | Chiang Hung-Li; Chen Yu-Sheng; Cheng Chao-Ching; Chen Tzu-Chiang |
11380371 | Sense amplifier and operating method for non-volatile memory with reduced need on adjusting offset to compensate the mismatch | Lin Ku-Feng; Chih Yu-Der |
11380415 | Dynamic error monitor and repair | Noguchi Hiroki; Lin Ku-Feng; Wang Yih |
11380542 | Selective capping processes and structures formed thereby | Chi Chih-Chien; Lee Pei-Hsuan; Su Hung-Wen; Wei Hsiao-Kuan; Chien Jui-Fen; Hsu Hsin-Yun |
11380548 | Method of manufacturing semiconductor structure through multi-implantation to fin structures | Chen Chia-Chung; Chu Chung-Hao; Huang Chi-Feng; Liang Victor Chiang |
11380549 | Semiconductor device with a work function layer having a concentration of fluorine | Tsai Jung-Shiung; Wu Chung-Chiang; Liao Wei-Fan; Hsiaw Han-Ti |
11380566 | System for a semiconductor fabrication facility and method for operating the same | Li Fu-Hsien; Yu Sheng-Kang; Tung Chi-Feng; Shen Hsiang Yin; Li Guancyun |
11380569 | Shiftless wafer blades | Chen Chien-Chih; Yu Yao-Min; Lee Ching-Ling; Lee Ren-Dou |
11380570 | Apparatus and methods for determining wafer characters | Kang Wei-Da; Tsai Wen-Ting |
11380580 | Etch stop layer for memory device formation | Huang Sheng-Huang; Min Chung-Chiang; Chuang Harry-Hak-Lay; Wang Hung Cho; Chen Sheng-Chang |
11380590 | Mechanisms for forming FinFET device | Chang Che-Cheng; Chen Chang-Yin; Lin Jr-Jung; Lin Chih-Han; Chang Yung-Jung |
11380591 | Method for manufacturing nanostructure with various widths | Ching Kuo-Cheng; Ju Shi-Ning; Cheng Kuan-Lun; Wang Chih-Hao |
11380593 | Semiconductor fin cutting process and structures formed thereby | Huang Shih-Wen; Chang Jaming; Cheng Kai Hung; Lin Chia-Hui; Chen Jei Ming |
11380598 | Integrated circuit package and method of forming same | Chen Hsien-Wei; Chen Ming-Fa; Yu Chen-Hua |
11380611 | Chip-on-wafer structure with chiplet interposer | Chen Weiming Chris; Ting Kuo-Chiang; Hou Shang-Yun |
11380620 | Semiconductor package including cavity-mounted device | Wu Jiun Yi; Yu Chen-Hua |
11380626 | Package structure and method for fabricating the same | Chuang Harry-Hak-Lay; Chen Chia-Hsiang; Shih Meng-Chun; Wang Ching-Huang; Chiang Tien-Wei |
11380632 | Semiconductor package device with integrated inductor and manufacturing method thereof | Liao Wen-Shiang; Chen Huan-Neng |
11380639 | Shielding structures | Huang Chih-Fan; Chen Hui-Chi; Chang Kuo-Chin; Yeh Chien-Huang; Shue Hong-Seng; Chen Dian-Hau; Chen Yen-Ming |
11380645 | Semiconductor structure comprising at least one system-on-integrated-circuit component | Yu Chen-Hua; Yu Chun-Hui; Hung Jeng-Nan; Yee Kuo-Chung; Lin Po-Fan |
11380653 | Die stack structure and manufacturing method thereof | Yu Chen-Hua; Chen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng; Liu Tzuan-Horng |
11380655 | Die stacks and methods forming same | Yu Chen-Hua; Tsai Chung-Hao; Wang Chuei-Tang |
11380666 | Fan-out package with cavity substrate | Tsai Po-Hao; Wong Techi; Chuang Po-Yao; Jeng Shin-Puu; Chou Meng-Wei; Lin Meng-Liang |
11380671 | Integrated circuit | Ma Ya-Qi; Pan Lei; Tang Zhen |
11380673 | Electrostatic discharge device | Lin Wun-Jie; Yang Han-Jen; Su Yu-Ti |
11380680 | Semiconductor device for a low-loss antenna switch | Jin Jun-De; Yeh Tzu-Jin |
11380682 | Integrated circuits with FinFET gate structures | Ching Kuo-Cheng; Su Huan-Chieh; Lin Zhi-Chang; Wang Chih-Hao |
11380693 | Semiconductor device including anti-fuse cell structure | Chang Meng-Sheng; Yang Yao-Jen |
11380708 | Analog non-volatile memory device using poly ferroelectric film with random polarization directions | Chang Chih-Sheng |
11380721 | Wide channel gate structure | Wei Chia-Yu; Chang Fu-Cheng; Chen Hsin-Chi; Kao Ching-Hung; Cheng Chia-Pin; Lee Kuo-Cheng; Huang Hsun-Ying; Lin Yen-Liang |
11380728 | Charge release layer to remove charge carriers from dielectric grid structures in image sensors | Su Ching-Chung; Lu Jiech-Fun |
11380729 | Image sensor having lens layer and manufacturing method thereof | Chen Chia-Chan |
11380762 | Semiconductor device having semiconductor alloy layer adjacent a gate structure | Huang Chien-Chao; Yeo Yee-Chia; Wang Chao-Hsiung; Lin Chun-Chieh; Hu Chenming |
11380768 | Semiconductor device and manufacturing method thereof | Chen Shih-Cheng; Lin Chun-Hsiung; Wang Chih-Hao |
11380769 | Select gate spacer formation to facilitate embedding of split gate flash memory | Lin Meng-Han; Hsieh Chih-Ren |
11380772 | Gate structure and patterning method for multiple threshold voltages | Cheng Chung-Liang; Fang Ziwei |
11380774 | Etching back and selective deposition of metal gate | Lim Peng-Soon; Hung Cheng-Lung; Huang Mao-Lin; Chang Weng |
11380775 | Gate structure of a semiconductor device and method of making | Zhu Ming; Lin Hui-Wen; Chuang Harry Hak-Lay; Young Bao-Ru; Huang Yuan-Sheng; Chen Ryan Chia-Jen; Chen Chao-Cheng; Ching Kuo-Cheng; Hsieh Ting-Hua; Diaz Carlos H. |
11380776 | Field-effect transistor device with gate spacer structure | Hsieh Wei-Che; Wang Chunyao |
11380779 | Semiconductor device and manufacturing method thereof | Han Feng; Shi Lei; Tsai Hung-Chih; Su Liang-Yu; Fan Hang |
11380781 | Contact and via structures for semiconductor devices | Khaderbad Mrunal A.; Lin Keng-Chu |
11380782 | Variable size fin structures | Liu Su-Hao; Chang Huicheng; Chan Chien-Tai; Chen Liang-Yin; Yeo Yee-Chia; Chen Szu-Ying |
11380783 | Structure and method for FinFET device with buried SiGe oxide | Chiang Kuo-Cheng; Diaz Carlos H.; Wang Chih-Hao; Wu Zhiqiang |
11380785 | Semiconductor device and manufacturing method thereof | Su Sheng-Kai |
11380793 | Fin field-effect transistor device having hybrid work function layer stack | Lin Chun-Neng; Yeh Ming-Hsi; Chung Hung-Chin; Hsu Hsin-Yun |
11380794 | Fin field-effect transistor device having contact plugs with re-entrant profile | Huang Yu-Lien; Wang Guan-Ren; Fu Ching-Feng; Chang Yun-Min |
11380803 | Semiconductor device structure and method for forming the same | Chen Hou-Yu; Cheng Chao-Ching; Chen Tzu-Chiang; Yang Yu-Lin; Chen I-Sheng |
11380840 | Memory cell with magnetic access selector apparatus | Manfrini Mauricio |
11385538 | Cleaning method for photo masks and apparatus therefor | Lee Hsin-Chang; Hsu Pei-Cheng; Cheng Hao-Ping; Lien Ta-Cheng |
11385555 | Semiconductor manufacturing system and particle removal method | Yao Chih-Yuan; Chen Yu-Yu; Tsou Hsiang-Lung |
11386253 | Power-aware scan partitioning | Patidar Ankita; Goel Sandeep Kumar; Lee Yun-Han |
11386936 | Memory device, sensing amplifier, and method for sensing memory cell | Noguchi Hiroki; Lin Ku-Feng; Wang Yih |
11386942 | Systems and methods for controlling power assertion in a memory device | Jain Sanjeev Kumar |
11387102 | Stacked nanowire transistors | Lee Tung Ying; Yu Shao-Ming |
11387104 | Grafting design for pattern post-treatment in semiconductor manufacturing | Wang Siao-Shan; Chang Ching-Yu; Lin Chin-Hsiang |
11387105 | Loading effect reduction through multiple coat-etch processes | Chen Jin-Dah; Shieh Ming-Feng; Wu Han-Wei; Lin Yu-Hsien; Liu Po-Chun; Chen Stan |
11387109 | CMP process and methods thereof | Chang Che-Lun; Su Pin-Chuan; Huang Hsin-Chieh; Wu Ming-Yuan; Lin Tzu kai; Wang Yu-Wen; Hsu, deseased Che-Yuan |
11387113 | Method of fabricating semiconductor device with reduced trench distortions | Yen Yung-Sung; Lee Chung-Ju; Chen Chun-Kuang; Wu Chia-Tien; Yu Ta-Ching; Chen Kuei-Shun; Liu Ru-Gun; Shue Shau-Lin; Gau Tsai-Sheng; Wu Yung-Hsu |
11387114 | Semiconductor device with dummy gate and metal gate and method of fabricating the same | Kalnitsky Alexander; Wu Wei-Cheng; Chuang Harry-Hak-Lay |
11387118 | Integrated circuit packages and methods of forming same | Yu Chen-Hua; Lee Chien-Hsun; Yu Chi-Yang; Cheng Jung Wei; Chen Chin-Liang |
11387123 | Metrology method in wafer transportation | Huang Powen; Shang Yao-Yuan; Tseng Kuo-Shu; Chen Yen-Yu; Lin Chun-Chih; Dai Yi-Ming |
11387124 | Wafer container and method for holding wafer | Liu Wei-Kang; Jen Chi-Chung; Huang Jui-Ming; Liao Wan-Ting |
11387138 | Integrated circuit isolation feature and method of forming the same | Peng Chih-Tang; Liang Shuen-Shin; Lin Keng-Chu; Tsai Teng-Chun |
11387140 | Enlarging contact area and process window for a contact via | Yu Li-Zhen; Chuang Cheng-Chi; Wang Chih-Hao; Lin Yu-Ming; Huang Lin-Yu |
11387143 | Redistribution lines with protection layers and method forming same | Cheng Ming-Da; Lu Wen-Hsiung; Kang Chin Wei; Chuang Yung-Han; Mao Lung-Kai; Lin Yung-Sheng |
11387146 | Semiconductor device with air gaps between metal gates and method of forming the same | Min Wei-Lun; Wu Xusheng; Liu Chang-Miao |
11387164 | Semiconductor device and manufacturing method thereof | Wu Chung-Jung; Tung Chih-Hang; Shao Tung-Liang; Hsiao Sheng-Tsung; Wang Jen-Yu |
11387167 | Semiconductor structure and manufacturing method for the same | Kao Min-Feng; Yaung Dun-Nian; Liu Jen-Cheng; Wang Ching-Chun; Huang Kuan-Chieh; Lin Hsing-Chih; Chu Yi-Shin |
11387168 | Semiconductor devices | Yang Cheng-Lung; Su Chih-Hung; Chen Chen-Shien; Huang Hon-Lin; Tsai Kun-Ming; Lin Wei-Je |
11387171 | Method of packaging a semiconductor die | Huang Hui-Min; Hu Shou-Cheng; Lin Chih-Wei; Cheng Ming-Da; Liu Chung-Shi; Chen Chen-Shien |
11387177 | Package structure and method for forming the same | Chien Chin-Her; Huang Po-Hsiang; Yeh Cheng-Hung; Wang Tai-Yu; Tsai Ming-Ke; Tsai Yao-Hsien; Lin Kai-Yun; Huang Chin-Yuan; Liu Kai-Ming; Chang Fong-Yuan; Liu Chin-Chou; Cheng Yi-Kan |
11387181 | Integrated circuits with backside power rails | Chou Chih-Chao; Chiang Kuo-Cheng; Ju Shi Ning; Lan Wen-Ting; Wang Chih-Hao |
11387183 | Semiconductor package having a semiconductor device bonded to a circuit substrate through connection terminals and dummy conductors and method of manufacturing the same | Hsu Feng-Cheng; Jeng Shin-Puu |
11387191 | Integrated circuit package and method | Yu Chen-Hua; Huang Tzu Yun; Ho Ming-Che; Kuo Hung-Jui |
11387204 | Semiconductor structure and method of fabricating the same | Chen Jie; Chen Hsien-Wei |
11387205 | Semiconductor die connection system and method | Chen Ming-Fa; Yu Chen-Hua; Jan Sen-Bor |
11387209 | Package structure | Yeh Sung-Feng; Chen Hsien-Wei; Chen Ming-Fa |
11387217 | Semiconductor device and method of manufacture | Lin Jing-Cheng; Yu Chen-Hua; Tsai Po-Hao |
11387222 | Integrated circuit package and method | Yu Chen-Hua; Chang Wei Ling; Wang Chuei-Tang; Chen Chieh-Yen |
11387232 | Semiconductor device and manufacturing method thereof | Wu Cheng-Han; Lin Chie-Iuan; Chang Kuei-Ming; Hsieh Rei-Jay |
11387233 | Semiconductor device structure and methods of forming the same | Su Huan-Chieh; Chen Chun-Yuan; Wang Pei-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11387237 | Semiconductor component having a fin and an epitaxial contact structure over an epitaxial layer thereof | Ching Kuo-Cheng; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11387240 | Compact electrical connection that can be used to form an SRAM cell and method of making the same | Lin Yu-Kuan; Yang Chang-Ta; Wang Ping-Wei; Chao Kuo-Yi; Wang Mei-Yun |
11387242 | Non-volatile memory (NVM) cell structure to increase reliability | Chen Shih-Hsien; Ko Chun-Yao; Tsui Felix Ying-Kit |
11387274 | Method of forming semiconductor device | Lu Chi-Ming; Huang Chih-Hui; Li Sheng-Chan; Tsao Jung-Chih; Liang Yao-Hsiang |
11387321 | Integrated circuit structure with non-gated well tap cell | Lin Jiefeng; Yeh Jeng-Ya; Lin Chih-Yung |
11387322 | Semiconductor device having nanosheet transistor and methods of fabrication thereof | Wang Chih-Ching; Lee Wei-Yang; Wen Ming-Chang; Hung Jo-Tzu; Hsieh Wen-Hsing; Cheng Kuan-Lun |
11387331 | Source/drain contact structure | Fang Ting; Cai Chung-Hao; Lin Jui-Ping; Yao Chia-Hsien; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11387341 | Semiconductor devices and methods of manufacturing thereof | Lin Shih-Yao; Lin Chih-Han; Lee Hsiao Wen |
11387344 | Method of manufacturing a semiconductor device having a doped work-function layer | Lee Chia-Ching; Chung Hung-Chin; Wu Chung-Chiang; Tung Hsuan-Yu; Chiu Kuan-Chang; Chen Chien-Hao; Chui Chi On |
11387346 | Gate patterning process for multi-gate devices | Huang Mao-Lin; Chu Lung-Kun; Hsu Chung-Wei; Yu Jia-Ni; Chiang Kuo-Cheng; Wang Chih-Hao |
11387347 | Fin structures having varied fin heights for semiconductor device | Chiang Kuo-Cheng; Wang Chih-Hao; Ju Shi Ning |
11387351 | Semiconductor device and method | Young Bo-Feng; Chang Che-Cheng; Wu Po-Chi |
11387360 | Transistor with a negative capacitance and a method of creating the same | Yuan Feng; Lin Ming-Shiang; Ho Chia-Cheng; Cai Jin; Wang Tzu-Chung; Lee Tung Ying |
11387362 | Semiconductor device and manufacturing method thereof | Vellianitis Georgios; Doornbos Gerben; Van Dal Marcus |
11387363 | Source/drain junction formation | Tsai Chun Hsiung; Yu Sheng-Wen; Fang Ziwei |
11387365 | Method of manufacturing a semiconductor device for recessed fin structure having rounded corners | Yu Cheng-Yen; Wu Po-Chi; Lai Yueh-Chun |
11387406 | Magnetic of forming magnetic tunnel junction device using protective mask | Peng Tai-Yen; Wei Hui-Hsien; Lin Han-Ting; Yang Sin-Yi; Chen Yu-Shu; Chang An-Shen; Fu Qiang; Wang Chen-Jung |
11387411 | Logic compatible RRAM structure and process | Chang Chih-Yang; Chen Hsia-Wei; Yang Chin-Chieh; Tu Kuo-Chi; Chu Wen-Ting; Liao Yu-Wen |
11387683 | Composite integrated circuits and methods for wireless interactions therewith | Wang Min-Jer; Peng Ching-Nen; Jou Chewn-Pu; Kuo Feng Wei; Chen Hao; Lin Hung-Chih; Chen Huan-Neng; Yen Kuang-Kai; Liu Ming-Chieh; Lee Tsung-Hsiung |
11387748 | Self-aligned dielectric liner structure for protection in MEMS comb actuator | Hsu Chiao-Chun; Chen Chih-Ming; Yu Chung-Yi; Pan Lung Yuan |
11387818 | Level conversion device and method | Ou Yu-Lun; Hsieh Wei-Chih; Hsieh Shang-Chih |
11388014 | Transistor-based physically unclonable function | Lu Shih-Lien Linus |
11389928 | Method for conditioning polishing pad | Lee Shen-Nan; Hou Te-Chien; Tsai Teng-Chun; Hsu Chung-Wei; Wu Chen-Hao |
11390000 | Wafer level transfer molding and apparatus for performing the same | Jang Bor-Ping; Lin Yeong-Jyh; Hwang Chien Ling; Liu Chung-Shi; Chen Meng-Tse; Cheng Ming-Da; Yu Chen-Hua |
11390520 | Systems and methods for uniform target erosion magnetic assemblies | Chung Chen-Fang; Cheng Wen-Cheng; Tsai Tsez-Chong; Tseng Shuen-Liang; Lo Szu-Hsien; Yang Po-Wen; He Ming-Jie |
11392022 | Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof | Shih Chih-Tsung; Wu Yu-Hsun; Liu Bo-Tsun; Lee Tsung-Chuan |
11392024 | Photomask assembly and method of forming the same | Lee Kuo-Hao; Hsu Hsi-Cheng; Weng Jui-Chun; Pan Han-Zong; Chen Hsin-Yu; Jhang You-Cheng |
11392025 | Apparatus for removing a pellicle frame from a photomask and the method thereof | Huang Wei Cheng; Hsieh Kun-Lung |
11392036 | Photoresist and method | Chang Liang-Yi; Huang Tai-Chun; Chui Chi On |
11392039 | Photoresist baking apparatus with cover plate having uneven exhaust hole distribution | Chen Po-Hung; Chen Yu-Kai |
11392040 | System and method for performing extreme ultraviolet photolithography processes | Chen Tai-Yu; Khivsara Sagar Deepak; Liu Kuo-An; Hsieh Chieh; Chien Shang-Chieh; Chang Gwan-Sin; Lam Kai Tak; Chen Li-Jui; Liu Heng-Hsin; Wu Chung-Wei; Wu Zhiqiang |
11392041 | Particle removal device and method | Wu Cheng-Hsuan; Tsai Ming-Hsun; Chien Shang-Chieh; Chen Li-Jui; Liu Heng-Hsin |
11392045 | Method for manufacturing semiconductor device and system for performing the same | Wang Wen-Yun; Lin Hua-Tai; Liu Chia-Chu |
11392742 | Method for coloring circuit layout and system for performing the same | Chiang Chia-Ping; Chih Ming-Hui; Hsu Chih-Wei; Wu Ping-Chieh; Chang Ya-Ting; Wang Tsung-Yu; Cheng Wen-Li; Yin Hui En; Huang Wen-Chun; Liu Ru-Gun; Gau Tsai-Sheng |
11392743 | Multiplexer | Liu Chi-Lin; Hsieh Shang-Chih; Li Jian-Sing; Ma Wei-Hsiang; Chen Yi-Hsun; Lei Cheok-Kei |
11392745 | Method for improving circuit layout for manufacturability | Wu Yun-Lin; Kuo Cheng-Cheng; Chiang Chia-Ping; Hsu Chih-Wei; Lin Hua-Tai; Chen Kuei-Shun; Lung Yuan-Hsiang; Tsai Yan-Tso |
11392747 | Layout method of a semiconductor device and associated system | Xu Jin-Wei; Zhuang Hui-Zhong; Chen Chih-Liang |
11392748 | Integrated circuit design using fuzzy machine learning | Tong Chao; Deng Qingwen |
11392749 | Integrated circuit layout generation method and system | Su Ke-Ying; Ho Jon-Hsu; Su Ke-Wei; Chen Liang-Yi; Hsieh Wen-Hsing; Lai Wen-Koi; Kuo Keng-Hua; Lu KuoPei; Chang Lester; Wu Ze-Ming |
11393509 | Control circuit of memory device | Wan He-Zhou; Yang Xiu-Li; Li Pei-Le; Wu Ching-Wei |
11393512 | Memory device | Lai Chien-An; Chou Chung-Cheng; Chih Yu-Der |
11393514 | Turbo mode SRAM for high performance | Clinton Michael |
11393528 | RRAM circuit and method | Chou Chung-Cheng; Lai Chien-An; Chen Hsu-Shun; Lin Zheng-Jun; Tseng Pei-Ling |
11393674 | Forming low-stress silicon nitride layer through hydrogen treatment | Hsieh Wei-Che; Huang Ching Yu; Yeh Hsin-Hao; Wang Chunyao; Lee Tze-Liang |
11393677 | Semiconductor device structure with gate spacer | Tu Guan-Yao; Peng Yu-Yun |
11393695 | Ion exposure method and apparatus | Chen Chia-Cheng; Chien Wei-Ting; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia |
11393701 | Anisotropic carrier for high aspect ratio fanout | Yu Chen-Hua; Hwang Chien Ling |
11393704 | Semiconductor processing device | Lin Yii-Cheng; Sun Chih-Ming; Lin Pinyen |
11393711 | Silicon oxide layer for oxidation resistance and method forming same | Kao Wan-Yi; Ko Chung-Chi |
11393713 | Semiconductor device and manufacturing method therefore | Wann Clement Hsinghen; Tsai Chun Hsiung; More Shahaji B.; Hsu Che-Chih; Su Chinyu; Tseng Po-Han; Hung Wen Han; Ko Chih-Hsin; Lin Yu-Ming |
11393717 | Insulating cap on contact structure and method for forming the same | Tsai Kuo-Chiang; Su Fu-Hsiang; Yu Ke-Jing; Chen Jyh-Huei |
11393718 | Semiconductor structure and method for forming the same | Chu Hwei-Jay; Wu Chieh-Han; Tsai Cheng-Hsiung; Lu Chih-Wei; Lee Chung-Ju |
11393724 | Semiconductor device and method | Wu Shih-Chieh; Wu Pang-Chi; Chao Kuo-Yi; Wang Mei-Yun; Liao Hsien-Huang; Hsieh Tung-Heng; Young Bao-Ru |
11393726 | Metal gate structure of a CMOS semiconductor device and method of forming the same | Zhu Ming; Young Bao-Ru; Chuang Harry Hak-Lay |
11393727 | Structure and formation method of fin-like field effect transistor | Jangjian Shiu-Ko; Lin Tzu-Kai; Jeng Chi-Cherng |
11393746 | Reinforcing package using reinforcing patches | Hsu Chia-Kuei; Yew Ming-Chih; Lin Po-Yao; Chen Shuo-Mao; Hsu Feng-Cheng; Jeng Shin-Puu |
11393749 | Stacked via structure | Wang Po-Han; Kuo Hung-Jui; Hu Yu-Hsiang |
11393750 | Semiconductor device and manufacturing method thereof | Liaw Jhon-Jhy |
11393763 | Integrated fan-out (info) package structure and method | Ho Ming-Che; Kuo Hung-Jui; Lee Tzung-Hui |
11393769 | Alignment structure for semiconductor device and method of forming same | Lai Chi-Sheng; Sun Wei-Chung; Chen Li-Ting; Kao Kuei-Yu; Lin Chih-Han |
11393770 | Semiconductor device and method of manufacture | Lin Jing-Cheng; Yu Chen-Hua; Tsai Po-Hao |
11393771 | Bonding structures in semiconductor packaged device and method of forming same | Liu Hao Chun; Hsiao Ching-Wen; Hsu Kuo-Ching; Lii Mirng-Ji |
11393783 | Dummy structure of stacked and bonded semiconductor device | Cheng Li-Hui; Tsai Po-Hao; Lin Jing-Cheng |
11393797 | Chip package with redistribution layers | Chen Jie; Chen Hsien-Wei |
11393805 | 3D semiconductor packages | Yu Chen-Hua; Yu Chun-Hui; Yee Kuo-Chung; Yen Liang-Ju |
11393809 | Semiconductor device having improved electrostatic discharge protection | Hsu Sheng-Fu; Kung Ta-Yuan; Chu Chen-Liang; Tsai Chih-Chung |
11393814 | Method for forming semiconductor device with helmet structure between two semiconductor fins | Ching Kuo-Cheng; Ju Shi-Ning; Wang Chih-Hao |
11393815 | Transistors with varying width nanosheet | Lin Yi-Hsiung; Chiu Yi-Hsun; Chang Shang-Wen; Tsai Ching-Wei; Huang Yu-Xuan; Cheng Kuan-Lun; Wang Chih-Hao |
11393816 | Embedded semiconductor region for latch-up susceptibility improvement | Huang Chien Yao; Su Yu-Ti |
11393817 | Structure and method for gate-all-around metal-oxide-semiconductor devices with improved channel configurations | Liaw Jhon Jhy |
11393830 | Semiconductor device and manufacturing method thereof | Ohtou Tetsu; Tsai Ching-Wei; Cheng Kuan-Lun; Okuno Yasutoshi; Huang Jiun-Jia |
11393831 | Optimized static random access memory | Wang Ping-Wei; Hung Lien Jung; Hsu Kuo-Hsiu; Lim Kian-Long; Lin Yu-Kuan; Pao Chia-Hao; Yang Chih-Chuan; Lin Shih-Hao; Yeap Choh Fei |
11393833 | Ferroelectric random access memory device with seed layer | Lee Bi-Shen; Lin Hsing-Lien; Kuang Hsun-Chung; Wei Yi Yang |
11393863 | Pixel device on deep trench isolation (DTI) structure for image sensor | Takahashi Seiji; Sze Jhy-Jyi; Chen Tzu-Hsiang |
11393866 | Method for forming an image sensor | Liu Po-Chun; Chang Yung-Chang; Chen Eugene I-Chun |
11393898 | Method of manufacturing a semiconductor device and a semiconductor device | Kuan Shu; More Shahaji B.; Lin Chien; Lee Cheng-Han; Chang Shih-Chieh |
11393910 | Semiconductor device and formation method thereof | Hung Wei-Chiang |
11393912 | Semiconductor structure with metal cap layer | Cheng Chung-Liang; Fang Ziwei |
11393924 | Structure and formation method of semiconductor device with high contact area | Liang Shuen-Shin; Tsai Pang-Yen; Lin Keng-Chu; Wang Sung-Li; Lin Pinyen |
11393925 | Semiconductor device structure with nanostructure | Chiang Hung-Li; Lin Yu-Chao; Cheng Chao-Ching; Chen Tzu-Chiang; Lee Tung-Ying |
11393926 | Multi-gate device | Wei Huan-Sheng; Chiang Hung-Li; Liu Chia-Wen; Sheu Yi-Ming; Wu Zhiqiang; Wu Chung-Cheng; Leung Ying-Keung |
11393937 | QE approach by double-side, multi absorption structure | Huang Po-Han; Tu Chien Nan; Wen Chi-Yuan; Wu Ming-Chi; Yeh Yu-Lung; Kuo Hsin-Yi |
11393939 | Photo sensing device and method of fabricating the photo sensing device | Chern Chan-Hong; Song Weiwei; Lin Chih-Chang; Cho Lan-Chou; Hsu Min-Hsiang |
11393940 | Photodetector and method for forming the same | Chern Chan-Hong |
11394359 | Band stop filter structure and method of forming | Tsai Ming Hsien |
11394388 | Low power clock network | Lu Po Chun; Wang Shao-Yu |
11395373 | Wafer holder with tapered region | Lin Yi-Hung; Wang Li-Ting; Lee Tze-Liang |
11396695 | Electromagnetic module for physical vapor deposition | Chu Hsuan-Chih; Pan Chien-Hsun; Chen Yen-Yu; Lin Chun-Chih |
11397078 | Thin film metrology | Chen Chih Hung; Chen Kei-Wei; Kung Te-Ming |
11397226 | Ferromagnetic resonance (FMR) electrical testing apparatus for spintronic devices | Jan Guenole; Le Son; Thomas Luc; Guisan Santiago Serrano |
11397385 | Apparatus and a method of forming a particle shield | Cheng Wen-Hao |
11397842 | Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same | Chang Fong-Yuan; Liu Chin-Chou; Chen Sheng-Hsiung; Huang Po-Hsiang |
11398257 | Header layout design including backside power rail | Mori Haruki; Tien Chien-Chi; Huang Chia-En; Fujiwara Hidehiro; Chen Yen-Huei; Chen Feng-Lun |
11398261 | Method and signal generator for controlling timing of signal in memory device | Yang Xiu-Li; Wan He-Zhou; Ye Mu-Yang; Kong Lu-Ping; Chang Ming-Hung |
11398271 | Memory device having a comparator circuit | Shah Jaspal Singh; Katoch Atul |
11398275 | Memory computation circuit and method | Chen Yen-Huei; Liao Hung-Jen; Chang Jonathan Tsung-Yung; Fujiwara Hidehiro |
11398380 | Method and structure of middle layer removal | Chen Nai-Chia; Hsu Wan Hsuan; Wu Chia-Wei; Yang Neng-Jye; Chou Chun-Li |
11398381 | Method for forming semiconductor structure | Su Chia-Wei; Yen Fu-Ting; Tsai Teng-Chun |
11398384 | Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer | Peng Yu-Jiun; Tsao Hsiu-Hao; Chen Shu-Han; Syu Chang-Jhih; Yu Kuo-Feng; Chen Jian-Hao; Yu Chih-Hao; Chang Chang-Yun |
11398385 | Semiconductor device and method | Huang Yu-Lien; Wang Guan-Ren; Fu Ching-Feng |
11398391 | Substrate processing apparatus and method for processing substrate | Wang Po-Yuan; Chiang Tzu Ang; Lian Jian-Jou; Wang Yu Shih; Lin Chun-Neng; Yeh Ming-Hsi |
11398393 | Vapor shield replacement system and method | Lin Ping-Tse; Lin Chun-Chih; Lien Wen-Cheng; Ho Monica |
11398396 | Apparatus and methods for handling die carriers | Kuo Tsung-Sheng; Huang Kai-Chieh; Hsiao Wei-Ting; Chu Yang-Ann; Yang I-Lun; Lee Hsuan |
11398403 | Multiple thickness semiconductor-on-insulator field effect transistors and methods of forming the same | Singh Gulbagh; Wang Po-Jen; Chuang Kun-Tsang |
11398404 | Semiconductor structure with air gap and method sealing the air gap | Sun Hung-Chang; Mineji Akira; Fang Ziwei |
11398405 | Method and apparatus for back end of line semiconductor device processing | Wu Chung-Wen; Ting Chih-Yuan; Shieh Jyu-Horng |
11398406 | Selective deposition of metal barrier in damascene processes | Kuo Chia-Pang; Lee Ya-Lien; Shen Chieh-Yi |
11398416 | Package structure and method of fabricating the same | Lee Tzung-Hui; Kuo Hung-Jui; Ho Ming-Che |
11398422 | Package structure and fabricating method thereof | Lu Chun-Lin; Wu Jiun-Yi; Wu Kai-Chiang |
11398440 | Polymer layers embedded with metal pads for heat dissipation | Chuang Hao-Hsiang; Liang Shih-Wei; Yang Ching-Feng; Wu Kai-Chiang; Tsai Hao-Yi; Wang Chuei-Tang; Yu Chen-Hua |
11398444 | Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same | Chu Chiang-Jui; Hsiao Ching-Wen; Liu Hao-Chun; Cheng Ming-Da; Wu Young-Hwa; Chang Tao-Sheng |
11398467 | Methods for forming integrated circuit having guard rings | Sheu Ming-Song; Lee Jian-Hsing; Jong Yu-Chang; Tsai Chun-Chien |
11398476 | Structure and formation method of semiconductor device with hybrid fins | Ng Jin-Aun; Chiang Kuo-Cheng; Chiang Hung-Li; Chen Tzu-Chiang; Chen I-Sheng |
11398477 | Semiconductor device and method | Hsueh Jen-Chih; Hung Chih-Chang; Yin Tsung Fan; Chiu Yi-Wei |
11398482 | Semiconductor device and method | Wang Chun-Chieh; Pai Yueh-Ching; Yang Huai-Tei |
11398512 | Photo-sensing device and manufacturing method thereof | Chen Chia-Chan |
11398516 | Conductive contact for ion through-substrate via | Tsai Min-Ying; Wu Cheng-Ta; Tu Yeur-Luen |
11398550 | Semiconductor device with facet S/D feature and methods of forming the same | Lin Zhi-Chang; Chen Shih-Cheng; Chang Jung-Hung; Chang Lo-Heng |
11398553 | Source/drain features | Lin Ruei-Ping; Tzeng Kai-Di; Lee Chen-Ming; Lee Wei-Yang |
11398559 | Mitigation of time dependent dielectric breakdown | Huang Yi-Jyun; Young Bao-Ru; Hsieh Tung-Heng |
11398567 | Semiconductor device with negative capacitance comprising ferroelectric layer including amorphous and crystals | Tsai Wilman; Yeh Ling-Yen |
11398597 | Transistor, semiconductor device including the same, and manufacturing method thereof | Manfrini Mauricio |
11398811 | Circuits and methods for reducing kickback noise in a comparator | Chang Chin-Ho; Horng Jaw-Juinn; Peng Yung-Chow |
11398933 | Multi-tap decision feed-forward equalizer with precursor and postcursor taps | Palusa Chaitanya; Abbott Rob; Chen Wei-Li; Lan Po-Hsiang; Pfaff Dirk; Hsieh Cheng-Hsiang |
11401860 | Method of using oscillating assembly and exhaust system | Huang Po-Hsuan; Yang Tung-Lin |
11402580 | Integrated optical devices and methods of forming the same | Chern Chan-Hong; Hsu Min-Hsiang |
11402743 | Mask defect prevention | Lu Chi-Ta; Tu Chih-Chiang; Lin Cheng-Ming; Chen Ching-Yueh; Hu Wei-Chung; Hsu Ting-Chang; Chen Yu-Tung |
11402745 | Mask for EUV lithography and method of manufacturing the same | Lin Yun-Yue |
11402747 | Multiple-mask multiple-exposure lithography and masks | Yu Peter; Hsu Chih-Tung; Wang Kevin; Hu Chih-Chia; Chen Roger |
11402828 | Method, system and non-transitory computer-readable medium for reducing work-in-progress | Wang Po-Yi; Cheng Chao-Ming |
11403033 | Memory circuit including an array control inhibitor | Jain Sanjeev Kumar; O'Connell Cormac Michael |
11403448 | Apparatus and method for mapping foundational components during design porting from one process technology to another process technology | Yu Chih-yuan Stephen; Huang Boh-Yi; Lo Chao-Chun; Guo Xiang |
11404091 | Memory array word line routing | Lin Meng-Han; Wang Chenchen Jacob; Liu Yi-Ching; Chia Han-Jong; Yeong Sai-Hooi; Lin Yu-Ming; Wang Yih |
11404099 | Using split word lines and switches for reducing capacitive loading on a memory system | Wang Sheng-Chen; Lin Meng-Han; Huang Chia-En; Liu Yi-Ching |
11404113 | Memory device including a word line with portions with different sizes in different metal layers | Nien Yi-Hsin; Zhao Wei-Chang; Lin Chih-Yu; Fujiwara Hidehiro; Chen Yen-Huei; Wang Ru-Yu |
11404114 | Low voltage memory device | Sinangil Mahmut; Chen Yen-Huei; Lin Yen-Ting; Liao Hung-Jen; Chang Jonathan Tsung-Yung |
11404115 | Memory with write assist scheme | Fujiwara Hidehiro; Liao Hung-Jen; Chen Yen-Huei |
11404140 | Method and memory device with increased read and write margin | Yu Hung-Chang |
11404245 | DC bias in plasma process | Pan Sheng-Liang; Chen Bing-Hung; Hung Chia-Yang; Shieh Jyu-Horng; Suen Shu-Huei; Jang Syun-Ming; Kuo Jack Kuo-Ping |
11404250 | Plasma etcher edge ring with a chamfer geometry and impedance design | Wang Chien-Yu; Lin Hung-Bin; Hong Shih-Ping; Chen Shih-Hao; Lu Chen-Hsiang; Lee Ping-Chung |
11404274 | Source/drain structure for semiconductor device | Chang Hsiao-Chun; Shen Guan-Jie |
11404308 | Semiconductor package and method | Kuo Hung-Jui; Hsieh Yun Chen; Tsai Hui-Jung |
11404309 | Vias for cobalt-based interconnects and methods of fabrication thereof | Chang Yu-Jen; Hsieh Min-Yann; Chen Hua Feng; Pan Kuo-Hua |
11404312 | Contact plug with impurity variation | Wu Chung-Chiang; Tsau Hsueh Wen; Lee Chia-Ching; Hung Cheng-Lung; Su Ching-Hwanq |
11404315 | Method for manufacturing semiconductor device | Chang Chia-Hao; You Jia-Chuan; Lin Yu-Ming; Wang Chih-Hao; Lien Wai-Yi |
11404316 | System, device and methods of manufacture | Yu Chen-Hua; Chang Wei Ling; Wang Chuei-Tang; Kuo Tin-Hao; Hsu Che-Wei |
11404320 | Fin field effect transistor device structure | Chang Shang-Wen; Lin Yi-Hsiung; Chiu Yi-Hsun |
11404321 | Semiconductor structure and method of manufacturing the same | Huang Yuan-Sheng; Chen Ryan Chia-Jen |
11404322 | Method of manufacturing a semiconductor device | Tsai Chun Hsiung; Lin Yu-Ming; Yu Kuo-Feng; Yeh Ming-Hsi; More Shahaji B.; Savant Chandrashekhar Prakash; Ko Chih-Hsin; Wann Clement Hsingjen |
11404323 | Formation of hybrid isolation regions through recess and re-deposition | Ko Chung-Ting; Chui Chi On |
11404324 | Fin isolation structures of semiconductor devices | Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun; Chen Yen-Ming |
11404325 | Silicon and silicon germanium nanowire formation | Ng Jin-Aun; Chiang Kuo-Cheng; Diaz Carlos H.; Colinge Jean-Pierre |
11404327 | Gate structure and method of forming same | More Shahaji B.; Savant Chandrashekhar Prakash; Tsai Chun Hsiung |
11404341 | Package and package-on-package structure having elliptical columns and ellipsoid joint terminals | Chiu Sheng-Huan; Chen Chun-Jen; Chen Chen-Shien; Liu Kuo-Chio; Chang Kuo-Hui; Lin Chung-Yi; Cheng Hsi-Kuei; Lai Yi-Jen |
11404342 | Package structure comprising buffer layer for reducing thermal stress and method of forming the same | Chen Wei-Chih; Lee Chien-Hsun; Liu Chung-Shi; Hou Hao-Cheng; Kuo Hung-Jui; Cheng Jung-Wei; Wang Tsung-Ding; Hu Yu-Hsiang; Liao Sih-Hao |
11404366 | Hybrid interconnect structure for self aligned via | Yang Shin-Yi; Lee Ming-Han; Shue Shau-Lin |
11404367 | Method for forming semiconductor device with self-aligned conductive features | Yang Tai-I; Chu Wei-Chen; Wu Yung-Hsu; Lee Chung-Ju |
11404368 | Etch stop layer in integrated circuits | JangJian Shiu-Ko; Hong Tsung-Hsuan; Lin Chun Che; Wu Chih-Nan |
11404369 | Semiconductor device structure with resistive element | Chen Wan-Te; Chen Chung-Hui; Chen Wei-Chih; Chen Chii-Ping; Huang Wen-Sheh; Lin Bi-Ling; Liu Sheng-Feng |
11404376 | Interconnection structure, fabricating method thereof, and semiconductor device using the same | Lin Yu-Hung; Liu Chi-Wen; Tseng Horng-Huei |
11404381 | Chip package with fan-out structure | Chen Shing-Chao; Lin Chih-Wei; Chiang Tsung-Hsien; Cheng Ming-Da; Hsieh Ching-Hua |
11404383 | Magnetic shielding material with insulator-coated ferromagnetic particles | Lu Tsung-Hsing; Tsao Pei-Haw; Chu Li-Huan |
11404394 | Chip package structure with integrated device integrated beneath the semiconductor chip | Hsu Feng-Cheng; Jeng Shin-Puu; Chen Shuo-Mao |
11404404 | Semiconductor structure having photonic die and electronic die | Chen Hsien-Wei; Chen Ming-Fa |
11404406 | Protection circuit | Huang Chien-Yao |
11404409 | Electrostatic discharge protection circuit | Tsai Ming-Fu; Chang Tzu-Heng; Su Yu-Ti; Huang Kai-Ping |
11404410 | Semiconductor device having different voltage regions | Lin Meng-Han; Chen Te-An |
11404413 | Semiconductor device and manufacturing method thereof | Chen Kuan-Jung; Chen I-Chih; Huang Chih-Mu; Wu Kai-Di; Lee Ming-Feng; Kuan Ting-Chun |
11404416 | Low resistance fill metal layer material as stressor in metal gates | Khaderbad Mrunal A; Fang Ziwei; Lin Keng-Chu; Tsau Hsueh Wen |
11404417 | Low leakage device | Chung Cheng-Ting; Tsai Ching-Wei; Cheng Kuan-Lun |
11404418 | Semiconductor device and manufacturing method thereof | Liao Chia-Chun; Liang Chun-Sheng; Wang Shu-Hui; Chang Shih-Hsun; Chen Yi-Jen |
11404423 | Fin-based strap cell structure for improving memory performance | Liaw Jhon Jhy |
11404424 | Static random access memory with magnetic tunnel junction cells | Wang Ping-Wei; Chen Jui-Lin; Lin Yu-Kuan |
11404426 | Controlling trap formation to improve memory window in one-time program devices | Su Hsin-Wen; Hung Lien Jung; Wang Ping-Wei; Lin Yu-Kuan; Lin Shih-Hao |
11404444 | Three-dimensional memory device and methods of forming | Lu Chun-Chieh; Yeong Sai-Hooi; Young Bo-Feng; Lin Yu-Ming; Chia Han-Jong |
11404460 | Vertical gate field effect transistor | Chen Chun-Yuan; Wang Ching-Chun; Tseng Hsiao-Hui; Liu Jen-Cheng; Sze Jhy-Jyi; Ting Shyh-Fann; Wu Wei Chuang; Chiang Yen-Ting; Liao Chia Ching; Chen Yen-Yu |
11404465 | Epitaxial semiconductor liner for enhancing uniformity of a charged layer in a deep trench and methods of forming the same | Lee Ru-Liang; Cheng Yu-Hung; Tu Yeur-Luen |
11404468 | Wavelength tunable narrow band filter | Huang Cheng Yu; Chuang Chun-Hao; Hashimoto Kazuaki; Chou Keng-Yu; Chiang Wei-Chieh; Wu Wen-Hau; Chang Chih-Kung |
11404470 | Method of forming deep trench isolation in radiation sensing substrate and image sensor device | Lu Chi-Ming; Huang Chih-Hui; Tsao Jung-Chih; Liang Yao-Hsiang; Huang Chih-Chang; Hsu Ching-Ho |
11404476 | Bipolar selector with independently tunable threshold voltages | Lai Sheng-Chih; Lin Chung-Te; Cao Min; Osborne Randy |
11404477 | Memory array and method of forming thereof | Lee I-Che; Huang Huai-Ying |
11404480 | Memory arrays including continuous line-shaped random access memory strips and method forming same | Lin Yi-Tzu; Tzeng Kuo-Chyuan; Lin Kao-Chao; Huang Chang-Chih |
11404484 | Image sensors with organic photodiodes and methods for forming the same | Liang Chin-Wei; Tsai Chia-Shiung; Tsai Cheng-Yuan; Lin Hsing-Lien |
11404534 | Backside capacitor techniques | Kao Min-Feng; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng |
11404537 | Semiconductor device with air-void in spacer | Singh Gulbagh; Chuang Kun-Tsang; Chen Hsin-Chi |
11404543 | Semiconductor device and manufacturing method thereof | Vellianitis Georgios |
11404548 | Capacitance reduction for backside power rail device | Yu Li-Zhen; Chuang Cheng-Chi; Wang Chih-Hao; Su Huan-Chieh; Huang Lin-Yu |
11404552 | Fin Field-Effect Transistor and method of forming the same | Pan Yu-Chi; Chuang Ying-Liang; Yeh Ming-Hsi; Huang Kuo-Bin |
11404553 | Semiconductor device and manufacturing method thereof | Wang Xin-Yong; Han Liu |
11404554 | Transistor gates and method of forming | Lee Hsin-Yi; Hung Cheng-Lung; Chui Chi On |
11404555 | Metal gates and manufacturing methods thereof | Lu Jen-Hsiang; Tsai Tsung-Han; Chang Shih-Hsun |
11404557 | Method of forming a high electron mobility transistor | Hsu Chun-Wei; Yu Jiun-Lei Jerry; Yao Fu-Wei; Yu Chen-Ju; Yang Fu-Chih; Tsai Chun Lin |
11404558 | Semiconductor device and a method for fabricating the same | Chiou Yao-De; Chen Hui-Chi; Yeh Jeng-Ya |
11404561 | Semiconductor device and manufacturing method thereof | More Shahaji B.; Chang Shih-Chieh |
11404569 | Sidewall spacer structure to increase switching performance of ferroelectric memory device | Chia Han-Jong |
11404570 | Semiconductor devices with embedded ferroelectric field effect transistors | Chang Chia-Hao; Huang Lin-Yu; Chia Han-Jong; Young Bo-Feng; Lin Yu-Ming |
11404574 | P-type strained channel in a fin field effect transistor (FinFET) device | More Shahaji B.; Yang Huai-Tei; Chang Shih-Chieh; Kuan Shu; Lee Cheng-Han |
11404576 | Dielectric fin structure | Lu Yu-Shan; Yang Chung-I; Chao Kuo-Yi; Hsieh Wen-Hsing; Kuo Jiun-Ming; Wang Chih-Ching; Peng Yuan-Ching |
11404577 | Semiconductor device and method of forming doped channel thereof | Wang Tai-Yuan |
11404586 | Transistors with enhanced dopant profile and methods for forming the same | Dai Min-Kun; Chang I-Cheng; Wu Cheng-Yi; Tsai Han-Ting; Lin Tsann; Lin Chung-Te; Chiu Wei-Gang |
11404590 | Photo sensing device and method of fabricating the photo sensing device | Chern Chan-Hong |
11404633 | Method for forming MTJS with lithography-variation independent critical dimension | Gallagher William J. |
11404635 | Memory stacks and methods of forming the same | Lee Tung-Ying; Yu Shao-Ming; Lin Yu-Chao |
11404638 | Multi-doped data storage structure configured to improve resistive memory cell performance | Lee Bi-Shen; Trinh Hai-Dang; Jiang Fa-Shen; Kuang Hsun-Chung |
11407083 | Polishing head, chemical-mechanical polishing system and method for polishing substrate | Hsu Shu-Bin; Lin Ren-Guei; Wu Feng-Inn; Wang Sheng-Chen; Li Jung-Yu |
11407636 | Inter-poly connection for parasitic capacitor and die size improvement | Cheng Shyh-Wei; Wang Chih-Yu; Hsu Hsi-Cheng; Chiang Ji-Hong; Weng Jui-Chun; Lin Shiuan-Jeng; Wu Wei-Ding; Hu Ching-Hsiang |
11409139 | Semiconductor device including waveguide heater, and method and system for generating layout diagram of same | Kuo Feng-Wei; Jou Chewn-Pu; Chen Huan-Neng; Cho Lan-Chou |
11409200 | Substrate measuring device and a method of using the same | Wu Min-Cheng; Liao Chi-Hung |
11409201 | Substrate measuring device and a method of using the same | Wu Min-Cheng; Liao Chi-Hung |
11409915 | Method and apparatus for protecting a PUF generator | Lu Shih-Lien Linus |
11409937 | Semiconductor device including cell region having more similar cell densities in different height rows, and method and system for generating layout diagram of same | Lin Wei-Cheng; Yang Hui-Ting; Tzeng Jiann-Tyng; Yuan Lipen; Lai Wei-An |
11409938 | Integrated circuit and method of manufacturing same | Chiang Ting-Wei; Zhuang Hui-Zhong; Tien Li-Chun |
11410714 | Magnetoresistive memory device and manufacturing method thereof | Luo Zong-You; Tsou Ya-Jui; Liu Chee-Wee; Lin Shao-Yu; Chung Liang-Chor; Wang Chih-Lin |
11410719 | SRAM array | Liaw Jhon-Jhy |
11410740 | Multi-fuse memory cell circuit and method | Chang Meng-Sheng; Huang Chia-En; Chou Shao-Yu; Wang Yih |
11410846 | Method for metal gate surface clean | Suen Shich-Chang; Wu Li-Chieh; Liu Chi-Jen; Peng He Hui; Chen Liang-Guang; Chen Yung-Chung |
11410857 | Wafer holding pins and methods of using the same | Chen Chia-Lun; Hung Ming-Sung; Shih Po-Jen; Hsu Wen-Hung |
11410876 | Semiconductor device with air gaps and method of fabrication thereof | Chang Chia-Hao; Huang Lin-Yu; Yu Li-Zhen; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11410877 | Source/drain contact spacers and methods of forming same | Wu Xusheng |
11410878 | Semiconductor device with contact pad and method of making | Yen Chun-Hsu; Hsu Yu Chuan; Yang Chen-Hui |
11410880 | Phase control in contact formation | Huang Chun-Hsien; Chen I-Li; Chen Pin-Wen; Hsu Yuan-Chen; Lin Wei-Jung; Chang Chih-Wei; Tsai Ming-Hsing |
11410882 | Method of forming semiconductor device having a dual material redistribution line and semiconductor device | Cheng Anhao; Liu Chun-Chang |
11410886 | Dummy fin with reduced height and method forming same | Lin Shih-Yao; Liu Te-Yung; Lin Chih-Han |
11410887 | FinFET device having oxide region between vertical fin structures | Ching Kuo-Cheng; Leung Ying-Keung |
11410889 | Semiconductor device and manufacturing method thereof | Wang Chun-Chieh; Pai Yueh-Ching |
11410890 | Epitaxial layers in source/drain contacts and methods of forming the same | Shih Ding-Kang; Tsai Pang-Yen |
11410897 | Semiconductor structure having a dielectric layer edge covering circuit carrier | Wu Chih-Wei; Lu Szu-Wei; Shih Ying-Ching |
11410910 | Packaged semiconductor device including liquid-cooled lid and methods of forming the same | Hsiao Sheng-Tsung; Wang Jen Yu; Wu Chung-Jung; Shao Tung-Liang; Tung Chih-Hang |
11410918 | Method of making an integrated circuit package including an integrated circuit die soldered to a bond pad of a carrier | Yu Chen-Hua; Kuo Hung-Jui; Ho Ming-Che; Lee Tzung-Hui |
11410923 | Semiconductor device, integrated fan-out package and method of forming the same | Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Chen Wei-Ting |
11410925 | Electrical fuse structure and method of formation | Wu Shien-Yang; Kung Wei-Chang |
11410926 | E-fuse enhancement by underlayer layout design | Fu An-Jiao; Huang Po-Hsiang; Hsu Derek; Hsueh Hsiu-Wen; Chang Meng-Sheng |
11410927 | Semiconductor structure and method for forming thereof | Chang Jen-Yuan; Lai Chia-Ping |
11410929 | Semiconductor device and method of manufacture | Chang Fong-yuan; Ettuveettil Noor Mohamed; Huang Po-Hsiang; Jan Sen-Bor; Chen Ming-Fa; Liu Chin-Chou; Cheng Yi-Kan |
11410930 | Semiconductor device and method | Wang Pei-Yu; Huang Yu-Xuan |
11410932 | Semiconductor device and method of manufacturing the same | Tseng Ming-Hung; Hsieh Cheng-Chieh; Tsai Hao-Yi |
11410939 | Chip package with lid | Yeh Shu-Shen; Wang Chin-Hua; Lee Kuang-Chun; Lin Po-Yao; Leu Shyue-Ter; Jeng Shin-Puu |
11410948 | Semiconductor structure and manufacturing method thereof | Chen Ming-Fa; Chen Hsien-Wei; Chen Jie |
11410952 | Filter and capacitor using redistribution layer and micro bump layer | Yen Hsiao-Tsung; Lu Jhe-Ching; Lin Yu-Ling; Kuo Chin-Wei; Jeng Min-Chie |
11410953 | Via structure for packaging and a method of forming | Ho Ming-Che; Wu Yi-Wen; Hwang Chien Ling; Kuo Hung-Jui; Liu Chung-Shi |
11410956 | Chip package structure with bump | Chen Wei-Yu; Huang Li-Hsien; Su An-Jhih; Chen Hsien-Wei |
11410968 | Semiconductor device and method of forming the same | Wu Jiun Yi; Yu Chen-Hua; Hou Shang-Yun |
11410972 | Hybrid bonding technology for stacking integrated circuits | Wu Kuo-Ming; Wang Ching-Chun; Yaung Dun-Nian; Lin Hsing-Chih; Liu Jen-Cheng; Kao Min-Feng; Lin Yung-Lung; Huang Shih-Han; Chen I-Nan |
11410982 | Semiconductor devices and methods of manufacturing | Yang Chang-Yi; Chuang Po-Yao; Jeng Shin-Puu |
11410986 | Power cell for semiconductor devices | Yang Chung-Chieh; Lu Chung-Ting; Peng Yung-Chow |
11410991 | Series resistor over drain region in high voltage device | Huo Ker Hsiao; Yang Fu-Chih; Tsai Chun Lin; Chen Yi-Min; Chan Chih-Yuan |
11410993 | Method of manufacturing semiconductor device | Chuang Harry Hak-Lay; Wu Wei Cheng |
11410995 | Semiconductor structure and method of forming thereof | Song Jhu-Min; Chou Chien-Chih; Thei Kong-Beng; Fan Fu-Jier |
11410996 | Field-effect transistors having transition metal dichalcogenide channels and methods of manufacture | Yeo Yee-Chia; Yeh Ling-Yen; Sun Yuan-Chen |
11410999 | Boundary design for high-voltage integration on HKMG technology | Chen Yi-Huan; Chou Chien-Chih; Kalnitsky Alexander; Thei Kong-Beng; Liu Ming Chyi; Hsiao Shih-Chung; Chen Jhih-Bin |
11411001 | Integrated circuit and manufacturing method thereof | Chang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei |
11411011 | Semiconductor structure having memory device and method of forming the same | Wu Chao-I; Lin Yu-Ming; Chia Han-Jong |
11411025 | 3D ferroelectric memory | Lai Sheng-Chih; Lin Chung-Te |
11411033 | Image sensor device and manufacturing method thereof | Chiu Wei-Chao; Chang Chun-Wei; Kuo Ching-Sen; Shiu Feng-Jia |
11411079 | Semiconductor device and method | Lee Hsin-Yi; Chen Ji-Cheng; Chui Chi On |
11411082 | Nanowire stack GAA device with selectable numbers of channel strips | Tsou Ya-Jui; Luo Zong-You; Huang Wen Hung; Yan Jhih-Yang; Liu Chee-Wee |
11411083 | Semiconductor structure | Hsiao Meng-Hsuan; Chen Winnie Victoria Wei-Ning; Lee Tung Ying |
11411086 | Field plate and isolation structure for high voltage device | Chen Kaochao; Ho Chia-Cheng; Liu Ming Chyi |
11411089 | Semiconductor device and manufacturing method thereof | Vellianitis Georgios |
11411090 | Contact structures for gate-all-around devices and methods of forming the same | Liaw Jhon Jhy |
11411094 | Contact with a silicide region | Cheng Yu-Wen; Lin Cheng-Tung; Chang Chih-Wei; Lee Hong-Mao; Tsai Ming-Hsing; Lin Sheng-Hsuan; Lin Wei-Jung; Tsai Yan-Ming; Wang Yu-Shiuan; Chen Hung-Hsu; Loh Wei-Yip; Cheng Ya-Yi |
11411097 | Semiconductor device | Lin Yi-Chuan; Chuang Chiang-Ming; Wu Shang-Yen |
11411098 | Devices with strained source/drain structures and method of forming the same | Kwok Tsz-Mei; Sung Hsueh-Chang; Chen Kuan-Yu; Lin Hsien-Hsin |
11411100 | Method of forming backside power rails | Wang Ping-Wei; Yang Chih-Chuan; Lin Yu-Kuan; Yeap Choh Fei |
11411103 | Tunnel field-effect transistor with reduced trap-assisted tunneling leakage | Vasen Timothy; Doornbos Gerben; Passlack Matthias |
11411107 | FinFET structure and method with reduced fin buckling | Lai Wei-Jen; Chen Yen-Ming; Lee Tsung-Lin |
11411108 | Semiconductor device and manufacturing method thereof | Chen Chih-Fen; Peng Chui-Ya; Yu Ching; Lin Pin-Hen; Chuang Yen; Fan Yuh-Ta |
11411109 | MOS devices having epitaxy regions with reduced facets | Sung Hsueh-Chang; Li Kun-Mu; Lee Tze-Liang; Li Chii-Horng; Kwok Tsz-Mei |
11411112 | Gate structure, method of forming the same, and semiconductor device having the same | Wang Chun-Chieh; Yeh Sheng-Wei; Pai Yueh-Ching; Yang Chi-Jen |
11411113 | FinFETs and methods of forming FinFETs | Lin Chin-Hsiang; Huang Tai-Chun; Bao Tien-I |
11411123 | Semiconductor device and method of formation | Huang Chin-Yi; Shih Wade |
11411174 | Silicon oxynitride based encapsulation layer for magnetic tunnel junctions | Sundar Vignesh; Wang Yu-Jen; Shen Dongna; Patel Sahil; Tong Ru-Ying |
11411176 | Gradient protection layer in MTJ manufacturing | Peng Tai-Yen; Chen Yu-Shu; Yang Sin-Yi; Wang Chen-Jung; Huang Chien Chung; Lin Han-Ting; Shieh Jyu-Horng; Fu Qiang |
11411178 | Resistive random access memory device | Mo Chun-Chieh; Kuo Shih-Chi |
11411180 | Phase-change memory device and method | Lee Tung Ying; Lin Yu Chao; Yu Shao-Ming |
11411181 | Phase-change memory device and method | Lee Tung Ying; Yu Shao-Ming; Lin Yu Chao |
11411535 | Semiconductor device and operation method thereof | Hwu Jenn-Gwo; Hsu Ting-Hao |
11411554 | Comparing device and method of controlling comparing device | Chuang Mei-Chen |
11411571 | Phase-locked loop monitor circuit | Goel Sandeep Kumar; Chen Ji-Jan; John Stanley; Lee Yun-Han; Huang Yen-Hao |
11412167 | Image sensor including pixel circuits | Liu Chih-Min |
11414757 | Gas tube, gas supply system and manufacturing method of semiconductor device using the same | Chen Yi-Shiung; Huang Cheng-Yi; Yang Chih-Shen; Kuo Shou-Wen; Chai Po-Wen |
11414759 | Mechanisms for supplying process gas into wafer process apparatus | Lin Su-Horng |
11414763 | Manufacturing method of sensor in an internet-of-things | Lei Ming-Ta; Chu Chia-Hua; Chiang Hsin-Chih; Chen Tung-Tsun; Cheng Chun-Wen |
11415762 | Optical bench, method of making and method of using | Kuo Ying-Hao; Hou Shang-Yun; Lee Wan-Yu |
11415820 | Waveguide structure | Chern Chan-Hong |
11415878 | Pellicle frame with stress relief trenches | Lee Kuo-Hao; Jhang You-Cheng; Pan Han-Zong; Weng Jui-Chun; Chung Chiu-Hua; Lin Sheng-Yuan; Chen Hsin-Yu |
11415879 | Reticle enclosure for lithography systems | Shih Chih-Tsung; Chien Tsung-Chih; Lee Tsung Chuan; Chang Hao-Shiang |
11415890 | Method of mask data synthesis and mask making | Huang Hsu-Ting; Lo Shih-Hsiang; Liu Ru-Gun |
11416666 | Integrated circuit and method for forming the same | Lai Ming-Fang; Chen Guan-Yu; Chang Yi-Feng |
11417370 | Memory device | Lin Chien-Chen; Chan Wei Min |
11417377 | Three-dimensional (3-D) write assist scheme for memory cells | Chiu Chih-Chieh; Huang Chia-En; Wu Fu-An; Huang I-Han; Yang Jung-Ping |
11417512 | Method for cleaning semiconductor wafer backside surface by hybrid brush assembly | Mai Hsuan-Ying; Lee Hui-Chun |
11417520 | Semiconductor structure having sets of III-V compound layers and method of forming | Chen Chi-Ming; Liu Po-Chun; Yu Chung-Yi; Tsai Chia-Shiung |
11417539 | Bump structure and method of making the same | Lu Wen-Hsiung; Cheng Ming-Da; Lin Su-Fei; Liu Hsu-Lun; Chan Chien-Pin; Lin Yung-Sheng |
11417566 | Semiconductor device structure with interconnect structure and method for forming the same | Kung Chun-Hao; Chang Chih-Chieh; Liao Kao-Feng; Huang Hui-Chi; Chen Kei-Wei |
11417569 | Package structure having integrated circuit component with conductive terminals of different dimensions | Chiu Ming-Yen |
11417571 | Dopant profile control in gate structures for semiconductor devices | Savant Chandrashekhar Prakash; Tsai Chia-Ming; Yu Tien-Wei |
11417580 | Package structures and methods of forming the same | Yu Chen-Hua; Wei Wen-Hsin; Wu Chi-Hsi; Hou Shang-Yun; Lin Jing-Cheng; Hu Hsien-Pin; Shih Ying-Ching; Lu Szu-Wei |
11417582 | Package structure and method of manufacturing the same | Chen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Cho Hung-Chun |
11417587 | Package structure and method of fabricating the same | Chen Hsien-Wei; Chen Jie; Chen Ming-Fa; Yeh Sung-Feng |
11417588 | Semiconductor structure and layout method of a semiconductor structure | Chen Wei-Ren; Chen Chih-Liang; Chang Wei-Ling; Zhuang Hui-Zhong; Tien Li-Chun |
11417594 | 3DIC package integration for high-frequency RF system | Liao Wen-Shiang |
11417596 | Optical routing structure on backside of substrate for photonic devices | Song Weiwei; Chern Chan-Hong; Kuo Feng-Wei; Cho Lan-Chou; Rusu Stefan |
11417599 | Plurality of different size metal layers for a pad structure | Chen Hsien-Wei; Yang Ching-Jung; Tu Chia-Wei |
11417601 | Semiconductor device and manufacturing method thereof | Wang Xin-Yong; Han Liu; Tien Li-Chun; Chen Chih-Liang |
11417602 | Semiconductor device having an extra low-k dielectric layer and method of forming the same | Shih Po-Cheng; Chou Chia Cheng; Te Li Chun |
11417604 | Dense redistribution layers in semiconductor packages and methods of forming the same | Yu Chen-Hua; Kuo Hung-Jui; Tsai Hui-Jung |
11417606 | Package structure and method of fabricating the same | Lin Shih-Ting; Wu Chi-Hsi; Yu Chen-Hua; Lu Szu-Wei |
11417610 | Post-passivation interconnect structure | Chen Hsien-Wei; Tsai Hao-Yi; Lii Mirng-Ji; Yu Chen-Hua |
11417616 | Package structure and manufacturing method thereof | Wu Kai-Chiang; Pu Han-Ping; Wang Yen-Ping |
11417619 | Package and manufacturing method thereof | Chen Ming-Fa; Chen Hsien-Wei; Yeh Sung-Feng |
11417620 | Semiconductor device encapsulated by molding material attached to redestribution layer | Jeng Shin-Puu; Chen Shuo-Mao; Hsu Feng-Cheng |
11417629 | Three-dimensional stacking structure and manufacturing method thereof | Chen Ming-Fa; Yeh Sung-Feng; Liu Tzuan-Horng; Shih Chao-Wen |
11417633 | Integrated circuit package and method | Yu Chen-Hua; Lai Chi-Hui; Kuo Tin-Hao; Tsai Hao-Yi; Liu Chung-Shi |
11417638 | Semiconductor structures | Lai Chi-Hui; Yu Chen-Hua; Liu Chung-Shi; Tsai Hao-Yi; Kuo Tin-Hao |
11417643 | Package-on-package with redistribution structure | Liu Yu-Chih; Ho Kuan-Lin; Lin Wei-Ting; Chen Chin-Liang; Lu Jing Ruei |
11417649 | Semiconductor device | Chen Yi-Sheng; Thei Kong-Beng; Fan Fu-Jier; Kao Jung-Hui; Chen Yi-Huan; Lin Kau-Chu |
11417653 | Semiconductor structure and method for forming the same | Yu Jia-Ni; Chiang Kuo-Cheng; Chu Lung-Kun; Hsu Chung-Wei; Wang Chih-Hao; Huang Mao-Lin |
11417670 | Structure and method for single gate non-volatile memory device | Tsui Felix Ying-Kit; Tseng Huang-Wen |
11417684 | Semiconductor device and manufacturing method thereof | Hung Tsung-Yu; Lee Pei-Wei; Tsai Pang-Yen |
11417698 | Semiconductor package and method of forming the same | Chang Chia-Lun; Hsieh Ching-Hua; Tsai Chung-Hao; Liu Chung-Shi; Wang Chuei-Tang; Lin Hsiu-Jen |
11417700 | Image sensing device and manufacturing method thereof | Huang Chih-Chang; Lu Chi-Ming; Chen Jian-Ming; Tsao Jung-Chih; Liang Yao-Hsiang |
11417729 | Transistors with channels formed of low-dimensional materials and method forming same | Cheng Chao-Ching; Chao Tzu-Ang; Lu Chun-Chieh; Chiang Hung-Li; Chen Tzu-Chiang; Li Lain-Jong |
11417739 | Contacts for semiconductor devices and methods of forming the same | Lin Meng-Han; Yeong Sai-Hooi; Chui Chi On |
11417740 | Methods for forming recesses in source/drain regions and devices formed thereof | Huang Yu-Lien |
11417741 | Integrated chip with a gate structure over a recess | Huang Yong-Sheng; Liu Ming Chyi |
11417745 | Structure and formation method of semiconductor device with metal gate stack | You Jia-Chuan; Su Huan-Chieh; Chiang Kuo-Cheng; Wang Chih-Hao |
11417748 | Semiconductor device and method of fabricating a semiconductor device | Ko Chung-Ting; Wu Bi-Fen; Chui Chi-On |
11417749 | Semiconductor arrangement with airgap and method of forming | Singh Gulbagh; Po-Jen Wang; Chuang Kun-Tsang; Tsai Tsung-Han |
11417750 | Gate air spacer for fin-like field effect transistor | Yao Chien Ning; Young Bo-Feng; Yeong Sai-Hooi; Cheng Kuan-Lun; Wang Chih-Hao |
11417751 | Semiconductor device structure and method for forming the same | Lin Tze-Chung; Lin Han-Yu; Lin Li-Te; Lin Pinyen |
11417753 | Method of making semiconductor device comprising flash memory and resulting device | Lin Chien-Hung; Mo Chun-Chieh; Kuo Shih-Chi |
11417764 | Interface profile control in epitaxial structures for semiconductor devices | Chen Winnie Victoria Wei-Ning; Tsai Pang-Yen; Okuno Yasutoshi |
11417766 | Transistors having nanostructures | Chung Cheng-Ting; Tsai Ching-Wei; Cheng Kuan-Lun |
11417767 | Semiconductor devices including backside vias and methods of forming the same | Chang Che-Lun; Lee Wei-Yang; Lin Chia-Pin; Peng Yuan-Ching |
11417777 | Enlargement of GAA nanostructure | Chang Lo-Heng; Chang Jung-Hung; Lin Zhi-Chang; Chiang Kuo-Cheng; Wang Chih-Hao |
11417832 | Semiconductor device and manufacturing method thereof | Yin Yu-Feng; Peng Tai-Yen; Chang An-Shen; Tsai Han-Ting; Fu Qiang; Lin Chung-Te |
11417835 | Nitride capping layer for spin torque transfer (STT) magnetoresistive random access memory (MRAM) | Iwata Jodi Mari; Jan Guenole; Tong Ru-Ying; Sundar Vignesh; Zhu Jian; Liu Huanlong |
11417839 | Memory device, memory integrated circuit and manufacturing method thereof | Sung Fu-Ting |
11418025 | Device and method for electrostatic discharge protection | Fan Hang; Lai Ming-Fang; Cheng Shui-Ming |
11418887 | MEMS device with enhanced membrane structure and method of forming the same | Cheng Chun-Wen; Tsai Chun Yin; Chu Chia-Hua |
11419203 | EUV radiation modification methods and systems | Chang Chun-Lin Louis; Yeh Jen-Hao; Fu Tzung-Chi; Liu Bo-Tsun; Chen Li-Jui; Cheng Po-Chung |
11420866 | Composite spring structure to reinforce mechanical robustness of a MEMS device | Chang Kuei-Sung; Tsai Shang-Ying; Mao Wei-Jhih |
11422465 | Extreme ultraviolet photoresist with high-efficiency electron transfer | Lai Wei-Han; Lin Chin-Hsiang; Wang Chien-Wei |
11422466 | Photomask including fiducial mark and method of making semiconductor device using the photomask | Lee Hsin-Chang; Lin Ping-Hsun; Lin Chih-Cheng; Chen Chia-Jen |
11422475 | Multi-metal fill with self-aligned patterning and dielectric with voids | Yang Tai-I; Chu Wei-Chen; Liu Hsiang-Wei; Shue Shau-Lin; Su Li-Lin; Wu Yung-Hsu |
11422819 | Power efficient multi-bit storage system | Huang Kai-Chi; Liu Chi-Lin; Ma Wei-Hsiang; Hsieh Shang-Chih |
11423204 | System and method for back side signal routing | Chen Sheng-Hsiung; Kao Jerry Chang Jui; Yang Kuo-Nan; Liu Jack |
11423526 | Optical inspection of a wafer | Chen Chih-Lieh; Hu Cheng-Kang; Wu Cheng-Lung; Pai Jiun-Rong |
11423960 | Memory device | Chang Meng-Sheng; Huang Chia-En; Liu Yi-Ching; Wang Yih |
11423962 | Bit line pre-charge circuit and method | Yeh Che-Ju; Hsu Yu-Hao; Shieh Hau-Tai; Lee Cheng |
11423966 | Memory array staircase structure | Lin Meng-Han; Chia Han-Jong; Wang Sheng-Chen; Yang Feng-Cheng; Lin Yu-Ming; Lin Chung-Te |
11423974 | Method of forming semiconductor device including distributed write driving arrangement | Fujiwara Hidehiro; Liao Hung-Jen; Wang Li-Wen; Chang Jonathan Tsung-Yung; Chen Yen-Huei |
11423977 | Static random access memory with write assist circuit | Fujiwara Hidehiro; Lin Chih-Yu; Singh Sahil Preet; Pan Hsien-Yu; Chen Yen-Huei; Liao Hung-Jen |
11423978 | Write assist for a memory device and methods of forming the same | Singh Sahil Preet; Chen Yen-Huei; Liao Hung-Jen |
11423982 | Resistive memory device with trimmable driver and sinker and method of operations thereof | Chou Chung-Cheng |
11424101 | Machine learning on wafer defect review | Chou Chung-Pin; Huang Sheng-Wen; Liu Jun-Xiu |
11424107 | Temperature-controlled plasma generation system | Liu Li-Shi |
11424111 | Sputtering target assembly to prevent overetch of backing plate and methods of using the same | Chung Chen-Fang; Cheng Wen-Cheng; Yang Po Wen; He Ming-Jie; Lu Yan-Zi; Teng Cheng-Yi |
11424154 | Buried metal for FinFET device and method | Chou Lei-Chun; Chen Chih-Liang; Tzeng Jiann-Tyng; Lai Chih-Ming; Liu Ru-Gun; Young Charles Chew-Yuen |
11424165 | Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor | Chou Jen-Chun; Cheng Tung-Wen |
11424174 | Semiconductor device and method of forming the same | Pan Chih-Chien; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei |
11424175 | Semiconductor device with heating structure | Shih Chih-Tsung; Jou Chewn-Pu; Rusu Stefan; Kuo Feng-Wei |
11424185 | Semiconductor device and manufacturing method thereof | Chang Cheng-Wei; Chu Chia-Hung; Lin Kao-Feng; Chang Hsu-Kai; Liang Shuen-Shin; Wang Sung-Li; Liu Yi-Ying; Yeh Po-Nan; Wang Yu Shih; Chiu U-Ting; Lin Chun-Neng; Yeh Ming-Hsi |
11424188 | Methods of fabricating integrated circuit devices having raised via contacts | Tsai Kuo-Chiang; Chen Jyh-Huei; Cheng Jye-Yen |
11424189 | Pad structure design in fan-out package | Yu Chen-Hua; Jeng Shin-Puu; Yeh Der-Chyang; Chen Hsien-Wei |
11424191 | Semiconductor devices and methods of manufacture | Chen Hsien-Wei; Chen Ming-Fa |
11424194 | Three dimensional integrated circuit (3DIC) with support structures | Wu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei; Lin Jing-Cheng |
11424197 | Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same | Wang Chuei-Tang; Tsai Chung-Hao; Yu Chen-Hua; Lu Chun-Lin; Pu Han-Ping; Wu Kai-Chiang |
11424199 | Connector formation methods and packaged semiconductor devices | Cheng Jung Wei; Chen Hai-Ming; Lee Chien-Hsun; Hou Hao-Cheng; Lin Hung-Jen; Chuang Chun-Chih; Liu Ming-Che; Wang Tsung-Ding |
11424205 | Semiconductor interconnect structure and method | Chen Jie; Chen Hsien-Wei |
11424213 | Semiconductor structure including a first surface mount component and a second surface mount component and method of fabricating the semiconductor structure | Chang Mao-Yen; Lin Chih-Wei; Tsai Hao-Yi; Pan Kuo-Lung; Lin Chun-Cheng; Kuo Tin-Hao; Lai Yu-Chia; Tai Chih-Hsuan |
11424219 | Package structure and method of fabricating the same | Shen Wen-Wei; Huang Sung-Hui; Hou Shang-Yun |
11424220 | Semiconductor structure and manufacturing method thereof | Yu Chi-Yang; Ho Kuan-Lin; Chen Chin-Liang; Liang Yu-Min |
11424228 | Semiconductor structure and method for manufacturing the same | Kao Min-Feng; Yaung Dun-Nian; Liu Jen-Cheng; Lin Hsing-Chih; Wang Ching-Chun |
11424233 | Memory circuits and related methods | Liu Yi-Ching; Wang Yih; Huang Chia-En |
11424237 | Memory device | Ma Yuan; Shang Ke-Liang; Wang Xin-Yong |
11424242 | Structure and formation method of semiconductor device with isolation structure | Ju Shi-Ning; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao |
11424243 | Semiconductor device and manufacturing method thereof | Ching Kuo-Cheng; Wang Chih-Hao; Chen Chih-Liang; Ju Shi Ning |
11424244 | Integrated circuit having a vertical power MOS transistor | Ng Chun-Wai; Chou Hsueh-Liang; Su Po-Chih; Liu Ruey-Hsin |
11424255 | Semiconductor device and manufacturing method thereof | Liu Ming-Chyi; Hsieh Chih-Ren; Chen Sheng-Chieh |
11424261 | Integrated circuit with different memory gate work functions | Wu Yun-Chi; Shu Cheng-Bo; Liu Chien Hung |
11424263 | Boundary design to reduce memory array edge CMP dishing effect | Wu Wei Cheng; Chang Chien-Hung |
11424268 | Semiconductor structure and manufacturing method thereof | Chia Han-Jong; Lin Yu-Ming; Wu Zhiqiang; Yeong Sai-Hooi |
11424319 | Multilayer capacitor electrode | Shen Hsiang-Ku; Chen Dian-Hau |
11424332 | Gap spacer for backside contact structure | Yu Li-Zhen; Huang Lin-Yu; Cheng Kuan-Lun; Wang Chih-Hao |
11424338 | Metal source/drain features | Wang Pei-Yu |
11424339 | Integrated chip and method of forming thereof | Lin Meng-Han; Huang Chia-En |
11424341 | Semiconductor device | Lo Yi-Chen; Lin Li-Te; Lin Pinyen |
11424347 | Semiconductor device and method | Liao Ssu-Yu; Su Tsu-Hui; Fan Chun-Hsiang; Wang Yu-Wen; Yeh Ming-Hsi; Huang Kuo-Bin |
11424359 | Semiconductor device structure with high voltage device | Lin Hung-Chou; Chiu Yi-Cheng; Murukesan Karthick; Chen Yi-Min; Lin Shiuan-Jeng; Chiang Wen-Chih; Chang Chen-Chien; Chan Chih-Yuan; Wu Kuo-Ming; Tsai Chun-Lin |
11424364 | FinFET device and method of forming | Chen Xi-Zong; Hsiung Te-Chih; Chao Cha-Hsin; Chiu Yi-Wei |
11424366 | Semiconductor device | Chang Che-Cheng; Lin Chih-Han |
11424371 | Multi-trench Schottky diode | Tsai Yi-Lung; Imam Syed Sarwar; Chuang Yao-Wei; Tung Ming-Lou |
11424399 | Integrated thermoelectric devices in Fin FET technology | Wang Jhong-Sheng; Shih Jiaw-Ren; Hsu Hsiao-Hsuan |
11424405 | Post treatment to reduce shunting devices for physical etching process | Wang Yu-Jen; Shen Dongna; Sundar Vignesh; Patel Sahil |
11424406 | Generating self-aligned heater for PCRAM using filaments | Lai Sheng-Chih |
11424724 | Ampilfier with VCO-based ADC | Kinyua Martin; Soenen Eric |
11424726 | Differential amplifier | Chang Chin-Hao; Mhala Manoj M.; Chao Calvin Yi-Ping |
11424740 | Multi-voltage input output device | Pan Lei; Tang Zhen; Ma Miranda |
11424751 | Programmable regulator voltage controlled ring oscillator | Tsai Tsung-Hsien; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang |
11426965 | Box erecting apparatus and method | Huang Szu-Chen; Li Fu-Hsien; Chiu Mao-Jung; Lien Mao-Shun; Chiu Po-Hsien |
11427924 | Apparatus for electro-chemical plating | Hou Kuo-Lung; Lin Ming-Hsien; Wu Tsung-Cheng |
11428583 | Temperature sensor based on different wire temperature coefficient of resistance (TCR) | Wang Lorraine; Lu Shih-Lien Linus |
11428870 | Semiconductor structure and method of fabricating the same | Kuo Feng-Wei; Liao Wen-Shiang |
11428871 | Optical device for coupling light | Chern Chan-Hong |
11428879 | Method for forming a package structure for optical fiber | Huang Sung-Hui; Lai Jui-Hsieh; Hou Shang-Yun |
11429019 | Method for manufacturing semiconductor device | Hu Wei-Chung; Lu Chi-Ta; Tsai Chi-Ming |
11429027 | Photolithography method and apparatus | Yu Shinn-Sheng; Liu Ru-Gun; Huang Hsu-Ting; Lin Chin-Hsiang |
11429028 | Method of cutting conductive patterns | Hsu Chin-Hsiung; Chen Huang-Yu; Ou Tsong-Hua; Chen Wen-Hao |
11429482 | Systems and methods for correcting data errors in memory | Chih Yu-Der; Wang Ching-Huang; Shih Yi-Chun; Shih Meng-Chun; Wang C. Y. |
11429774 | Variable width nano-sheet field-effect transistor cell structure | Lai Wei-An; Lin Wei-Cheng; Chen Yan-Hao; Tzeng Jiann-Tyng; Yuan Lipen; Zhuang Hui-Zhong; Huang Yu-Xuan |
11429775 | Automatic generation of sub-cells for an analog integrated circuit | Chang Chih-Chiang; Chou Wen-Shen; Peng Yung-Chow; Chuang Yung-Hsu; Yang Yu-Tao; Kasina Bindu Madhavi |
11430108 | Defect offset correction | Liao Chien-Ko; Hsueh Ya-Hsun; Chuang Sheng-Hsiang; Liu Hsu-Shui; Pai Jiun-Rong; Kuo Shou-Wen |
11430491 | Device and method for reading data in memory | Horng Jaw-Juinn; Chang Chin-Ho; Peng Yung-Chow; Tsao Szu-Chun |
11430507 | Memory device with enhanced access capability and associated method | Lu Shih-Lien Linus |
11430508 | Circuit for reducing voltage degradation caused by parasitic resistance in a memory device | Liaw Jhon Jhy |
11430512 | Semiconducting metal oxide memory device using hydrogen-mediated threshold voltage modulation and methods for forming the same | Van Dal Marcus Johannes Henricus; Doornbos Gerben; Vellianitis Georgios; Duriez Blandine; Manfrini Mauricio |
11430652 | Controlling threshold voltages through blocking layers | Lee Chia-Ching; Wu Chung-Chiang; Chiu Shih-Hang; Tung Hsuan-Yu; Lee Da-Yuan |
11430666 | Semiconductor device and method of manufacturing semiconductor device | Chuu Chih-Piao; Li Ming-Yang; Li Lain-Jong |
11430670 | Stacked semiconductor devices and methods of forming same | Chen Hsien-Wei; Yeh Der-Chyang; Huang Li-Hsien |
11430671 | Ozone wafer cleaning module having an ultraviolet lamp module with rotatable reflectors | Lin Chen-Yang; Liu Chung-Hsuan; Sung Ku-Hsiang; Lin Kuan-Wen; Chen Chia-Jen; Lee Hsin-Chang |
11430677 | Wafer taping apparatus and method | Lee Chien-Yi; Liu Wen-Kuei |
11430691 | Polishing interconnect structures in semiconductor devices | Chang Pang-Sheng; Wang Chao-Hsun; Chao Kuo-Yi; Yang Fu-Kai; Wang Mei-Yun; Wu Li-Chieh; Hsu Chun-Wei |
11430692 | Thermally stable copper-alloy adhesion layer for metal interconnect structures and methods for forming the same | Tsai Cheng-Lun; Hsieh Huei-Wen; Chen Chun-Sheng; Kuo Kai-Shiang; Liu Jen-Wei; Weng Cheng-Hui; Lin Chun-Chieh; Su Hung-Wen |
11430694 | Metal gates of transistors having reduced resistivity | Tsai Chia-Ching; Chiu Yi-Wei; Hsu Li-Te |
11430698 | In-situ formation of metal gate modulators | Tsai Hsin-Han; Wu Chung-Chiang; Hung Cheng-Lung; Chang Weng; Chui Chi On |
11430699 | Method of manufacturing semiconductor devices | Yeh Ling-Yen; Diaz Carlos H.; Tsai Wilman |
11430700 | Trench isolation with conductive structures | Savant Chandrashekhar Prakash; Tsai Chia-Ming; Fan Yuh-Ta; Yu Tien-Wei |
11430701 | Gate oxide structures in semiconductor devices | Cheng Chung-Liang |
11430702 | Semiconductor structure and method for manufacturing thereof | Kwan Man-Ho; Yao Fu-Wei; Su Ru-Yi; Tsai Chun Lin; Kalnitsky Alexander |
11430729 | MIM capacitor with a symmetrical capacitor insulator structure | Lin Hsing-Lien; Lee Cheng-Te; Chu Rei-Lin; Wu Chii-Ming; Tu Yeur-Luen; Yu Chung-Yi |
11430733 | Method of testing wafer | Ho Yen-Hsung; Tseng Chia-Yi; Lin Chih-Hsun; Chuang Kun-Tsang; Hsu Yung-Lung |
11430739 | Structure and formation method of package structure with fan-out structure | Tsai Po-Hao; Liu Hsien-Wen; Jeng Shin-Puu; Lin Meng-Liang; Peng Shih-Yung; Hung Shih-Ting |
11430776 | Semiconductor devices and methods of manufacturing | Wu Yi-Wen; Chuang Po-Yao; Lin Meng-Liang; Wong Techi; Hung Shih-Ting; Tsai Po-Hao; Jeng Shin-Puu |
11430788 | Integrated circuit with latch-up immunity | Lin Jing-Yi; Yang Chih-Chuan; Lin Shih-Hao |
11430789 | Semiconductor devices with backside contacts and isolation | Chen Chun-Yuan; Su Huan-Chieh; Chuang Cheng-Chi; Wang Chih-Hao |
11430790 | Semiconductor device and method | More Shahaji B. |
11430799 | Semiconductor device and manufacturing method thereof | Liu Chen-Chin; Wu Wei Cheng; Lu Yi Hsien; Wang Yu-Hsiung; Yang Juo-Li |
11430823 | Method for manufacturing semiconductor image sensor device having deep trench isolation | Chiang Yen-Ting; Chen Chun-Yuan; Tseng Hsiao-Hui; Li Sheng-Chan; Wang Yu-Jen; Wu Wei Chuang; Ting Shyh-Fann; Liu Jen-Cheng; Yaung Dun-Nian |
11430832 | Semiconductor MRAM device and method | Lin Shy-Jay; Song MingYuan; Noguchi Hiroki |
11430865 | Semiconductor device and method | Chen Shu-Han; Chen Tsung-Ju; Chen Chun-Heng; Chui Chi On |
11430867 | Channel mobility improvement | Lee Pei-Wei; Okuno Yasutoshi; Tsai Pang-Yen |
11430878 | Method for fabricating semiconductor device | Lee Yen-Ru; Li Chii-Horng; Kuo Chien-I; Ting Heng-Wen; Tai Jung-Chi; Su Lilly; Hsiao Yang-Tai |
11430890 | Integrated circuits with channel-strain liner | Wu Xusheng; Liu Chang-Miao; Shang Huiling |
11430891 | Gate all around structure with additional silicon layer and method for forming the same | Wang Chen-Han; Wang Pei-Hsun; Lin Chun-Hsiung; Wang Chih-Hao |
11430892 | Inner spacers for gate-all-around transistors | Chiang Kuo-Cheng; Lin Zhi-Chang; Chen Shih-Cheng; Wang Chih-Hao; Wang Pei-Hsun; Chang Lo-Heng; Chang Jung-Hung |
11430893 | Method of manufacturing a semiconductor device and a semiconductor device | Shen Yan-Ting; Yu Chia-Chi; Liao Chih-Teng; Lin Yu-Li; Cheng Chih Hsuan; Weng Tzu-Chan |
11430909 | BSI chip with backside alignment mark | Sung Chih Wei; Tseng Chung-Bin; Liao Keng-Ying; Wu Yen-Jou; Chen Po-Zen; Yeh Su-Yu; Su Ching-Chung |
11430945 | MTJ device performance by adding stress modulation layer to MTJ device structure | Haq Jesmin; Zhong Tom; Lam Vinh; Sundar Vignesh; Teng Zhongjian |
11430947 | Sub 60nm etchless MRAM devices by ion beam etching fabricated t-shaped bottom electrode | Yang Yi; Shen Dongna; Wang Yu-Jen |
11430951 | Resistive memory cell with switching layer comprising one or more dopants | Jiang Fa-Shen; Tsai Cheng-Yuan; Trinh Hai-Dang; Lin Hsing-Lien; Kuang Hsun-Chung; Lee Bi-Shen |
11430953 | Resistive random access memory device | Wang Huei-Tsz; Wang Po-Shu; Wang Wei-Ming |
11430956 | RRAM cell structure with conductive etch-stop layer | Liu Ming Chyi; Tseng Yuan-Tai; Hsu Chern-Yow; Liu Shih-Chang; Tsai Chia-Shiung |
11431339 | Level shifting circuit and method | Ma Yaqi; Pan Lei; Hu JunKui |
11432372 | Warpage control in the packaging of integrated circuits | Cheng Ming-Da; Lin Hsiu-Jen; Chen Cheng-Ting; Chen Wei-Yu; Lee Chien-Wei; Liu Chung-Shi |
11433440 | Cleaning device for cleaning electroplating substrate holder | Wang Yu-Young; Kao Chung-En; Lu Victor Y. |
11434129 | Semiconductor structure and method for fabricating the same | Cheng Chun-Wen; Teng Yi-Chuan; Hsieh Cheng-Yu; Tseng Lee-Chuan; Liu Shih-Chang; Lin Shih-Wei |
11435257 | System and method for monitoring vacuum valve closing condition in vacuum processing system | Chiu Pei Cheng |
11435660 | Photomask and method of fabricating a photomask | Lee Hsin-Chang; Lin Ping-Hsun; Ho Yen-Cheng; Lin Chih-Cheng; Chen Chia-Jen |
11435669 | Radiation source supply system for lithographic tools | Liao Chi-Hung; Yang Yueh Lin |
11435670 | Multi-component kernels for vector optical image simulation | Ho Kenneth Lik Kin; Lai Chien-Jen; Yamazoe Kenji; Zhou Xin; Peng Danping |
11437081 | Buffer control of multiple memory banks | Lu Shih-Lien Linus |
11437084 | Embedded ferroelectric memory cell | Chen Tzu-Yu; Tu Kuo-Chi; Chu Wen-Ting; Tsair Yong-Shiuan |
11437092 | Systems and methods to store multi-level data | Lu Shih-Lien Linus |
11437099 | Memory device current limiter | Chou Chung-Cheng; Wang Tien-Yen |
11437161 | Lithography apparatus and method for using the same | Chang Chun-Lin; Hsieh Chieh; Chien Shang-Chieh; Chang Han-Lung; Liu Heng-Hsin; Chen Li-Jui; Lin Chin-Hsiang |
11437235 | Epitaxies of a chemical compound semiconductor | Yu Hung-Wei; Chang Yi; Wang Tsun-Ming |
11437239 | Method for forming semiconductor device structure | Lai Chih-Ming; Chang Shih-Ming; Lin Wei-Liang; Tseng Chin-Yuan; Liu Ru-Gun |
11437240 | Transistor gate structure and method of forming | Lee Hsin-Yi; Hung Cheng-Lung; Chui Chi On |
11437245 | Germanium hump reduction | Fu Shih-Hao; Chou Hung-Ju; Chang Che-Lun; Kuo Jiun-Ming; Peng Yuan-Ching; Lin Sung-En; Cheng Nung-Che; Wang Chunyao |
11437258 | Workpiece storage system, method of storing workpiece, and method of transferring workpiece using the same | Chiu Tzu-Chi; Wang Jen-Ti; Wang Ting-Wei; Chuang Kuo-Fong |
11437277 | Forming isolation regions for separating fins and gate stacks | Ko Chung-Ting; Huang Tai-Chun; Li Jr-Hung; Lee Tze-Liang; Chui Chi On |
11437278 | Method for forming semiconductor device | Chang Chang-Yun; Wu Bone-Fong; Wen Ming-Chang; Lin Ya-Hsiu |
11437279 | Method for fabricating a semiconductor device | Chen Chun-Yuan; Yu Li-Zhen; Su Huan-Chieh; Chang Lo-Heng; Chuang Cheng-Chi; Wang Chih-Hao |
11437280 | Semiconductor device and method of manufacture | Lee Chia-Ching; Tsai Hsin-Han; Chiu Shih-Hang; Tang Tsung-Ta; Wu Chung-Chiang; Chung Hung-Chin; Lee Hsien-Ming; Lee Da-Yuan; Chen Jian-Hao; Chen Chien-Hao; Yu Kuo-Feng; Chen Chia-Wei; Hsu Chih-Yu |
11437287 | Transistor gates and methods of forming thereof | Lin Shih-Yao; Lin Chih-Han; Jang Shu-Uei; Tsai Ya-Yi; Ku Shu-Yuan |
11437313 | Structure and method of forming a semiconductor device with resistive elements | Chan Hong-Wei; Cheng Yung-Shih; Huang Wen-Sheh |
11437319 | Integrated circuit having a high cell density | Chen Sheng-Hsiung; Wang Chung-Hsing; Chang Fong-yuan; Lu Lee-Chung; Tien Li-Chun; Huang Po-Hsiang; Wang Shao-huan; Chen Ting Yu; Chen Yen-Pin; Chen Chun-Chen; Lin Tzu-Hen; Cheng Tai-Yu |
11437321 | Standard-cell layout structure with horn power and smart metal cut | Fan Ni-Wan; Chiang Ting-Wei; Huang Cheng-I; Yang Jung-Chan; Tseng Hsiang-Jen; Yuan Lipen; Lu Chi-Yu |
11437327 | Integrated fan-out packaging | Pu Han-Ping; Lee Hsiao-Wen |
11437331 | Chip structure and method for forming the same | Huang Chih-Fan; Wang Mao-Nan; Chen Hui-Chi; Chen Dian-Hau; Chen Yen-Ming |
11437332 | Package structure and method of manufacturing the same | Chang Jen-Yuan; Lai Chia-Ping |
11437334 | Chip package structure | Huang Kuan-Yu; Huang Sung-Hui; Hou Shang-Yun |
11437344 | Wafer bonding method | Lin Yung-Chi; Wu Tsang-Jiuh; Chiou Wen-Chih; Yu Chen-Hua |
11437361 | LTHC as charging barrier in InFO package formation | Lai Yi-Jen; Chung-Yi Lin; Cheng Hsi-Kuei; Chen Chen-Shien; Liu Kuo-Chio |
11437371 | Field effect transistors with negative capacitance layers | Yang Chansyun David; Yang Chan-Lon; Chang Keh-Jeng |
11437372 | Liner structures | Hsiao Tsung-Chieh; Chen Johnson; Tsai Tzung-Yi; Lee Tsung-Lin; Chen Yen-Ming |
11437373 | Multi-gate device structure | Liaw Jhon Jhy |
11437385 | FinFET SRAM cells with reduced fin pitch | Wang Chih-Hao; Chiu Yi-Hsun; Lin Yi-Hsiung; Chang Shang-Wen |
11437386 | System and method for reducing cell area and current leakage in anti-fuse cell array | Chang Meng-Sheng; Huang Chia-En; Chou Shao-Yu; Wang Yih |
11437393 | Semiconductor device, memory array and method of forming the same | Lai Sheng-Chih; Lin Chung-Te |
11437416 | Pixel device layout to reduce pixel noise | Takahashi Seiji |
11437420 | Image sensor with overlap of backside trench isolation structure and vertical transfer gate | Hung Feng-Chi; Yaung Dun-Nian; Liu Jen-Cheng; Wu Wei Chuang; Chen Yen-Yu; Yu Chih-Kuan |
11437422 | Hybrid bonded structure | Tsai Bo-Tsung |
11437431 | Memory device with flat-top bottom electrodes and methods for forming the same | Min Chung-Chiang |
11437433 | Techniques for MRAM top electrode via connection | Chen Sheng-Chang; Chuang Harry-Hak-Lay; Wang Hung Cho; Huang Sheng-Huang |
11437434 | Magnetic device and magnetic random access memory | Tsai Wilman; Lin Shy-Jay; Song Mingyuan |
11437466 | Avalanche-protected transistors using a bottom breakdown current path and methods of forming the same | Su Liang-Yu; Tsai Hung-Chih; Liu Ruey-Hsin; Lei Ming-Ta; Yang Chang-Tai; Hsia Te-Yin; Jong Yu-Chang; Yang Nan-Ying |
11437468 | Isolation structures of semiconductor devices | Chiang Hung-Li; Cheng Chao-Ching; Chen Tzu-Chiang; Chen I-Sheng |
11437469 | Reducing parasitic capacitance in semiconductor devices | Yu Chia-Ta; Hsu Hsiao-Chiu; Yang Feng-Cheng |
11437474 | Gate structures in transistors and method of forming same | Lee Hsin-Yi; Hung Cheng-Lung; Chui Chi On |
11437477 | Fluorine-free interface for semiconductor device performance gain | Tsai Yu-Ting; Cheng Chung-Liang; Lo Hong-Ming; Lin Chun-Chih; Ni Chyi-Tsong |
11437479 | Wrap around silicide for FinFETs | Chiang Kuo-Cheng; Liu Chi-Wen; Leung Ying-Keung |
11437480 | Forming a cavity with a wet etch for backside contact formation | Chen Yi-Hsiu; Kelly Andrew Joseph |
11437484 | Gate structure and method of forming the same | Chen Yi-Chun; Yin Tsung Fan; Hsu Li-Te; Hsia Ying Ting; Chiu Yi-Wei |
11437491 | Non-conformal capping layer and method forming same | Lin Ming-Ho; Lin Cheng-I; Chen Chun-Heng; Chui Chi On |
11437492 | Semiconductor device and method of manufacture | Kao Wan-Yi; Lin Hung Cheng; Chang Che-Hao; Lu Yung-Cheng; Chui Chi On |
11437493 | Gate spacer structures and methods for forming the same | Tsai Chun Hsiung; Wann Clement Hsingjen; Yu Kuo-Feng; Yeh Ming-Hsi; More Shahaji B.; Lin Yu-Ming |
11437495 | Semiconductor device and method of manufacturing the same | Chen I-Chih; Hsiao Ru-Shang; Lin Ching-Pin; Huang Chih-Mu; Tsai Fu-Tsun |
11437497 | Semiconductor device and method | Tsai Ji-Yin; Chen Jung-Jen; Jeng Pei-Ren; Li Chii-Horng; Chen Kei-Wei; Yeo Yee-Chia |
11437498 | FinFET device and method | Wu Chung-Shu; Hsu Ying-Ya; Pan Ching-Yu; Tsao Hsiu-Hao; Wei An Chyi; Chiu Yuan-Hung |
11437513 | Multi-gate device and method of fabrication thereof | Ching Kuo-Cheng; Tsai Ching-Wei; Diaz Carlos H.; Wang Chih-Hao; Lien Wai-Yi; Leung Ying-Keung |
11437515 | Source and drain stressors with recessed top surfaces | Li Kun-Mu; Kwok Tsz-Mei; Sung Hsueh-Chang; Li Chii-Horng; Lee Tze-Liang |
11437516 | Mechanisms for growing epitaxy structure of finFET device | Yang Szu-Chi; Huang Chih-Hsiang |
11437517 | Semiconductor structures and methods with high mobility and high energy bandgap materials | Wu Cheng-Hsien; Ko Chih-Hsin; Wann Clement Hsingjen |
11437518 | Metal gate with silicon sidewall spacers | Fang Wen-Han; Wu Po-Chi |
11437573 | Semiconductor device and method for manufacturing the same | Trinh Hai-Dang; Lin Hsing-Lien; Jiang Fa-Shen |
11437594 | Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor | Vasen Timothy; Van Dal Mark; Doornbos Gerben; Passlack Matthias |
11437708 | Antenna effect protection and electrostatic discharge protection for three-dimensional integrated circuit | Huang Po-Hsiang; Chang Fong-Yuan; Wang Tsui-Ping; Chu Yi-Shin |
11437785 | VCSEL with self-aligned microlens to improve beam divergence | Chen Jhih-Bin; Liu Ming Chyi |
11437843 | Under-floor charging station | Wu Cheng-Lung; Li Sing-Tsung; Wu Ren-Hau; Chu Yang-Ann; Pai Jiun-Rong; Wu Feng-Kuang |
11437982 | Flip flop standard cell | Samra Nick; Rusu Stefan; Guo Ta-Pen |
11437990 | Generating high dynamic voltage boost | Chern Chan-Hong; Liu Tysh-Bin; Chen Kun-Lung |
11437998 | Integrated circuit including back side conductive lines for clock signals | Sio Kam-Tou; Lu Jiun-Wei |
11438004 | Analog to digital converter with inverter based amplifier | Kinyua Martin |
11438007 | Analog to digital converter with VCO-based and pipelined quantizers | Kinyua Martin; Soenen Eric |
11438015 | Two-level error correcting code with sharing of check-bits | Lu Shih-Lien Linus |
11438180 | Systems and methods for providing reliable physically unclonable functions | Lu Shih-Lien Linus; Lee Cheng-En |
11440060 | Method for cleaning substrate | Chang Hao-Ming; Lin Chia-Shih |
11441221 | Method of performing atomic layer deposition | Cheng Po-Hsien; Ko Chung-Ting; Yu Tsung-Hsun; Lee Tze-Liang; Chui Chi On |
11442230 | Silicon photonics coupling structure using an etch stop layer and methods of forming the same | Lee Yueh Ying; Wu Chien-Ying; Hsu Sui-Ying; Huang Chen-Hao; Lee Chien-Chang; Lai Chia-Ping |
11442296 | Waveguide structure and method for forming the same | Chen Huan-Neng; Kuo Feng-Wei; Hsu Min-Hsiang; Cho Lan-Chou; Jou Chewn-Pu; Liao Wen-Shiang |
11442356 | Lithography mask with an amorphous capping layer | Lee Hsin-Chang; Hsu Pei-Cheng; Chien Chih-Tao; Chen Ming-Wei; Lien Ta-Cheng |
11442364 | Materials and methods for forming resist bottom layer | Huang Jing Hong; Wang Chien-Wei; Chang Shang-Wern; Chang Ching-Yu |
11442365 | EUV photolithography system and methods of operating the same | Sun Yu-Kuang; Tsai Ming-Hsun; Chen Yu-Huan; Cheng Wei-Shin; Lai Cheng-Hao; Chen Hsin-Feng; Cheng Chiao-Hua; Wu Cheng-Hsuan; Lo Yu-Fa; Chien Shang-Chieh; Chen Li-Jui; Liu Heng-Hsin |
11442482 | Low-dropout (LDO) regulator with a feedback circuit | Lin Zheng-Jun; Chou Chung-Cheng; Chih Yu-Der; Su Chin-I |
11443093 | Semiconductor device | Chen Yu-Jen; Wang Ling-Sung; Huang I-Shan; Hung Chan-yu |
11443094 | Method of inserting dummy boundary cells for macro/IP and IC | Hu Wei-Yi; Chao Chih-Ming; Yu Chi-Yeh |
11443095 | Hotspot avoidance method for manufacturing integrated circuits | Liu I-Shuo; Hsia Chih-Chun; Chou Hsin Ting; Su Kuanhua; Hong William Weilun; Chen Chih Hung; Chen Kei-Wei |
11443096 | Method for optimizing floor plan for an integrated circuit | Chuang Yi-Lin; Tan Shi-Wen; Liu Song; Lin Shih-Yao; Fang Wen-Yuan |
11443097 | System and method for diagnosing design rule check violations | Huang Yu-Chen; Lin Heng-Yi; Chuang Yi-Lin |
11443786 | Memory circuit including tracking circuit | Hsu Kuoyuan (Peter); Chang Jacklyn |
11443803 | Memory device and method thereof | Wu Jau-Yi; Khwa Win-San; Cai Jin; Chen Yu-Sheng |
11443819 | Memory device, integrated circuit device and method | Chang Meng-Sheng; Yang Yao-Jen |
11443923 | Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure | Koai Keith Kuang-Kuo; Liu Shih-Kuo; Wang Wen-Chih; Chen Hsin-Liang |
11443939 | System and method for dispensing liquid spin-on glass (SOG) onto semiconductor wafers | Liu Yung-Tsun |
11443957 | Metal oxide layered structure and methods of forming the same | Lin Jing-Cheng; Huang Cheng-Lin |
11443959 | Semiconductor manufacturing system and control method | Lin Su-Horng |
11443961 | Semiconductor fabrication apparatus | Lee Chih-Tsung; Yang Sheng-Chun; Chiu Yun-Tzu; Wan Chao-Hung; Lin Yi-Ming; Ni Chyi-Tsong |
11443966 | Semiconductor processing flow field control apparatus and method | Wei Kai-Chin; Chen Che-fu |
11443976 | Trench isolation process | Chen Chung-Lei; Chen Cheng-Hsin; Ting Chung Chieh; Lin Che-Yi; Lee Clark |
11443979 | Semiconductor device | Lee Hsin-Yi; Hung Cheng-Lung; Lee Da-Yuan |
11443980 | Method of fabricating semiconductor device with metal pad extending into top metal layer | Chen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang; Chu Chung-Hao; Yang Ching-Yu |
11443981 | Bonding method of package components and bonding apparatus | Hsiao Yi-Li; Tung Chih-Hang; Yu Chen-Hua; Shao Tung-Liang; Yang Su-Chun |
11443984 | Semiconductor device and a method for fabricating the same | Chen Hui-Chi; Shen Hsiang-Ku; Yeh Jeng-Ya |
11443987 | Semiconductor devices with backside air gap dielectric | Chen Chun-Yuan; Su Huan-Chieh; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11443991 | Semiconductor structure and method of manufacture | Huang Yao-Te; Chung Liang-Chor |
11443993 | Chip package structure with cavity in interposer | Jeng Shin-Puu; Hsu Feng-Cheng; Chen Shuo-Mao |
11443995 | Integrated circuit package and method | Yu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei; Liu Tzuan-Horng |
11444002 | Package structure | Lai Yu-Chia; Yu Chen-Hua; Liu Chung-Shi; Liang Hsiao-Chung; Tsai Hao-Yi; Hwang Chien-Ling; Pan Kuo-Lung; Lee Pei-Hsuan; Kuo Tin-Hao; Tai Chih-Hsuan |
11444018 | Semiconductor device including recessed interconnect structure | Wu Guo-Huei; Zhuang Hui-Zhong; Chen Chih-Liang; Chuang Cheng-Chi; Chang Shang-Wen; Chiu Yi-Hsun |
11444020 | Via for semiconductor device connection and methods of forming the same | Yu Chen-Hua; Su An-Jhih; Wu Chi-Hsi; Chiou Wen-Chih; Wu Tsang-Jiuh; Yeh Der-Chyang; Yeh Ming Shih |
11444021 | Device and package structure and method of forming the same | Chen Hsien-Wei; Su An-Jhih; Huang Li-Hsien |
11444023 | Semiconductor device, package structure including a heat dissipation element having a conductive base and a plurality of antenna patterns and method of fabricating the semiconductor device | Hsu Sen-Kuei; Pan Hsin-Yu; Chiang Yi-Che |
11444025 | Transistor and fabrication method thereof | Li Hung-Wei; Lin Yu-Ming; Manfrini Mauricio; Yeong Sai-Hooi |
11444028 | Contact structure and formation thereof | Lee Hong-Mao; Chang Huicheng; Lai Chia-Han; Ni Chi-Hsuan; Lin Cheng-Tung; Huang Huang-Yi; Chen Chi-Yuan; Wang Li-Ting; Tsai Teng-Chun; Lin Wei-Jung |
11444034 | Redistribution structure for integrated circuit package and method of forming same | Yu Chen-Hua; Su An-Jhih; Yeh Der-Chyang; Huang Li-Hsien; Yeh Ming Shih |
11444038 | Forming large chips through stitching | Wei Wen Hsin; Hu Hsien-Pin; Hou Shang-Yun; Chen Weiming Chris |
11444046 | Passivation scheme for pad openings and trenches | Chang Ming-Hong; Yang Chun-Yi; Huang Kun-Ming; Chu Po-Tao; Wang Shen-Ping; Kuo Chien-Li |
11444057 | Package structures and methods of forming | Yu Chen-Hua; Yeh Der-Chyang; Chen Hsien-Wei |
11444069 | 3D semiconductor package including memory array | Young Bo-Feng; Yeong Sai-Hooi; Chia Han-Jong; Wang Sheng-Chen; Lin Yu-Ming |
11444071 | Multi-bit structure | Chien Shao-Lun; Wang Po-Chun; Zhuang Hui-Zhong; Chen Chih-Liang; Tien Li-Chun |
11444072 | Dual-port SRAM structure | Liaw Jhon Jhy |
11444073 | Power distribution network | Sio Kam-Tou; Tzeng Jiann-Tyng; Lin Wei-Cheng |
11444080 | Semiconductor structure cutting process and structures formed thereby | Hung Chih-Chang; Chen Chia-Jen; Chang Ming-Ching; Ku Shu-Yuan; Hsiao Yi-Hsuan; Yang I-Wei |
11444089 | Gate-all-around field effect transistors in integrated circuits | Liaw Jhon Jhy |
11444116 | Method for forming image sensor | Chia Chun-Wei; Chou Chun-Hao; Hsu Kai-Chun; Lee Kuo-Cheng; Ting Shyh-Fann |
11444126 | Memory device and manufacturing method thereof | Wu Chao-I; Lin Yu-Ming |
11444162 | Backside contact with air spacer | Lee Chen-Ming; Lee Wei-Yang |
11444169 | Transistor device with a gate structure having recesses overlying an interface between isolation and device regions | Chu Chen-Liang; Chou Chien-Chih; Cheng Chih-Chang; Chen Yi-Huan; Thei Kong-Beng; Lei Ming-Ta; Liu Ruey-Hsin; Kung Ta-Yuan |
11444170 | Semiconductor device with backside self-aligned power rail and methods of forming the same | Chou Chih-Chao; Chiang Kuo-Cheng; Ju Shi Ning; Lan Wen-Ting; Wang Chih-Hao |
11444173 | Semiconductor device structure with salicide layer and method for forming the same | Shen Hsiang-Ku; Yin Jin-Mu; Hsiao Tsung-Chieh; Chuang Chia-Lin; Yu Li-Zhen; Chen Dian-Hau; Wang Shih-Wei; Yu De-Wei; Chen Chien-Hao; Lu Bo-Cyuan; Li Jr-Hung; Chui Chi-On; Hung Min-Hsiu; Huang Hung-Yi; Chou Chun-Cheng; Chuang Ying-Liang; Huang Yen-Chun; Peng Chih-Tang; Chau Cheng-Po; Chen Yen-Ming |
11444174 | Semiconductor device with Fin end spacer dummy gate and method of manufacturing the same | Chang Kai-Tai; Lee Tung Ying; Yun Wei-Sheng; Wang Tzu-Chung; Ho Chia-Cheng; Lin Ming-Shiang; Chen Tzu-Chiang |
11444175 | Fabrication of long gate devices | Yang Sung-Hsin; Jeng Jung-Chi; Hsiao Ru-Shang |
11444176 | Structure and formation method of semiconductor device structure | Wu Po-Chi; Chang Chai-Wei; Chang Kuo-Hui; Chao Yi-Cheng |
11444177 | Semiconductor device and method | Lin Wen-Kai; Chang Che-Hao; Chui Chi On; Lu Yung-Cheng |
11444178 | Inner spacer liner | Yin Jin-Mu; Lee Wei-Yang; Yu Chih-Hao; Chen Yen-Ting; Lin Chia-Pin |
11444179 | Isolation structures in multi-gate semiconductor devices and methods of fabricating the same | Wu Xusheng; Liu Chang-Miao; Shang Huiling |
11444181 | Source/drain formation with reduced selective loss defects | Chang Chih-Chiang; Yu Ming-Hua; Su Li-Li |
11444194 | LDMOS with enhanced safe operating area and method of manufacture | Li Lianjie; Han Feng; Lu Jian-Hua; Lu YanBin; Chen Shui Liang |
11444197 | Semiconductor device and method | Yang Chih-Chuan; Hsu Kuo-Hsiu; Chang Feng-Ming; Lim Kian-Long; Hung Lien Jung |
11444198 | Work function control in gate structures | Lee Hsin-Yi; Hung Cheng-Lung; Chen Ji-Cheng; Chang Weng; Chui Chi On |
11444199 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B.; Chang Shih-Chieh; Lee Cheng-Han; Lee Pei-Shan |
11444200 | Semiconductor structure with isolating feature and method for forming the same | Huang Yu-Xuan; Tsai Ching-Wei; Chen Hou-Yu; Cheng Kuan-Lun |
11444202 | Semiconductor device and method of forming the same | Young Bo-Feng; Yeong Sai-Hooi; Chui Chi-On; Yao Chien-Ning |
11444241 | Self-aligned encapsulation hard mask to separate physically under-etched MTJ cells to reduce conductive R-deposition | Yang Yi; Shen Dongna; Sundar Vignesh; Wang Yu-Jen |
11444608 | Level shifter | Chen Chien-Yuan; Lee Cheng Hung; Liao Hung-Jen; Shieh Hau-Tai |
11445104 | Device with a recessed gate electrode that has high thickness uniformity | Huang Hung-Shu; Liu Ming Chyi; Chou Tung-He |
11446630 | Bio-sensing and temperature-sensing integrated circuit | Chen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix Ying-Kit; Peng Yung-Chow |
11446712 | System for cleaning wafer in CMP process of semiconductor manufacturing fabrication | Tien Chia-Ying; Hsueh Chia-Lin |
11446785 | Methods to clean chemical mechanical polishing systems | Chang Chih-Chieh; Chen Yen-Ting; Huang Hui-Chi; Chen Kei-Wei |
11446851 | Molding apparatus, manufacturing method of molded semiconductor device and molded semiconductor device | Weng Sheng-Feng; Hsieh Ching-Hua; Liu Chung-Shi; Lin Chih-Wei; Chiu Sheng-Hsiang; Lai Yao-Tong; Lin Chia-Min |
11447054 | Method for transferring container | Huang Yi-Tang; Feng Yuan-Yu; Lin Chia-Han; Lee Chien-Fa |
11448691 | Thermal sensor | Liu Szu-Lin; Horng Jaw-Juinn |
11448828 | Optical device for coupling light | Chern Chan-Hong; Lin Chih-Chang; Jou Chewn-Pu; Shih Chih-Tsung; Kuo Feng-Wei; Cho Lan-Chou; Hsu Min-Hsiang; Song Weiwei |
11448891 | Multifunctional collimator for contact image sensors | Chen Hsin-Yu; Li Chun-Peng; Hung Chia-Chun; Hu Ching-Hsiang; Wu Wei-Ding; Weng Jui-Chun; Chiang Ji-Hong; Liu Yen-Chiang; Chiou Jiun-Jie; Tu Li-Yang; Li Jia-Syuan; Jhang You-Cheng; Chen Shin-Hua; Sanagavarapu Lavanya; Pan Han-Zong; Hsu Hsi-Cheng |
11448955 | Mask for lithography process and method for manufacturing the same | Liao Chi-Hung; Yang Yueh-Lin |
11448956 | EUV mask | Chen Ching-Huang; Sun Chi-Yuan; Lin Hua-Tai; Lee Hsin-Chang; Chen Ming-Wei |
11448970 | Lithography system and methods | Lee Eng Hock; Cheng Wen-Hao |
11448975 | Multi-function overlay marks for reducing noise and extracting focus and critical dimension information | Lee Yu-Ching; Huang Te-Chih; Fang Yu-Piao |
11448978 | Contamination handling for semiconductor apparatus | Hsieh Fu-Chun; Lin Chih-Che; Su Pei-Yi |
11449656 | Method of designing semiconductor device | Wang Shao-Huan; Chen Sheng-Hsiung; Chen Wen-Hao; Chen Chun-Chen; Ou Hung-Chih |
11449984 | Method and system for diagnosing a semiconductor wafer | Chen Yen-Liang; Liu Jun-Xiu |
11450357 | Structure for multiple sense amplifiers of memory device | Lin Ku-Feng; Noguchi Hiroki |
11450362 | Memory device, integrated circuit device and method | Young Bo-Feng; Lin Yu-Ming; Lu Shih-Lien Linus; Chia Han-Jong; Yeong Sai-Hooi; Huang Chia-En; Wang Yih |
11450364 | Computing-in-memory architecture | Shih Yi-Chun; Lee Chia-Fu; Chih Yu-Der; Chang Jonathan Tsung-Yung |
11450367 | Shared decoder circuit and method | Yang XiuLi; Wu Ching-Wei; Wan He-Zhou; Cheng Kuan; Kong Luping |
11450370 | Ferroelectric field-effect transistor (FeFET) memory | Yuh Perng-Fei |
11450395 | Non-volatile memory circuit and method | Li Gu-Huan; Hung Chen-Ming; Chih Yu-Der |
11450399 | Memory array test method and system | Huang Chien-Hao; Chiang Katherine H.; Wu Cheng-Yi; Lin Chung-Te |
11450401 | Method, system and computer program product for memory repair | Chiang Katherine H.; Huang Chien-Hao; Wu Cheng-Yi; Lin Chung-Te |
11450526 | Cyclic spin-on coating process for forming dielectric material | Kuo Je-Ming; Huang Yen-Chun; Peng Chih-Tang; Bao Tien-I |
11450542 | Rounded vertical wafer vessel rods | Cheng Ching-Wen; Huang Xin-Kai; Cho Kuei-Hsiung |
11450555 | Method for forming semiconductor device having isolation structures with different thicknesses | Wu Cheng-Ta; Wu Chii-Ming; Syue Sen-Hong; Chau Cheng-Po |
11450557 | Poisoned metal layer with sloped sidewall for making dual damascene interconnect | Hsu Min Han; Chen Chun-Chang; Tsao Jung-Chih |
11450559 | Integrated circuit structure with backside dielectric layer having air gap | Chang Che-Lun; Lee Wei-Yang; Lin Chia-Pin; Peng Yuan-Ching |
11450563 | Interconnect structure and method | Lin Bo-Jiun; Lin Yu Chao; Lee Tung Ying |
11450565 | Ion implant process for defect elimination in metal layer planarization | Chen Chia-Cheng; Chang Huicheng; Huang Fu-Ming; Chen Kei-Wei; Chen Liang-Yin; Chang Tang-Kuei; Yeo Yee-Chia; Liang Wei-Wei; Cui Ji |
11450566 | Semiconductor device and manufacturing method thereof | Huang Hsin-Yen; Cheng Kai-Fang; Teng Chi-Lin; Lee Shao-Kuan; Chen Hai-Ching |
11450567 | Package component with stepped passivation layer | Cheng Ming-Da; Lee Tzy-Kuang; Lee Song-Bor; Lu Wen-Hsiung; Tsai Po-Hao; Chang Wen-Che |
11450569 | Semiconductor device and forming method thereof | Lee Hsin-Yi; Wang Kuan-Yu; Hung Cheng-Lung; Chui Chi-On |
11450571 | Method for manufacturing semiconductor structure | Tsai Chun Hsiung; Peng Cheng-Yi; Lee Ching-Hua; Wu Chung-Cheng; Wann Clement Hsingjen |
11450572 | Semiconductor device and method | Chen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11450574 | Deep trench isolation structure and method of making the same | Shih Hung-Ling; Yang Tsung-Yu; Wu Yun-Chi; Liu Po-Wei |
11450579 | Integrated circuit component and package structure having the same | Liu Tzuan-Horng; Yang Chao-Hsiang; Chen Hsien-Wei; Chen Ming-Fa |
11450580 | Semiconductor structure and method of fabricating the same | Huang Kuan-Yu; Huang Sung-Hui; Hou Shang-Yun; Huang Chien-Yuan |
11450581 | Integrated circuit package and method | Lo Teng-Yuan; Chuang Lipu Kris; Pan Hsin-Yu |
11450584 | Warpage control of semiconductor die | Wang Yun-Ting; Lin Yi-An; Chang Ching-Chuan; Kuo Po-Chang |
11450588 | Method for forming chip package structure with heat conductive layer | Chi Shin; Hsu Chien-Hao; Chang Kuo-Chin; Lin Cheng-Nan; Lii Mirng-Ji |
11450595 | Semiconductor package device with integrated inductor and manufacturing method thereof | Hsu Ying-Chih; Liao Wen-Shiang |
11450600 | Semiconductor devices including decoupling capacitors | Huang Yu-Xuan; Chen Hou-Yu; Tsai Ching-Wei; Cheng Kuan-Lun; Chen Chung-Hui |
11450602 | Hybrid method for forming semiconductor interconnect structure | Fu Shih-Kang; Lee Ming-Han; Shue Shau-Lin |
11450603 | Semiconductor device and method of fabricating the same | Liao Sih-Hao; Kuo Hung-Jui; Hu Yu-Hsiang |
11450605 | Reducing internal node loading in combination circuits | Chen Chien-Yuan; Lee Cheng-Hung; Liao Hung-Jen; Shieh Hau-Tai; Lin Kao-Cheng; Chan Wei-Min |
11450609 | Electro-migration reduction | Ho Yi-Chen; Lin Chien; Yu Cheng-Yeh; Chen Hsin-Hsing; Hsieh Ju Ru |
11450612 | Semiconductor devices and methods of manufacturing the same | Lin Yu-Hung; Su An-Jhih; Yeh Der-Chyang; Shen Shih-Guo; Yuan Chia-Nan; Yeh Ming-Shih |
11450615 | Package structure and method of fabricating the same | Tsai Tsung-Fu; Lu Szu-Wei |
11450622 | Semiconductor package | Wang Chin-Hua; Yeh Shu-Shen; Lin Yu-Sheng; Lin Po-Yao; Jeng Shin-Puu |
11450626 | Semiconductor package | Chen Yang-Che; Liang Victor Chiang; Lin Chen-Hua; Liu Chwen-Ming; Tseng Huang-Wen |
11450628 | Package structure including a solenoid inductor laterally aside a die and method of fabricating the same | Tang Tzu-Chun; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Lin Chia-Chia |
11450641 | Method of fabricating package structure | Kuo Hung-Jui; Tsai Hui-Jung; Wang Chia-Wei; Chang Yu-Tzu |
11450654 | Package structure and method of fabricating the same | Tsai Tsung-Fu; Kao Chin-Fu; Wang Pu; Lu Szu-Wei |
11450657 | Semiconductor device with improved electrostatic discharge or electro-over stress protection | Kuo Hsi-Yu; Chu Yu-Lin |
11450660 | Semiconductor device and method of fabricating the same | Lin Meng-Han; Chen Te-An |
11450661 | Forming STI regions to separate semiconductor Fins | Hsu Chih-Yu; Lin Yi-Tang; Wann Clement Hsingjen; Chang Chih-Sheng; Tsai Wei-Chun; Sheu Jyh-Cherng; Shih Chi-Yuan |
11450662 | Gate isolation structure | You Jia-Chuan; Chang Chia-Hao; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao |
11450663 | Semiconductor device structure and methods of forming the same | Chen Shih-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chang Lo-Heng; Yao Chien Ning; Chiang Kuo-Cheng; Wang Chih-Hao |
11450664 | Semiconductor device having nanosheet transistor and methods of fabrication thereof | Huang Mao-Lin; Chu Lung-Kun; Hsu Chung-Wei; Yu Jia-Ni; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao |
11450665 | Semiconductor structure with self-aligned backside power rail | Chiang Kuo-Cheng; Ju Shi Ning; Cheng Kuan-Lun; Wang Chih-Hao |
11450666 | Semiconductor devices including two-dimensional material and methods of fabrication thereof | Khaderbad Mrunal Abhijith; Sathaiya Dhanyakumar Mahaveer |
11450673 | Connection between source/drain and gate | Yang Chih-Chuan; Pao Chia-Hao; Lin Yu-Kuan; Hung Lien Jung; Wang Ping-Wei; Lin Shih-Hao |
11450676 | Ferroelectric random access memory device with a three-dimensional ferroelectric capacitor | Young Bo-Feng; Yeong Sai-Hooi; Chia Han-Jong; Chui Chi On |
11450686 | High density 3D FERAM | Yeong Sai-Hooi; Young Bo-Feng; Lin Yu-Ming; Chui Chi On |
11450700 | Semiconductor image sensor pixel isolation structure for reducing crosstalk | Tsao Tsun-Kai; Lu Jiech-Fun |
11450733 | Three dimensional metal insulator metal capacitor structure | Yeong Sai-Hooi; Yu Chia-Ta; Huang Yen-Chieh |
11450735 | Method of forming guard ring and circuit device | Lin Wan-Yen; Lin Wun-Jie; Su Yu-Ti; Chen Bo-Ting; Tseng Jen-Chou; Chen Kuo-Ji; Chang Sun-Jay; Liang Min-Chang |
11450741 | Doping for semiconductor device with conductive feature | Liu Su-Hao; Chang Huicheng; Chen Chia-Cheng; Chen Liang-Yin; Chen Kuo-Ju; Wu Chun-Hung; Liu Chang-Miao; Yang Huai-Tei; Tan Lun-Kuang; You Wei-Ming |
11450742 | FinFET structure and method for manufacturing thereof | Tsai Chun Hsiung; Chong Lai-Wan; Lee Chien-Wei; Chen Kei-Wei |
11450743 | Method of forming a semiconductor device with implantation of impurities at high temperature | Wang Bau-Ming; Chiu Che-Fu; Nieh Chun-Feng; Chang Huicheng; Yeo Yee-Chia |
11450748 | Semiconductor device and manufacturing method thereof | Vellianitis Georgios; Doornbos Gerben; Van Dal Marcus Johannes Henricus |
11450749 | Electrode structure for vertical group III-V device | Chang Yao-Chung; Tsai Chun Lin; Su Ru-Yi; Wang Wei; Yang Wei-Chen |
11450751 | Integrated circuit structure with backside via rail | Su Huan-Chieh; Yu Li-Zhen; Chen Chun-Yuan; Chuang Cheng-Chi; Chang Shang-Wen; Chiu Yi-Hsun; Wang Pei-Yu; Tsai Ching-Wei; Wang Chih-Hao |
11450754 | Semiconductor devices and methods of manufacture | Lin Zhi-Chang; Chen Shih-Cheng; Chang Lo-Heng; Chang Jung-Hung; Chiang Kuo-Cheng |
11450757 | FinFET device and methods of forming | Lin Yu-Chang; Nieh Chun-Feng; Chang Huicheng; Chien Wei-Ting; Tsao Chih-Pin; Li Hou-Ju; Chang Tien-Shun |
11450758 | Gate structure of semiconductor device and method of forming same | Hsiao Ru-Shang; Wang Ying Ming; Lu Ying Hsin |
11450769 | Transistor with asymmetric source and drain regions | Liao Hsien-Yuan; Ho Chien-Chih; Lin Chi-Hsien; Tseng Hua-Chou; Chen Ho-Hsiang; Liu Ru-Gun; Yeh Tzu-Jin; Lu Ying-Ta |
11450772 | Fin field-effect transistor device and method | Lin Wei-Ken; Li Chun Te; Hsu Chih-Peng |
11451217 | Match-slave latch with skewed clock | Hong Hyunsung |
11452197 | Shock wave visualization for extreme ultraviolet plasma optimization | Su Yen-Shuo; Yeh Jen-Hao; Yeh Jhan-Hong; Cheng Ting-Ya; Tong Yee-Shian Henry; Chang Chun-Lin; Chang Han-Lung; Chen Li-Jui; Cheng Po-Chung |
11454668 | Voltage tracking circuit and method of operating the same | Cheng Hsiang-Hui; Chang Chia-Jung |
11454773 | Optical transceiver and manufacturing method thereof | Yu Chen-Hua; Hsia Hsing-Kuo; Huang Sung-Hui; Huang Kuan-Yu; Ting Kuo-Chiang; Hou Shang-Yun; Wu Chi-Hsi |
11454820 | Multifunctional collimator for contact image sensors | Chen Hsin-Yu; Liu Yen-Chiang; Chiou Jiun-Jie; Li Jia-Syuan; Jhang You-Cheng; Chen Shin-Hua; Sanagavarapu Lavanya; Pan Han-Zong; Li Chun-Peng; Hung Chia-Chun; Hu Ching-Hsiang; Wu Wei-Ding; Weng Jui-Chun; Chiang Ji-Hong; Hsu Hsi-Cheng |
11454857 | Folded waveguide phase shifters | Chen Huan-Neng; Jou Chewn-Pu; Cho Lan-Chou; Kuo Feng-Wei |
11454877 | Extreme ultraviolet light reflective structure including nano-lattice and manufacturing method thereof | Ku Benny; Koai Keith Kuang-Kuo; Cheng Wen-Hao |
11454881 | Pellicle design for mask application | Lin Yun-Yue |
11454888 | Semiconductor device and method of manufacture | Liao Sih-Hao; Hu Yu-Hsiang; Kuo Hung-Jui; Yu Chen-Hua |
11454891 | Manufacturing method of semiconductor device and semiconductor processing system | Chen Yu-Kai; Chung Chia-Hung; Kao Ko-Bin; Yeh Su-Yu; Wu Li-Jen; Ke Zhi-You; Lin Ming-Hung |
11455448 | Method for analyzing electromigration (EM) in integrated circuit | Lin Chin-Shen; Lin Ming-Hsien; Lo Wan-Yu; Lee Meng-Xiang |
11455453 | Integrated circuit design method, system and computer program product | Chang Chi-Wen; Kuan Jui-Feng |
11456040 | Memory device and error correction method in memory device | Khwa Win-San |
11456100 | MRAM stacks, MRAM devices and methods of forming the same | Lin Shy-Jay; Tsai Wilman; Song Ming-Yuan |
11456169 | Wafer structure and trimming method thereof | Chiang Hao-Ning; Chuang Ming-Te |
11456170 | Cleaning solution and method of cleaning wafer | Zi An-Ren; Chang Ching-Yu |
11456176 | Gate electrodes with notches and methods for forming the same | Kao Min-Feng; Chen Szu-Ying; Yaung Dun-Nian; Liu Jen-Cheng; Hsu Tzu-Hsuan; Hung Feng-Chi |
11456182 | Integrated circuit structure and fabrication thereof | Lin Yu-Hsien; Yeh Chang-Ching |
11456203 | Wafer release mechanism | Liu Yan-Hong; Chen Che-Fu |
11456209 | Spacers for semiconductor devices including a backside power rails | Yu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11456210 | Integrated circuit and method for manufacturing the same | Huang Kuan-Wei; Su Yi-Nien; Chen Yu-Yu; Shieh Jyu-Horng |
11456211 | Method of forming interconnect structure | Lin Bo-Jiun; Lee Tung-Ying; Lin Yu-Chao |
11456217 | Integrated circuits with buried interconnect conductors | Chiang Kuo-Cheng; Ju Shi Ning; Cheng Kuan-Lun; Wang Chih-Hao |
11456223 | Semiconductor stress monitoring structure and semiconductor chip | Chen Chien-Mao |
11456226 | Semiconductor package and method of fabricating the same | Lin Chun-Cheng; Hsieh Ching-Hua; Yu Chen-Hua; Liu Chung-Shi; Lin Chih-Wei |
11456228 | Integrated circuit structure | Liaw Jhon-Jhy |
11456240 | Semiconductor device and method of manufacture | Yu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei; Liu Tzuan-Horng |
11456245 | Silicon interposer including through-silicon via structures with enhanced overlay tolerance and methods of forming the same | Tsou Hsien-Ju; Wu Chih-Wei; Shih Ying-Ching; Lu Szu-Wei |
11456246 | Semiconductor device structure and methods of forming the same | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11456249 | Package structure, package-on-package structure and manufacturing method thereof | Wang Chuei-Tang; Kuo Tin-Hao |
11456251 | Semiconductor structure, package structure, and manufacturing method thereof | Chen Wei-Ting; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang |
11456255 | Impedance controlled electrical interconnection employing meta-materials | Wyland Christopher |
11456256 | Semiconductor device, stacked semiconductor device and manufacturing method of semiconductor device | Tung Chih-Hang; Yu Chen-Hua; Shao Tung-Liang; Yang Su-Chun; Shih Wen-Lin |
11456257 | Semiconductor package with dual sides of metal routing | Jeng Shin-Puu; Chen Shuo-Mao; Liu Hsien-Wen; Chuang Po-Yao; Hsu Feng-Cheng; Lin Po-Yao |
11456263 | Semiconductor structure and method for forming the same | Wei Chia-Yu; Li Cheng-Yuan; Lin Yen-Liang; Lee Kuo-Cheng; Huang Hsun-Ying; Chen Hsin-Chi |
11456266 | Bump structure and method of manufacturing bump structure | Chang Ching-Yu; Cheng Ming-Da; Weng Ming-Hui |
11456268 | Semiconductor package and manufacturing method thereof | Chang Jung-Hua; Kao Chin-Fu |
11456276 | Chip package structure | Li Ling-Wei; Chang Jung-Hua; Huang Cheng-Lin |
11456280 | Semiconductor package and method of forming the same | Chen Wei-Chih; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao; Cho Hung-Chun |
11456287 | Package structure and method of fabricating the same | Hu Hsien-Pin; Kao Chin-Fu; Cheng Li-Hui; Lu Szu-Wei; Wei Wen-Hsin; Pan Chih-Chien |
11456292 | Semiconductor device and manufacturing method thereof | Wang Xin-Yong; Zhou Yang; Han Liu |
11456293 | Polysilicon resistor structures | Lin Meng-Han; Huang Wen-Tuo; Tsair Yong-Shiuan |
11456295 | Air gap formation between gate spacer and epitaxy structure | Lai Bo-Yu; Lee Kai-Hsuan; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11456330 | Fatigue-free bipolar loop treatment to reduce imprint effect in piezoelectric device | Shih Chi-Yuan; Huang Shih-Fen; Lin You-Ru; Liao Yan-Jie |
11456355 | Semiconductor device | Chen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang; Chu Chung-Hao |
11456360 | Epitaxial growth methods and structures thereof | Ueno Tetsuji; Yu Ming-Hua; Yang Chan-Lon |
11456368 | Semiconductor device structure with hard mask layer over fin structure and method for forming the same | Chiang Kuo-Cheng; Pan Kuan-Ting; Su Huan-Chieh; Ju Shi-Ning; Wang Chih-Hao |
11456373 | Semiconductor device and method | Chan Chia-Ling; Chen Liang-Yin; Chien Wei-Ting |
11456380 | Transistor structure and manufacturing method of the same | Lin Tung-Yang; Chou Hsueh-Liang |
11456383 | Semiconductor device having a contact plug with an air gap spacer | Liu Su-Hao; Chen Kuo-Ju; Lee Kai-Hsuan; Wong I-Hsieh; Yang Cheng-Yu; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia; Jang Syun-Ming; Chou Meng-Han |
11456710 | Wireless receiver | Lo An-Hsun; Chen Wen-Sheng; Yeh En-Hsiang; Yeh Tzu-Jin |
11456711 | Measurement method using radio frequency power amplifier | Yeh En-Hsiang; Chen Wen-Sheng; Liang Chia-Ming; Chai Chung-Ho; Li Zong-You; Yeh Tzu-Jin |
11456728 | Data retention circuit and method | Huang Kai-Chi; Chien Yung-Chen; Liu Chi-Lin; Ma Wei-Hsiang; Kao Jerry Chang Jui; Hsieh Shang-Chih; Lu Lee-Chung |
11456744 | Multi-bit level shifter and method of operating same | Ding Jing; Yan Zhang-Ying; Meng Qingchao; Chen Yi-Ting |
11457525 | Interconnect structure having conductor extending along dielectric block | Wu Jiun-Yi; Lee Chien-Hsun; Jou Chewn-Pu; Hsueh Fu-Lung |
11458586 | Planarization method, method for polishing wafer, and CMP system | Cheng Chung-Liang; Lee Chang-Sheng; Zhang Wei; Chen Yen-Yu |
11458587 | Carrier head having retainer ring, polishing system including the carrier head and method of using the polishing system | Lin Chang-Sheng; Lu Hsin-Hsien |
11459190 | Systems and methods for die transfer | Kuo Tsung-Sheng; Huang Chih-Hung; Shiu Yi-Fam; Wang Chueng-Jen; Lee Hsuan; Pai Jiun-Rong |
11460290 | Measuring method and semiconductor structure forming method | Chaudhari Pradip Girdhar; Lee Che-Hui |
11460633 | Semiconductor package and manufacturing method thereof | Chang Chih-Chieh; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang |
11460651 | Photonics package integration | Islam Rabiul; Rusu Stefan; Samra Nick |
11460776 | Method and apparatus of patterning a semiconductor device | Zi An-Ren; Chang Ching-Yu |
11460779 | Gamma ray generator and gamma ray lithography system | Chou You-Hua; Chuang Kuo-Sheng |
11460787 | Apparatus and a method of forming a particle shield | Cheng Wen-Hao |
11461174 | Integrated circuit and method of operating same | Lu Shih-Lien Linus |
11461525 | PUF cell array, system and method of manufacturing same | Lee Cheng-En; Lu Shih-Lien Linus |
11461528 | Integrated circuit, system for and method of forming an integrated circuit | Yang Jung-Chan; Chiang Ting-Wei; Kao Jerry Chang-Jui; Zhuang Hui-Zhong; Lu Lee-Chung; Tien Li-Chun; Shen Meng-Hung; Hsieh Shang-Chih; Lu Chi-Yu |
11461623 | Method and apparatus for defect-tolerant memory-based artificial neural network | Khwa Win-San; Chih Yu-Der; Shih Yi-Chun; Liu Chien-Yin |
11462282 | Semiconductor memory structure | Su Hsin-Wen; Lim Kian-Long; Keng Wen-Chun; Yang Chang-Ta; Lin Shih-Hao |
11462394 | Physical vapor deposition apparatus and method thereof | Wang Chia-Hsi; Ho Kun-Che; Chen Yen-Yu |
11462397 | Semiconductor device and method of forming the same | Liu Chi-Chang |
11462408 | Method of forming an integrated circuit using a patterned mask layer | Hsieh Tzu-Yen; Chang Ming-Ching; Lee Chun-Hung; Lin Yih-Ann; Chen De-Fang; Chen Chao-Cheng |
11462418 | Integrated circuit package and method | Lin Shih Ting; Lu Szu-Wei; Chen Weiming Chris; Ting Kuo-Chiang; Hou Shang-Yun; Wu Chi-Hsi |
11462425 | Semiconductor processing station | Lu Chia-Wei; Huang Hon-Lin; Wang Hung-Chih |
11462458 | Semiconductor device and method of manufacture | Hu Chih-Chia; Jan Sen-Bor; Chen Hsien-Wei; Chen Ming-Fa |
11462470 | Method of forming graphene and metallic cap and barrier layers for interconnects | Yang Shin-Yi; Lee Ming-Han; Shue Shau-Lin |
11462471 | Middle-of-line interconnect structure and manufacturing method | Chang Cheng-Wei; Wang Sung-Li; Liu Yi-Ying; Chu Chia-Hung; Lee Fang-Wei |
11462478 | Layer for buffer semiconductor device including microelectromechnical system (MEMS) device | Shen Ching-Kai; Teng Yi-Chuan; Lin Wei-Chu; Liang Hung-Wei; Tu Jung-Kuo |
11462495 | Chiplets 3D SoIC system integration and fabrication methods | Yu Chen-Hua; Yee Kuo-Chung |
11462507 | Bonding through multi-shot laser reflow | Chen Wei-Yu; Cheng Chia-Shen; Pei Hao-Jan; Chung Philip Yu-Shuan; Huang Kuei-Wei; Tsai Yu-Peng; Lin Hsiu-Jen; Hsieh Ching-Hua; Yu Chen-Hua; Liu Chung-Shi |
11462509 | Package structure with electronic device in cavity substrate and method for forming the same | Tsai Po-Hao; Cheng Ming-Da; Lii Mirng-Ji |
11462530 | Multi-stack package-on-package structures | Yu Chen-Hua; Su An-Jhih |
11462531 | Multi-stack package-on-package structures | Yu Chen-Hua; Su An-Jhih |
11462534 | Semiconductor device and manufacturing method thereof | Huang Chung-Pin; Chen Hou-Yu; Chen Chuan-Li; Yu Chih-Kuan; Huang Yao-Ling |
11462549 | Semiconductor device and method of fabricating the same | Lien Chong-De; Lin Shih-Hao |
11462550 | SRAM structure | Singh Gulbagh; Tsai Shun-Chi; Lee Chih-Ming; Lin Chi-Yen; Lo Kuo-Hung |
11462551 | Memory device | Yang Xiu-Li; Wan He-Zhou; Song Yan-Bo |
11462563 | Memory device and manufacturing method thereof | Huang Yong-Sheng; Liu Ming-Chyi |
11462578 | Imaging device with uniform photosensitive region array | Takahashi Seiji |
11462612 | Semiconductor device structure | Cheng Jung-Chien; Ju Shi Ning; Chen Guan-Lin; Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun |
11462614 | Semiconductor devices and methods of manufacturing | Liaw Jhon Jhy |
11462626 | Semiconductor device and method of manufacture | Hsu Chia-Wei; Lai Pei Ying; Hou Cheng-Hao; Yu Xiong-Fei; Chui Chi On |
11462639 | Semiconductor structure and method for forming the same | Pan Chia-Ming; Hsieh Chia-Ta; Liu Po-Wei; Wu Yun-Chi |
11462642 | Source/drain epitaxial layer profile | Singh Gulbagh; Chen Hsin-Chi; Chuang Kun-Tsang |
11467203 | Test circuit and method | Wang Mill-Jer; Peng Ching-Nen; Lin Hung-Chih; Hsu Sen-Kuei; Wang Chuan-Ching; Chen Hao |
11467488 | Semiconductor apparatus and method of operating the same | Lo Wen; Chang Shih-Ming; Liu Chun-Hung |
11467498 | Extreme ultraviolet control system | Chung Jen-Yang; Hsieh Chieh; Chien Shang-Chieh; Chen Li-Jui; Cheng Po-Chung |
11467509 | Lithography process monitoring method | Lee Chih-Jie; Huang Shih-Chun; Chang Shih-Ming; Hsieh Ken-Hsien; Yen Yung-Sung; Liu Ru-Gun |
11468929 | Memory circuit and method of operating the same | Chen Yi-Tzu; Wu Ching-Wei; Shieh Hau-Tai; Liao Hung-Jen; Wu Fu-An; Wan He-Zhou; Yang XiuLi |
11469108 | System, semiconductor device and method | Huang Wei Han; Tan Lun-Kuang |
11469109 | Semiconductor structure having metal contact features and method for forming the same | Cheng Chung-Liang; Fang Ziwei |
11469128 | Systems and methods for wafer pod alignment | Liu Chao-Hsiang |
11469138 | Via for coupling attached component upper electrode to substrate | Yu Chen-Hua; Wu Chi-Hsi; Chiou Wen-Chih; Wu Tsang-Jiuh; Yeh Der-Chyang; Yeh Ming Shih; Su An-Jhih |
11469139 | Bottom-up formation of contact plugs | Chen Yen-Yu; Cheng Chung-Liang |
11469143 | Semiconductor device with elongated pattern | Chang Po-Chin; Lin Li-Te; Lin Pinyen |
11469145 | Method for forming semiconductor device structure with gate and resulting structures | Chang Chai-Wei; Wu Po-Chi; Fang Wen-Han |
11469166 | Packages with Si-substrate-free interposer and method forming same | Chen Ming-Fa; Yu Chen-Hua |
11469170 | Multilevel interconnection structure and method for forming the same | Yan Zhang-Ying; Wang Xin-Yong |
11469197 | Integrated circuit package and method | Chiou Wen-Chih; Yu Chen-Hua; Lin Shih Ting; Lu Szu-Wei |
11469198 | Semiconductor device manufacturing method and associated semiconductor die | Tsai Ming-Ho; Chen Jyun-Hong; Liu Chun-Chen; Hsu Yu-Nu; Chen Peng-Ren; Cheng Wen-Hao; Tsai Chi-Ming |
11469200 | Semiconductor device and manufacturing method thereof | Shao Tung-Liang; Lai Yu-Chia; Tu Hsien-Ming; Huang Chang-Pin; Yang Ching-Jung |
11469203 | Method for forming package structure with a barrier layer | Chen Cheng-Hung; Hsu Yu-Nu; Liu Chun-Chen; Huang Heng-Chi; Li Chien-Chen; Chen Shih-Yen; Hsieh Cheng-Nan; Liu Kuo-Chio; Chen Chen-Shien; Ku Chin-Yu; Pang Te-Hsun; Wu Yuan-Feng; Chiang Sen-Chi |
11469208 | Method of manufacturing semiconductor package structure | Jeng Shin-Puu; Hsu Feng-Cheng; Chen Shuo-Mao |
11469215 | Chip package structure with molding layer and method for forming the same | Chen Wei-Yu; Su An-Jhih |
11469218 | Devices employing thermal and mechanical enhanced layers and methods of forming same | Yu Chen-Hua; Su An-Jhih; Chen Wei-Yu; Chen Ying-Ju; Lin Tsung-Shu; Chang Chin-Chuan; Chen Hsien-Wei; Wu Wei-Cheng; Huang Li-Hsien; Wu Chi-Hsi; Yeh Der-Chyang |
11469221 | Integrated circuit and manufacturing method thereof | Wang Xin-Yong; Tien Li-Chun; Chen Chih-Liang |
11469227 | Semiconductor device and a method for fabricating the same | Chang Chih-Hao; Guo Wen-Huei; Mor Yi-Shien |
11469229 | Semiconductor device and method | Kao Wan-Yi; Lee Szu-Ping; Chang Che-Hao; Chen Chun-Heng; Lu Yung-Cheng; Chui Chi On |
11469238 | Non-interleaving N-well and P-well pickup region design for IC devices | Fung Ka-Hing |
11469267 | SOT MRAM having dielectric interfacial layer and method forming same | Tsai Wilman; Song MingYuan; Lin Shy-Jay |
11469269 | Techniques for MRAM top electrode via connection | Chen Sheng-Chang; Chuang Harry-Hak-Lay; Wang Hung Cho; Huang Sheng-Huang |
11469305 | Source/drain structure for semiconductor device | More Shahaji B.; Lee Cheng-Han |
11469307 | Thicker corner of a gate dielectric structure around a recessed gate electrode for an MV device | Chen Yi-Huan; Thei Kong-Beng; Chou Chien-Chih; Kalnitsky Alexander; Liu Szu-Hsien; Yuan Huan-Chih |
11469321 | Semiconductor device | Lu Ze-Sian; Chiang Ting-Wei; Sue Pin-Dai; Chen Jung-Hsuan; Li Hui-Wen |
11469322 | Semiconductor device and manufacturing method thereof | Li Lian-Jie; Lu Yan-Bin; Han Feng; Zhang Shuai |
11469324 | Semiconductor device with negative capacitance structure and method for forming the same | Young Bo-Feng; Chang Chih-Yu; Yeong Sai-Hooi; Chui Chi-On; Wang Chih-Hao |
11469326 | Semiconductor devices and methods of fabrication thereof | Chen Shih-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chang Lo-Heng; Yao Chien-Ning; Chiang Kuo-Cheng; Wang Chih-Hao |
11469332 | Semiconductor device and manufacturing method thereof | Lee Wei-Ju; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang |
11469335 | FinFET MOS capacitor | Yang Sung-Hsin; Jeng Jung-Chi; Hsiao Ru-Shang |
11469369 | MRAM structure with high TMR and high PMA | Chuang Ming-Yen; Lin Wenchin |
11469371 | SOT-MRAM cell in high density applications | Song Ming Yuan; Lin Shy-Jay |
11469372 | Memory cell with top electrode via | Ku Ming-Che; Chuang Harry-Hak-Lay; Wang Hung Cho; Tu Tsun Chung; Tsai Jiunyu; Huang Sheng-Huang |
11469743 | Timing circuit arrangements for flip-flops | Xian Huaixin; Meng Qingchao; Zhou Yang; Hsieh Shang-Chih |
11469745 | Latch | Lee Chia-Fu; Lin Hon-Jarn; Chih Yu-Der |
11470710 | EUV light source and apparatus for EUV lithography | Cheng Wei-Shin; Chang Han-Lung; Chen Li-Jui; Cheng Po-Chung; Chang Hsiao-Lun |
11470720 | Opening in the pad for bonding integrated passive device in InFO package | Hsieh Cheng-Hsien; Wu Chi-Hsi; Yu Chen-Hua; Yeh Der-Chyang; Chen Hsien-Wei; Hsu Li-Han; Wu Wei-Cheng |
11474428 | Photomask and method of repairing photomask | Chang Hao-Ming |
11474552 | Voltage reference temperature compensation circuits and methods | Kundu Amit; Horng Jaw-Juinn |
11475929 | Memory refresh | Noguchi Hiroki |
11475942 | SRAM structures | Wang Ping-Wei; Pao Chia-Hao; Yeap Choh Fei; Lin Yu-Kuan; Lim Kian-Long |
11475950 | Stressing algorithm for solving cell-to-cell variations in phase change memory | Wu Jau-Yi |
11476108 | Spin on carbon composition and method of manufacturing a semiconductor device | Huang Jing Hong; Chang Ching-Yu; Lai Wei-Han |
11476124 | Etchant for etching a cobalt-containing member in a semiconductor structure and method of etching a cobalt-containing member in a semiconductor structure | Chen Ren-Kai; Lee Li-Chen; Lin Shun Wu; Yeh Ming-Hsi; Huang Kuo-Bin |
11476125 | Multi-die package with bridge layer | Chang Wei Sen; Chen Yu-Feng; Chen Chen-Shien; Lii Mirng-Ji |
11476156 | Semiconductor device structures | Chiang Hsin-Che; Huang Ju-Li; Liang Chun-Sheng; Yeh Jeng-Ya |
11476157 | Method of manufacturing a metal-oxide-semiconductor field-effect transistor (MOSFET) having low off-state capacitance due to reduction of off-state capacitance of back-end-of-line (BEOL) features of the MOSFET | Singh Gulbagh; Tsai Tsung-Han; Hsu Shih-Lu; Chuang Kun-Tsang |
11476159 | Shared contact structure and methods for forming the same | Hsu Leo; Pan Sheng-Liang |
11476166 | Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers | Young Bo-Feng; Yeong Sai-Hooi; Cheng Kuan-Lun; Wang Chih-Hao |
11476184 | Semiconductor device and method for manufacturing the same | Ting Kuo-Chiang; Wu Chi-Hsi; Hou Shang-Yun; Yu Tu-Hao; Hsu Chia-Hao; Lin Pin-Tso; Chen Chia-Hsin |
11476191 | Low resistance interconnect structure for semiconductor device | Huang Jason; Chung Liang-Chor; Li Cheng-Yuan |
11476193 | Semiconductor structure and manufacturing method thereof | Lu Chi-Ta; Tsai Chi-Ming |
11476196 | Semiconductor device with multi-layer dielectric | Huang Lin-Yu; Wang Sheng-Tsung; You Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11476205 | Package structure and method for forming the same | Tsai Tsung-Fu; Yeh Kung-Chen; Huang I-Ting; Lin Shih-Ting; Lu Szu-Wei |
11476214 | Sidewall spacer to reduce bond pad necking and/or redistribution layer necking | Kalnitsky Alexander; Thei Kong-Beng |
11476219 | Metal-bump sidewall protection | Chang Jung-Hua; He Jian-Yang; Kao Chin-Fu |
11476248 | Three dimensional integrated circuit and fabrication thereof | Hu Chenming; Haung Po-Tsang |
11476250 | Double rule integrated circuit layouts for a dual transmission gate | Peng Shih-Wei; Zhuang Hui-Zhong; Tzeng Jiann-Tyng; Tien Li-Chun; Sue Pin-Dai; Lin Wei-Cheng |
11476278 | IC including standard cells and SRAM cells | Liaw Jhon-Jhy |
11476288 | Infrared image sensor component manufacturing method | Wu Chien-Ying; Chu Li-Hsin; Tseng Chung-Chuan; Liu Chia-Wei |
11476295 | Back side illuminated image sensor with reduced sidewall-induced leakage | Tsai Shuang-Ji; Yaung Dun-Nian; Liu Jen-Cheng; Wang Wen-De; Tseng Hsiao-Hui |
11476331 | Supportive layer in source/drains of FinFET devices | Tai Jung-Chi; Li Chii-Horng; Jeng Pei-Ren; Lee Yen-Ru; Lin Yan-Ting; Chin Chih-Yun |
11476333 | Dual channel structure | Khaderbad Mrunal Abhijith; Sathaiya Dhanyakumar Mahaveer; Lin Keng-Chu; Shen Tzer-Min |
11476337 | Method for forming a semiconductor structure | Chang Yao-Wen; Chang Gung-Pei; Chu Ching-Sheng; Hsu Chern-Yow |
11476342 | Semiconductor device with improved source and drain contact area and methods of fabrication thereof | Lee Wei Ju; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang |
11476347 | Processes for removing spikes from gates | Lin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han; Chang Ming-Ching; Chen Chao-Cheng |
11476349 | FinFET structures and methods of forming the same | Chang Shih-Chieh; More Shahaji B.; Lee Cheng-Han |
11476351 | Metal gate structures and methods of fabricating the same in field-effect transistors | Hsiao Ru-Shang; Su Ching-Hwanq; Su Pin Chia; Lu Ying Hsin; Huang I-Shan |
11476352 | Conformal transfer doping method for fin-like field effect transistor | Yeong Sai-Hooi; Wang Sheng-Chen; Lai Bo-Yu; Fang Ziwei; Yang Feng-Cheng; Chen Yen-Ming |
11476356 | Fin field-effect transistor device with low-dimensional material and method | Hung Yi-Tse; Cheng Chao-Ching; Chen Tse-An; Chiang Hung-Li; Chen Tzu-Chiang; Li Lain-Jong |
11476361 | Semiconductor device structure with dielectric layer | Cheng Chung-Liang; Fang Ziwei |
11476365 | Fin field effect transistor device structure and method for forming the same | Chu Chia-Hung; Wang Sung-Li; Lee Fang-Wei; Chang Jung-Hao; Khaderbad Mrunal Abhijith; Lin Keng-Chu |
11476416 | Semiconductor device and method for manufacturing the same | Trinh Hai-Dang; Jiang Fa-Shen; Lin Hsing-Lien; Wu Chii-Ming |
11479849 | Physical vapor deposition chamber with target surface morphology monitor | Trinh Hai-Dang; Wu Chii-Ming; Pan Shing-Chyang |
11480606 | In-line device electrical property estimating method and test structure of the same | Wang Chen-Han; Lin Chun-Hsiung |
11480869 | Photomask with enhanced contamination control and method of forming the same | Lai Chien-Hung; Chang Hao-Ming; Lin Chia-Shih; Wang Hsuan-Wen; Hsu Yu-Hsin; Shih Chih-Tsung; Wu Yu-Hsun |
11480982 | Flipped gate current reference | Al-Shyoukh Mohammad; Kalnitsky Alexander |
11481531 | IC manufacturing recipe similarity evaluation methods and systems | Ma Kang-Heng; Nan Ching-Hsi |
11481536 | Method and system for fixing violation of layout | Chuang Yi-Lin; Liu Song; Chen Pei-Pei; Lin Heng-Yi; Lin Shih-Yao; Wang Chin-Hsien |
11482276 | System and method for read speed improvement in 3T DRAM | Fujiwara Hidehiro; Chiu Yi-Hsun; Wang Yih |
11482411 | Semiconductor device and method | Chang Ching-Yu; Chen Jei Ming; Lee Tze-Liang |
11482417 | Method of manufacturing semiconductor structure | Lee Yung-Yao; Hsu Chen Yi; Tseng Wei-Hsiang |
11482421 | Method of forming a semiconductor device by a replacement gate process | Lin Shih-Yao; Kao Kuei-Yu; Lin Chih-Han; Chang Ming-Ching; Chen Chao-Cheng |
11482426 | Double patterning method | Lee Chia-Ying; Shieh Jyu-Horng |
11482430 | Space filling device for wet bench | Chen Yen-Ji; Yang Chih-Shen; Huang Cheng-Yi |
11482447 | Method of forming an integrated chip having a cavity between metal features | Tien Hsi-Wen; Lee Chung-Ju; Lu Chih Wei; Yao Hsin-Chieh; Shue Shau-Lin; Dai Yu-Teng; Liao Wei-Hao |
11482450 | Methods of forming an abrasive slurry and methods for chemical- mechanical polishing | Lee Chia Hsuan; Hsu Chun-Wei; Ho Chia-Wei; Shen Chi-Hsiang; Wu Li-Chieh; Lin Jian-Ci; Liu Chi-Jen; Lin Yi-Sheng; Cheng Yang-Chun; Chen Liang-Guang; Wei Kuo-Hsiu; Chen Kei-Wei |
11482451 | Interconnect structures | Luo Guanyu; Yang Shin-Yi; Lee Ming-Han; Shue Shau-Lin |
11482458 | Selective dual silicide formation | Chu Peng-Wei; Wang Sung-Li; Okuno Yasutoshi |
11482459 | HVMOS reliability evaluation using bulk resistances as indices | Chen Chia-Chung; Huang Chi-Feng; Lu Tse-Hua |
11482461 | Semiconductor package and method for making the same | Chen Yang-Che; Lin Chen-Hua; Tseng Huang-Wen; Liang Victor Chiang; Liu Chwen-Ming |
11482465 | Thermal interface materials, 3D semiconductor packages and methods of manufacture | Yu Chen-Hua; Lu Szu-Wei; Shih Ying-Ching; Kuo Li-Chung |
11482473 | Semiconductor device, and associated method and system | Peng Shih-Wei; Wu Chia-Tien; Tzeng Jiann-Tyng |
11482484 | Symmetrical substrate for semiconductor packaging | Wu Jiun Yi; Yu Chen-Hua |
11482491 | Package structure with porous conductive structure and manufacturing method thereof | Chen Wei-Yu; Chen Chih-Hua; Hsieh Ching-Hua; Lin Hsiu-Jen; Huang Yu-Chih; Tsai Yu-Peng; Cheng Chia-Shen; Tsao Chih-Chiang; Yu Jen-Jui |
11482493 | Methods for reducing dual damascene distortion | Wang Chao-Chun; Ko Chung-Chi; Shih Po-Cheng |
11482495 | Semiconductor arrangement and method for making | Chen Pin-Wen; Fu Mei-Hui; Lee Hong-Mao; Lin Wei-Jung; Chang Chih-Wei |
11482497 | Package structure including a first die and a second die and a bridge die and method of forming the package structure | Lin Yu-Hung; Wu Chih-Wei; Yuan Chia-Nan; Shih Ying-Ching; Su An-Jhih; Lu Szu-Wei; Yeh Ming-Shih; Yeh Der-Chyang |
11482499 | Seal ring for hybrid-bond | Hu Chih-Chia; Kuo Chun-Chiang; Jan Sen-Bor; Chen Ming-Fa; Chen Hsien-Wei |
11482506 | Edge-trimming methods for wafer bonding and dicing | Hsieh Feng-Chien; Chen Hsin-Chi; Lee Kuo-Cheng; Cheng Mu-Han; Cheng Yun-Wei |
11482508 | Semiconductor package and manufacturing method thereof | Shih Ying-Ching; Wu Chih-Wei; Lu Szu-Wei |
11482518 | Semiconductor structures having wells with protruding sections for pickup cells | Chang Yung Feng; Hsu Chun-Chia; Hsieh Tung-Heng; Young Bao-Ru |
11482556 | Low-noise image sensor having stacked semiconductor substrates | Takahashi Seiji; Sze Jhy-Jyi |
11482571 | Memory array with asymmetric bit-line architecture | Chiang Hung-Li; Cheng Chao-Ching; Chiu Jung-Piao; Chen Tzu-Chiang; Chen Yu-Sheng |
11482594 | Semiconductor devices with backside power rail and method thereof | Yu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11482595 | Dual side contact structures in semiconductor devices | Chiu Shih-Chuan; Chang Chia-Hao; Chuang Cheng-Chi; Wang Chih-Hao; Su Huan-Chieh; Chen Chun-Yuan; Yu Li-Zhen; Lin Yu-Ming |
11482609 | Ferroelectric channel field effect transistor | Doornbos Gerben; van Dal Marcus Johannes Henricus; Vellianitis Georgios |
11482620 | Interfacial layer between Fin and source/drain region | Chin Chih-Yun; Li Chii-Horng; Lee Chien-Wei; Sung Hsueh-Chang; Ting Heng-Wen; Tai Roger; Jeng Pei-Ren; Hsu Tzu-Hsiang; Lee Yen-Ru; Lin Yan-Ting; Liu Davie |
11482649 | Semiconductor package and manufacturing method of semiconductor package | Chen Ming-Fa; Chen Hsien-Wei; Chen Jie |
11482663 | Microelectromechanical system with piezoelectric film and manufacturing method thereof | Chen Ting-Jung |
11482668 | RRAM structure | Trinh Hai-Dang; Wu Chii-Ming; Lin Hsing-Lien; Jiang Fa-Shen |
11482788 | Antenna device and method for manufacturing antenna device | Wang Chuei-Tang; Tsai Chung-Hao; Hsieh Jeng-Shien; Lin Wei-Heng; Yee Kuo-Chung; Yu Chen-Hua |
11483468 | Phase detect auto-focus three dimensional image capture system | Liu Chih-Min |
11483918 | Light source for lithography exposure process | Hsieh Chieh; Chien Shang-Chieh; Hsu Chun-Chia; Liu Bo-Tsun; Fu Tzung-Chi; Chen Li-Jui; Cheng Po-Chung |
11485631 | Method of making ohmic contact on low doped bulk silicon for optical alignment | Chang Kuei-Sung; Chu Chia-Hua; Tsai Shang-Ying |
11486854 | CMOS compatible BioFET | Kalnitsky Alexander; Liu Yi-Shao; Liang Kai-Chih; Chu Chia-Hua; Cheng Chun-Ren; Cheng Chun-Wen |
11487060 | Semiconductor device with nanostructures aligned with grating coupler and manufacturing method thereof | Liao Yu-Kuang; Li Jia-Xsing; Wu Ping-Jung; Wu Tsang-Jiuh; Chiou Wen-Chih; Yu Chen-Hua |
11487207 | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance | Ouyang Christine Y |
11487210 | Method and system of surface topography measurement for lithography | Lee Yung-Yao; Wang Yeh-Chin; Chu Yang-Ann; Chen Yung-Hsiang; Chen Yung-Cheng |
11487924 | System, method and associated computer readable medium for designing integrated circuit with pre-layout RC information | Chen Chin-Sheng; Chai Ching-Yu; Hu Wei-Yi |
11488659 | Memory circuit and write method | Lu Shih-Lien-Linus; Young Bo-Feng; Chia Han-Jong; Lin Yu-Ming; Yeong Sai-Hooi |
11488661 | Memory device including memory cells and edge cells | Katoch Atuk |
11488814 | Permeance magnetic assembly | Yang Tsung-Jen; Chen Yi-Zhen; Wang Chih-Pin; Shih Chao-Li; Su Ching-Hou; Huang Cheng-Yi |
11488825 | Multi-layer mask and method of forming same | Liou Joung-Wei; Lan Chin Kun |
11488842 | Method of making semiconductor device package including conformal metal cap contacting each semiconductor die | Tsai Chen-Yu; Wei Tsung-Shang; Lin Yu-Sheng; Chiou Wen-Chih; Jeng Shin-Puu |
11488843 | Underfill between a first package and a second package | Lin Jing-Cheng; Cheng Li-Hui; Tsai Po-Hao |
11488848 | Integrated semiconductor die vessel processing workstations | Kuo Tsung-Sheng; Huang Guan-Wei; Huang Chih-Hung; Chu Yang-Ann; Liu Hsu-Shui; Pai Jiun-Rong |
11488855 | Dielectric gap-filling process for semiconductor device | Lin Cheng-I; Tang Bang-Tai |
11488857 | Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process | Wang Yu-Shih; Yeh Po-Nan; Chiu U-Ting; Lin Chun-Neng; Chen Chia-Cheng; Chen Liang-Yin; Yeh Ming-Hsi; Huang Kuo-Bin |
11488858 | Methods for forming stacked layers and devices formed thereof | Lin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han |
11488859 | Semiconductor device and method | Yeh Po-Nan; Wang Yu Shih; Yeh Ming-Hsi |
11488861 | Method for manufacturing an interconnect structure having a selectively formed bottom via | Ho Po-Kuan; Wu Chia-Tien |
11488869 | Transistor isolation structures | Khaderbad Mrunal Abhijith; Lin Keng-Chu; Peng Yu-Yun |
11488872 | Method for forming semiconductor device structure with isolation feature | Tsai Tsung-Han; Wang Po-Jen; Wu Chun-Li; Kao Ching-Hung |
11488873 | Metal gates and methods of forming thereby | Lee Hsin-Yi; Hung Cheng-Lung; Chui Chi On |
11488874 | Semiconductor device with funnel shape spacer and methods of forming the same | Yang Cheng-Yu; Chen Yen-Ting; Lee Wei-Yang; Yang Fu-Kai; Chen Yen-Ming |
11488878 | Packaging mechanisms for dies with different sizes of connectors | Chen Chih-Hua; Chen Chen-Shien; Hsiao Ching-Wen |
11488881 | Semiconductor device and method of manufacture | Yu Chen-Hua; Lee Chien-Hsun; Wu Jiun Yi |
11488882 | Die-on-interposer assembly with dam structure and method of manufacturing the same | Wu Chih-Wei; Lu Szu-Wei; Lin Jing-Cheng |
11488891 | Method of forming conductive bumps for cooling device connection and semiconductor device | Chou You-Hua; Lai Yi-Jen; Chen Chun-Jen; Kao Perre |
11488897 | Integrated circuit package and method | Lai Chi-Hui; Chun Shu-Rong; Pan Kuo Lung; Kuo Tin-Hao; Tsai Hao-Yi; Liu Chung-Shi; Yu Chen-Hua |
11488898 | Bump joint structure with distortion and method forming same | Lin Po-Yao; Jeng Shin-Puu |
11488908 | Semiconductor device and method | Yu Chen-Hua; Tsai Hui-Jung; Kuo Hung-Jui; Liu Chung-Shi; Pu Han-Ping; Ko Ting-Chu |
11488909 | Package structure | Lin Chun-Wen; Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang; Hsu Che-Wei |
11488912 | Method for forming recesses in a substrate by etching dummy fins | Kuan Wan-Chun; Liao Chih-Teng; Chiu Yi-Wei; Weng Tzu-Chan |
11488926 | Self-aligned interconnect structure | Yao Hsin-Chieh; Lee Chung-Ju; Lu Chih Wei; Tien Hsi-Wen; Dai Yu-Teng; Liao Wei-Hao |
11488966 | FinFET SRAM having discontinuous PMOS fin lines | Liaw Jhon Jhy |
11488968 | Integrated circuit and static random access memory thereof | Liaw Jhon-Jhy |
11488969 | Two-port SRAM cells with asymmetric M1 metalization | Liaw Jhon Jhy |
11488971 | Embedded memory with improved fill-in window | Lin Meng-Han; Chiu Te-Hsin; Wu Wei Cheng |
11488993 | Image sensor device | Hung Chen-Hsiang; Tseng Chung-Chuan; Chu Li-Hsin; Lai Chia-Ping |
11489011 | Resistive random access memory device | Jiang Jheng-Hong; Cheng Cheung; Liu Chia-Wei |
11489039 | Semiconductor device and manufacturing method thereof | Chen Zheng-Long |
11489053 | Semiconductor device and method | Chen Chun-Han; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11489054 | Raised epitaxial LDD in MuGFETs and methods for forming the same | Lu Yong-Yan; Chen Hou-Yu; Yang Shyh-Horng |
11489056 | Semiconductor device with multi-threshold gate structure | Cheng Chung-Liang; Lim Peng-Soon; Fang Ziwei; Chao Huang-Lin |
11489057 | Contact structures in semiconductor devices | Chang Hsu-Kai; Huang Jhih-Rong; Tung Yen-Tien; Chu Chia-Hung; Liang Shuen-Shin; Shen Tzer-Min; Lin Pinyen; Wang Sung-Li |
11489058 | Semiconductor structure and associated manufacturing method | Cheng Hsin-Li; Chang Yu-Chi |
11489059 | Semiconductor devices, FinFET devices and methods of forming the same | Lee Hsin-Yi; Hung Cheng-Lung; Chang Weng; Chui Chi-On |
11489062 | Optimized proximity profile for strained source/drain feature and method of fabricating thereof | Lin Chun-An; Tseng Kuo-Pi; Su Tzu-Chieh |
11489063 | Method of manufacturing a source/drain feature in a multi-gate semiconductor structure | Lee Wei Ju; Cheng Chun-Fu; Wu Chung-Wei; Wu Zhiqiang |
11489064 | Forming 3D transistors using 2D van per waals materials | Su Sheng-Kai; Cai Jin |
11489074 | Semiconductor device and manufacturing method thereof | Li Kun-Mu; Kwok Tsz-Mei; Yu Ming-Hua; Yang Chan-Lon |
11489075 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B.; Savant Chandrashekhar Prakash |
11489078 | Lightly-doped channel extensions | Lai Wei-Jen; Lu Wei-Yuan; Yu Chih-Hao; Lin Chia-Pin |
11489107 | Memory cell with top electrode via | Ku Ming-Che; Chuang Harry-Hak-Lay; Wang Hung Cho; Tu Tsun Chung; Tsai Jiunyu; Huang Sheng-Huang |
11489113 | Semiconductor device, memory cell and method of forming the same | Lee Tung-Ying; Yu Shao-Ming; Lin Yu-Chao |
11489115 | VIA structure and methods of forming the same | Huang Wei-Chieh; Chen Jieh-Jang; Shiu Feng-Jia; Hsu Chern-Yow |
11489502 | Noise detecting circuit and associated system and method | Lien Bei-Shing; Horng Jaw-Juinn |
11489530 | Delay lock loop circuits and methods for operating same | Tsai Tsung-Hsien; Chang Ya-Tin; Sheen Ruey-Bin; Chang Chih-Hsien; Hsieh Cheng-Hsiang |
11491455 | Bio-sensing and temperature-sensing integrated circuit | Chen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix Ying-Kit; Peng Yung-Chow |
11491510 | Semiconductor device having microelectromechanical systems devices with improved cavity pressure uniformity | Chiu I-Hsuan; Hung Chia-Ming; Peng Li-Chun; Chen Hsiang-Fu |
11493389 | Low temperature error thermal sensor | Horng Jaw-Juinn; Liu Szu-Lin |
11493563 | Power amplifiers testing system and related testing method | Hsieh Hsieh-Hung; Lin Wu-Chen; Chen Yen-Jen; Yeh Tzu-Jin |
11493689 | Photonic semiconductor device and method of manufacture | Yu Chen-Hua; Hsia Hsing-Kuo; Ting Kuo-Chiang; Huang Sung-Hui; Hou Shang-Yun; Wu Chi-Hsi |
11493909 | Method for detecting environmental parameter in semiconductor fabrication facility | Chen Lee-Chun; Yang Yi-Chien; Hsu Chia-Lin |
11493946 | Signal generating device and method of generating temperature-dependent signal | Tai Chia Liang |
11494497 | System and device for data protection and method thereof | Kuo Wen-Chang; Kao Chiang; Chen Kuo Hsiung; Liu Ho-Han; Yang Ti-Yen; Liu Jo-Chan; Wang Chi-Pin; Chang Yao-Hsiung |
11494542 | Semiconductor device, method of generating layout diagram and system for same | Chen Chung-Hui; Chang Tzu Ching; Chen Wan-Te |
11494543 | Layout for integrated circuit and the integrated circuit | Lei Cheok-Kei; Li Yu-Chi; Tseng Chia-Wei; Jiang Zhe-Wei; Liu Chi-Lin; Kao Jerry Chang-Jui; Yang Jung-Chan; Lu Chi-Yu; Zhuang Hui-Zhong |
11494545 | Apparatus and method for advanced macro clock skewing | Tsai Ming-Chieh; Wang Shao-Yu |
11494619 | Device and method for operating the same | Hou Tuo-Hung; Chang Chih-Cheng |
11495294 | Hybrid self-tracking reference circuit for RRAM cells | Lin Zheng-Jun; Chou Chung-Cheng; Chih Yu-Der; Tseng Pei-Ling |
11495300 | Method and apparatus for PUF generator characterization | Lu Shih-Lien Linus |
11495314 | Memory repair using optimized redundancy utilization | Huang Chien-Hao; Wu Cheng-Yi; Chiang Katherine H.; Lin Chung-Te |
11495460 | Method for forming semiconductor structure by patterning resist layer having inorganic material | Zi An-Ren; Lin Chin-Hsiang; Chang Ching-Yu |
11495463 | Semiconductor device and manufacturing method thereof | Savant Chandrashekhar Prakash; Yu Tien-Wei; Tsai Chia-Ming |
11495464 | Semiconductor device and method | Chang Ya-Lan; Chen Ting-Gang; Huang Tai-Chun; Chui Chi On; Lu Yung-Cheng |
11495465 | Method and structure for semiconductor device having gate spacer protection layer | Lu Chih Wei; Lee Chung-Ju; Chen Hai-Ching; Huang Chien-Hua; Bao Tien-I |
11495471 | Slurry compositions for chemical mechanical planarization | Lee An-Hsuan; Liao Chun-Hung; Wu Chen-Hao; Lee Shen-Nan; Tsai Teng-Chun; Chao Huang-Lin |
11495472 | Semicondutor packages and methods of forming same | Lu Chung-Yu; Huang Ping-Kang; Chiu Sao-Ling; Hou Shang-Yun |
11495489 | Method for forming a semiconductor-on-insulator (SOI) substrate | Wu Cheng-Ta; Tsai Chia-Shiung; Lu Jiech-Fun; Liu Kuan-Liang; Chou Shih-Pei; Cheng Yu-Hung; Tu Yeur-Luen |
11495491 | Structure and formation method of semiconductor device with stacked conductive structures | Chen Chun-Yuan; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11495494 | Methods for reducing contact depth variation in semiconductor fabrication | Lee Yun; Lee Chen-Ming; Yang Fu-Kai; Huang Yi-Jyun; Wang Sheng-Hsiung; Wang Mei-Yun |
11495497 | FinFET switch | Sio Kam-Tou; Chen Chih-Liang; Young Charles Chew-Yuen; Yu Ho Che |
11495501 | Fin field-effect transistor devices and methods of forming the same | Hung Chih-Chang; Feng Chieh-Ning; Lai Chun-Liang; Lin Yih-Ann; Chen Ryan Chia-Jen |
11495503 | Structure and process of integrated circuit having latch-up suppression | Hsu Kuo-Hsiu; Lin Yu-Kuan; Chang Feng-Ming; Su Hsin-Wen; Hung Lien Jung; Wang Ping-Wei |
11495506 | Semiconductor package with separate electric and thermal paths | Tseng Shih-Hao; Kuo Hung-Jui; Ho Ming-Che |
11495507 | Manufacturing method of a semiconductor package | Tseng Shih-Hao; Kuo Hung-Jui; Ho Ming-Che; Liu Chia-Hung |
11495526 | Integrated circuit package and method | Pan Chih-Chien; Cheng Li-Hui; Kao Chin-Fu; Lu Szu-Wei |
11495528 | Method of fabricating device having inductor | Liao Wen-Shiang |
11495532 | Techniques to inhibit delamination from flowable gap-fill dielectric | Lin Hsing-Lien; Liang Chin-Wei; Kuang Hsun-Chung; Yang Ching Ju |
11495536 | Semiconductor structure and method for forming thereof | Chang Jen-Yuan; Lai Chia-Ping |
11495537 | Interconnect structure in semiconductor devices | Liaw Jhon Jhy |
11495539 | Interconnect structure with air-gaps | Yang Tai-I; Chuang Cheng-Chi; Wang Yung-Chih; Lin Tien-Lu |
11495556 | Semiconductor structure having counductive bump with tapered portions and method of manufacturing the same | Tsao Pei-Haw; Xu An-Tai; Hsiao Huang-Ting; Chang Kuo-Chin |
11495558 | Integrated circuit features with obtuse angles and method of forming same | Chung Shu-Wei; Wang Yen-Sen |
11495559 | Integrated circuits | Chen Hsien-Wei; Chen Ming-Fa; Yeh Sung-Feng; Chen Ying-Ju |
11495573 | Package structure and manufacturing method thereof | Tsai Chung-Hao; Yu Chen-Hua; Wang Chuei-Tang |
11495590 | Multi-chip semiconductor package | Lai Yu-Chia; Pan Kuo Lung; Kuo Hung-Yi; Kuo Tin-Hao; Tsai Hao-Yi; Liu Chung-Shi; Yu Chen-Hua |
11495598 | Hybrid scheme for improved performance for P-type and N-type FinFETs | Chiang Kuo-Cheng; Ju Shi Ning; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11495606 | FinFET having non-merging epitaxially grown source/drains | Chang Chun Po; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Lee Wei-Yang; Hsu Tzu-Hsiang |
11495618 | Three-dimensional memory device and method | Lin Meng-Han; Chia Han-Jong; Wang Sheng-Chen; Yang Feng-Cheng; Lin Yu-Ming; Lin Chung-Te |
11495619 | Integrated circuit device with improved layout | Chang Fong-yuan; Chen Chun-Chen; Huang Po-Hsiang; Lu Lee-Chung; Lin Chung-Te; Kao Jerry Chang Jui; Chen Sheng-Hsiung; Liu Chin-Chou |
11495630 | Multiple deep trench isolation (MDTI) structure for CMOS image sensor | Wu Wei Chuang; Wang Ching-Chun; Yaung Dun-Nian; Hung Feng-Chi; Liu Jen-Cheng; Chiang Yen-Ting; Chen Chun-Yuan; Hong Shen-Hui |
11495632 | Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters | Lee Kuo-Cheng; Cheng Yun-Wei; Hsu Yung-Lung; Chen Hsin-Chi |
11495635 | Polydimethylsiloxane antireflective layer for an image sensor | Lin Yi-Ming; Wu Chen-Chi; Chung Chen-Kuei |
11495659 | Semiconductor device | Cheng An-Hao |
11495661 | Semiconductor device including gate barrier layer | Lee Hsin-Yi; Chen Ji-Cheng; Hung Cheng-Lung; Chang Weng; Chui Chi On |
11495662 | Gate all around transistors with different threshold voltages | Liaw Jhon Jhy |
11495674 | Forming epitaxial structures in fin field effect transistors | Chan Chia-Ling; Chen Derek; Chen Liang-Yin; Kuo Chien-I |
11495677 | Semiconductor devices and methods of manufacturing thereof | Jhan Yi-Ruei; Pan Kuan-Ting; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao |
11495682 | Semiconductor device and method | Yang Chih-Chuan; Lin Shih-Hao |
11495684 | Method of removing an etch mask | Chu Chun-Han; Chen Nai-Chia; Huang Ping-Jung; Chuo Tsung-Min; Shih Jui-Ming; Yen Bi-Ming |
11495685 | Metal-insensitive epitaxy formation | Tsai Chun Hsiung; Hwang Yuan-Ko |
11495687 | Metal rail conductors for non-planar semiconductor devices | Chen Chih-Liang; Lai Chih-Ming; Tsai Ching-Wei; Young Charles Chew-Yuen; Tzeng Jiann-Tyng; Chiang Kuo-Cheng; Liu Ru-Gun; Wu Wei-Hao; Lin Yi-Hsiung; Chang Chia-Hao; Chou Lei-Chun |
11495738 | Dual magnetic tunnel junction devices for magnetic random access memory (MRAM) | Sundar Vignesh; Wang Yu-Jen; Thomas Luc; Jan Guenole |
11495743 | Non-volatile memory device and manufacturing technology | Hsu Chern-Yow; Min Chung-Chiang; Liu Shih-Chang |
11496417 | Network-on-chip system and a method of generating the same | Venugopalan Ravi; Goel Sandeep Kumar; Lee Yun-Han |
11498044 | Bio-sensing and temperature-sensing integrated circuit | Chen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix Ying-Kit; Peng Yung-Chow |
11498832 | Stacked semiconductor structure and method of forming the same | Chu Chia-Hua; Cheng Chun-Wen |
11500016 | Circuit screening system and circuit screening method | Wu Chi-Che; Hung Tsung-Yang; Guo Jia-Ming; Fang Edna; Wang Ming-Yih |
11500018 | Asynchronous circuits and test methods | Shen Ting-Yu; Li Chien-Mo |
11500155 | Optical coupler, grating structure and forming method thereof | Kuo Feng-Wei; Liao Wen-Shiang |
11500282 | EUV photo masks and manufacturing method thereof | Lin Yun-Yue |
11500299 | Exposure method and exposure apparatus | Lee Yung-Yao; Liu Heng-Hsin; Kuo Hung-Ming; Peng Jui-Chun |
11501051 | Memory device, integrated circuit device and method | Chang Meng-Sheng; Huang Chia-En; Chen Chien-Ying |
11501052 | Conductor scheme selection and track planning for mixed-diagonal-Manhattan routing | Chen Sheng-Hsiung; Chen Huang-Yu; Wang Chung-Hsing; Kao Jerry Chang Jui |
11501812 | Semiconductor devices including ferroelectric memory and methods of forming the same | Wang Chenchen Jacob; Yeong Sai-Hooi; Chui Chi On; Lin Yu-Ming |
11501999 | Cobalt fill for gate structures | Cheng Chung-Liang; Fang Ziwei |
11502000 | Bottom lateral expansion of contact plugs through implantation | Chou Meng-Han; Liu Su-Hao; Chen Kuo-Ju; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia |
11502001 | Semiconductor device with self-aligned vias | Chen Chien-Han; Chiu Chien-Chih; Liang Ming-Chung |
11502004 | Configuring different via sizes for bridging risk reduction and performance improvement | Liaw Jhon Jhy |
11502005 | Semiconductor devices and methods of forming the same | Chu Feng-Ching; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11502013 | Integrated circuit package and method | Chun Shu-Rong; Pan Kuo Lung; Kuo Tin-Hao; Tsai Hao-Yi; Lee Pei-Hsuan; Hwang Chien Ling; Lai Yu-Chia; Teng Po-Yuan; Yu Chen-Hua |
11502015 | Semiconductor package and manufacturing method thereof | Shen Wen-Wei; Huang Sung-Hui; Hou Shang-Yun; Huang Kuan-Yu |
11502032 | Chip package and method of fabricating the same | Chen Guan-Yu; Su An-Jhih; Yeh Der-Chyang; Huang Li-Hsien; Yeh Ming-Shih |
11502034 | Semiconductor devices with backside power rail and methods of fabrication thereof | Chang Lo-Heng; Chiang Kuo-Cheng; Lin Zhi-Chang; Chang Jung-Hung; Chen Shih-Cheng; Ju Shi-Ning; Wang Chih-Hao |
11502035 | Interconnect structure and method of forming same | Sung Su-Jen |
11502039 | Semiconductor package and method | Huang Tzu-Sung; Lin Hsiu-Jen; Tsai Hao-Yi; Tseng Ming Hung; Chiang Tsung-Hsien; Kuo Tin-Hao; Lin Yen-Liang |
11502040 | Package structure and semiconductor pacakge | Chang Jung-Hua; Kao Chin-Fu |
11502043 | Semiconductor structure and method for fabricating semiconductor structure | Lu Chung-Yu; Chang Yao-Jen; Chiu Sao-Ling |
11502044 | Methods of manufacturing semiconductor device and semiconductor device | Chen Ying-Hua; Shiu Feng-Jia; Lu Wen-Chen |
11502050 | Redistribution layer metallic structure and method | Bih Shih Wei; Yeh Sheng-Wei; Chen Yen-Yu; Cheng Wen-Hao; Lin Chih-Wei; Lin Chun-Chih |
11502056 | Joint structure in semiconductor package and manufacturing method thereof | Huang Kuan-Yu; Wu Chih-Wei; Huang Sung-Hui; Hou Shang-Yun; Shih Ying-Ching; Li Cheng-Chieh |
11502062 | Integrated circuit package and method | Chen Ming-Fa; Liu Tzuan-Horng; Shih Chao-Wen; Yeh Sung-Feng; Wu Nien-Fang |
11502072 | Integrated circuit package and method | Yu Chen-Hua; Lin Yung-Chi; Chiou Wen-Chih |
11502076 | Semiconductor structure cutting process and structures formed thereby | Chen Ryan Chia-Jen; Chang Cheng-Chung; Hsu Shao-Hua; Lin Yu-Hsien; Chang Ming-Ching; Yin Li-Wei; Pan Tzu-Wen; Chen Yi-Chun |
11502077 | Semiconductor devices having fin field effect transistor (FinFET) structures and manufacturing and design methods thereof | Lee Tung Ying; Guo Wen-Huei; Chang Chih-Hao; Chang Shou-Zen |
11502080 | Semiconductor device and method | Tsai Cheng-Yen; Huang Ming-Chi; Chen Zoe; Lee Wei-Chin; Hung Cheng-Lung; Lee Da-Yuan; Chang Weng; Su Ching-Hwanq |
11502081 | Semiconductor device and method | Lee Hsin-Yi; Chang Weng; Chui Chi On |
11502121 | Image sensor device | Chen Szu-Ying; Kao Min-Feng; Liu Jen-Cheng; Hung Feng-Chi; Yaung Dun-Nian |
11502123 | Methods for forming image sensor devices | Liao Keng-Ying; Tung Huai-Jen; Sung Chih Wei; Chen Po-zen; Ku Yu-Chien; Lin Yu-Chu; Jen Chi-Chung; Wu Yen-Jou; Tsao Tsun-kai; Yang Yung-Lung |
11502126 | Integrated circuit and fabrication method thereof | Chuang Harry-Hak-Lay; Fu Sheng-Wen; Chen Jun-Yao; Huang Sheng-Huang; Wang Hung-Cho |
11502128 | Memory device and method of forming the same | Wu Chao-I; Lin Yu-Ming |
11502160 | Method and system for forming metal-insulator-metal capacitors | Chen Wei-Liang; Yeh Yu-Lung; Chuang Chihchous; Chen Yen-Hsiu; Liou Tsai-Ji; Chen Yung-Hsiang; Huang Ching-Hung |
11502161 | Metal insulator metal capacitor structure having high capacitance | Hsu Chen-Yin; Wu Chun Li; Kao Ching-Hung |
11502166 | Seal material for air gaps in semiconductor devices | Liang Shuen-Shin; Wang Chen-Han; Lin Keng-Chu; Ueno Tetsuji; Chen Ting-Ting |
11502168 | Tuning threshold voltage in nanosheet transitor devices | Hsu Chung-Wei; Chen Hou-Yu; Wang Chih-Hao; Tsai Ching-Wei; Chiang Kuo-Cheng; Cheng Kuan-Lun; Huang Mao-Lin; Yu Jia-Ni; Chu Lung-Kun |
11502174 | Method for reducing Schottky barrier height and semiconductor device with reduced Schottky barrier height | Cheng Hung-Hsiang; Pan Samuel C. |
11502176 | Semiconductor device with ferroelectric aluminum nitride | Chen Miin-Jang; Shieh Tzong-Lin Jay; Lin Bo-Ting |
11502182 | Selective gate air spacer formation | Yang Chih-Hsin; Chen Yen-Ming; Yang Feng-Cheng; Lee Tsung-Lin; Lee Wei-Yang; Chen Dian-Hau |
11502183 | Air gap in inner spacers and methods of fabricating the same in field-effect transistors | Yao Chien Ning; Young Bo-Feng; Yeong Sai-Hooi; Cheng Kuan-Lun; Wang Chih-Hao |
11502185 | Methods of manufacturing a gate electrode having metal layers with different average grain sizes | Hsiao Ru-Shang; Su Ching-Hwanq; Su Pin Chia; Lu Ying Hsin; Wang Ling-Sung |
11502186 | FinFET device having a channel defined in a diamond-like shape semiconductor structure | Lin You-Ru; Wu Cheng-Hsien; Ko Chih-Hsin; Wann Clement Hsingjen |
11502187 | Semiconductor device structure and method for forming the same | Ching Kuo-Cheng; Lin Zhi-Chang; Pan Kuan-Ting; Wang Chih-Hao; Ju Shi-Ning |
11502189 | Charge storage and sensing devices and methods | Hwu Jenn-Gwo; Liao Chien-Shun; Kao Wei-Chih |
11502196 | Stress modulation for dielectric layers | Ko Chung-Ting; Lin Han-Chi; Wang Chunyao; Huang Ching Yu; Lee Tze-Liang; Wang Yung-Chih |
11502197 | Source and drain epitaxial layers | Tu Wen-Hsien; Liu Chee-Wee; Lu Fang-Liang |
11502198 | Structure and method for integrated circuit | Kuang Shin-Jiun; Yu Tsung-Hsing; Sheu Yi-Ming |
11502199 | Independent control of stacked semiconductor device | Yang Chansyun David; Chang Keh-Jeng; Yang Chan-Lon |
11502201 | Semiconductor device with backside power rail and methods of fabrication thereof | Yu Li-Zhen; Su Huan-Chieh; Chiu Shih-Chuan; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11502241 | Magnetic device and magnetic random access memory | Song MingYuan; Lin Shy-Jay; Gallagher William J.; Noguchi Hiroki |
11502245 | Magnetoresistive random access memory cell and fabricating the same | Hsu Chern-Yow |
11502402 | Integrated patch antenna having insulating substrate with antenna cavity and high-K dielectric | Kuo Feng Wei; Liao Wen-Shiang; Chen Ching-Hui |
11503711 | Method for inserting dummy capacitor structures | Chung Shu-Wei; Wang Yen-Sen |
11504690 | Bio-sensing and temperature-sensing integrated circuit | Chen Tung-Tsun; Liu Yi-Shao; Huang Jui-Cheng; Wen Chin-Hua; Tsui Felix Ying-Kit; Peng Yung-Chow |
11505454 | MEMS structure and manufacturing method thereof | Huang Kang-Che; Wu Yi-Chien; Lin Shiang-Chi; Peng Jung-Huei; Cheng Chun-Wen |
11506706 | Semiconductor wafer testing system and related method for improving external magnetic field wafer testing | Chuang Harry-Hak-Lay; Chang Chih-Yang; Wang Ching-Huang; Chiang Tien-Wei; Shih Meng-Chun; Wang Chia Yu |
11506843 | Semiconductor device having photonic and electronic dies and an optical fiber assembly creating an air gap | Weng Chung-Ming; Yu Chen-Hua; Liu Chung-Shi; Tsai Hao-Yi; Hsieh Cheng-Chieh; Kuo Hung-Yi; Hsu Che-Hsiang; Jou Chewn-Pu; Kuo Feng-Wei; Hsu Min-Hsiang |
11506969 | EUV photo masks and manufacturing method thereof | Hsu Pei-Cheng; Lien Ta-Cheng; Lee Hsin-Chang |
11506971 | Pellicle and method of using the same | Yoo Chue San; Lee Hsin-Chang; Hsu Pei-Cheng; Lin Yun-Yue |
11506985 | Semiconductor apparatus and method of operating the same for preventing photomask particulate contamination | Chen Jui-Chieh; Chien Tsung-Chih; Shih Chih-Tsung; Lee Tsung-Chuan |
11506986 | Thermal controlling method in lithography system | Yang Chi; Su Yen-Shuo; Wu Jui-Pin; Chen Li-Jui |
11507067 | System and method for dispatching lot | You Ren-Chyi; Peng An-Wei; Liu Chang-Zong; Chen Yuang-Tsung |
11507725 | Integrated circuit layouts with line-end extensions | Liao Hsien-Huang; Hsieh Tung-Heng; Young Bao-Ru; Chang Yung Feng |
11508427 | Memory circuit and write method | Wei Huan-Sheng; Shen Tzer-Min; Wu Zhiqiang |
11508562 | Low contamination chamber for surface activation | Liu Ping-Yin; Huang Xin-Hua; Tseng Lee-Chuan; Chao Lan-Lin |
11508572 | Semiconductor device and manufacturing method thereof | Chou Chun-Yi; Cheng Po-Hsien; Chen Tse-An; Chen Miin-Jang |
11508582 | Cut metal gate processes | Jang Shu-Uei; Tsai Ya-Yi; Chen Ryan Chia-Jen; Wei An Chyi; Ku Shu-Yuan |
11508583 | Selective high-k formation in gate-last process | Okuno Yasutoshi; Tsai Teng-Chun; Fang Ziwei; Yen Fu-Ting |
11508585 | Methods for chemical mechanical polishing and forming interconnect structure | Cui Ji; Huang Fu-Ming; Chang Ting-Kui; Chang Tang-Kuei; Lin Chun-Chieh; Liang Wei-Wei; Chen Liang-Guang; Chen Kei-Wei; Yen Hung; Chang Ting-Hsun; Shen Chi-Hsiang; Wu Li-Chieh; Liu Chi-Jen |
11508596 | Apparatus and methods for automatically handling die carriers | Kuo Tsung-Sheng; Wu Cheng-Lung; Huang Chih-Hung; Chu Yang-Ann; Lee Hsuan; Pai Jiun-Rong |
11508602 | Cleaning tool | Yang Yueh-Lin; Liao Chi-Hung |
11508608 | Vacuum wafer chuck for manufacturing semiconductor devices | Lee Chien-Fa; Chou Chin-Lin; Tsai Shang-Ying; Kuo Shou-Wen; Chang Kuei-Sung; Pai Jiun-Rong; Liu Hsu-Shui; Cheng Chun-wen |
11508615 | Semiconductor device structure and methods of forming the same | Huang Lin-Yu; Yu Li-Zhen; Chang Chia-Hao; Chuang Cheng-Chi; Cheng Kuan-Lun; Wang Chih-Hao |
11508616 | Electrical connection for semiconductor devices | Tsai Kuo-Chiang; Chen Jyh-Huei |
11508621 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B. |
11508622 | Semiconductor device structure with tapered contact and method for forming the same | Huang Lin-Yu; Wang Sheng-Tsung; You Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11508623 | Local gate height tuning by CMP and dummy gate design | Wen Ming-Chang; Chang Chang-Yun; Chen Keng-Yao; Tai Chen-Yu; Fu Yi-Ting |
11508624 | Gate-all-around device with different channel semiconductor materials and method of forming the same | Lu Jhe-Ching; Young Bao-Ru; Wang Yen-Sen; Tsai Tsung-Chieh |
11508627 | Method of metal gate formation and structures formed by the same | Lee Yi-Jing; Cheng Ya-Yun; Lin Hau-Yu; Chen I-Sheng; Hsu Chia-Ming; Ko Chih-Hsin; Wann Clement Hsingjen |
11508628 | Method for forming a crystalline protective polysilicon layer | Wang Cheng-Hung; Lee Tsung-Lin; Chiang Wen-Chih; Chen Kuan-Jung |
11508631 | Semiconductor device | Lin Yen-Chun; Young Bao-Ru; Wu Ting-Yun; Wang Yen-Sen; Hsu Hsiao-Wen |
11508633 | Package structure having taper-shaped conductive pillar and method of forming thereof | Kuo Hung-Jui; Tsai Hui-Jung; Chang Tai-Min; Wang Chia-Wei |
11508640 | Semiconductor package and manufacturing method thereof | Yu Chi-Yang; Chen Chin-Liang; Ho Kuan-Lin; Liang Yu-Min; Chen Wen-Lin |
11508656 | Semiconductor package and method | Pan Kuo Lung; Chun Shu-Rong; Lo Teng-Yuan; Kuo Hung-Yi; Chang Chih-Horng; Kuo Tin-Hao; Tsai Hao-Yi |
11508658 | Semiconductor device package and method of manufacturing the same | Lu Hau-Yan; Tsui Felix Ying-Kit; Yang Jing-Hwang; Yuan Feng |
11508659 | Interconnect structure in semiconductor device and method of forming the same | Wu Guo-Huei; Chen Shun-Li; Zhuang Hui-Zhong; Chen Chih-Liang; Tien Li-Chun |
11508661 | Integrated circuit and method of manufacturing same | Wang Pochun; Chiang Ting-Wei; Lai Chih-Ming; Zhuang Hui-Zhong; Yang Jung-Chan; Liu Ru-Gun; Chou Ya-Chi; Lin Yi-Hsiung; Huang Yu-Xuan; Chang Yu-Jung; Wu Guo-Huei; Chang Shih-Ming |
11508665 | Packages with thick RDLs and thin RDLs stacked alternatingly | Teng Po-Yuan; Pan Kuo Lung; Lai Yu-Chia; Kuo Tin-Hao; Tsai Hao-Yi; Yu Chen-Hua |
11508666 | Semiconductor package | Hsu Sen-Kuei; Pan Hsin-Yu; Lin Chien-Chang |
11508670 | Semiconductor structure and method for manufacturing the same | Chen Pu-Fang; Lin Shi-Chieh; Lu Victor Y. |
11508671 | Semiconductor package and manufacturing method thereof | Teng Po-Yuan; Tsai Hao-Yi; Kuo Tin-Hao; Lin Ching-Yao; Lo Teng-Yuan; Wang Chih |
11508677 | Semiconductor package for high-speed data transmission and manufacturing method thereof | Chen Huan-Neng; Liao Wen-Shiang |
11508692 | Package structure and method of fabricating the same | Yeh Kung-Chen; Lu Szu-Wei; Tsai Tsung-Fu; Shih Ying-Ching |
11508695 | Redistribution layers in semiconductor packages and methods of forming same | Hsieh Cheng-Hsien; Hsu Li-Han; Wu Wei-Cheng; Chen Hsien-Wei; Yeh Der-Chyang; Wu Chi-Hsi; Yu Chen-Hua |
11508696 | Semiconductor device | Chen Weiming Chris; Yu Tu-Hao; Ting Kuo-Chiang; Hou Shang-Yun; Wu Chi-Hsi |
11508710 | Method of forming semiconductor device package | Lin Po-Yao; Hong Cheng-Yi; Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu; Yeh Shu-Shen; Lee Kuang-Chun |
11508714 | Semiconductor devices and methods related thereto | Liu Jack |
11508735 | Cell manufacturing | Liaw Jhon Jhy |
11508736 | Method for forming different types of devices | Chu Feng-Ching; Lee Wei-Yang; Yang Feng-Cheng; Chen Yen-Ming |
11508737 | SRAM cell and logic cell design | Chen Fang; Ting Kuo-Chiang; Liaw Jhon Jhy; Liang Min-Chang |
11508738 | SRAM speed and margin optimization via spacer tuning | Lin Shih-Hao; Yang Chih-Chuan; Su Hsin-Wen; Lim Kian-Long; Lin Chien-Chih |
11508752 | Grid structure to reduce domain size in ferroelectric memory device | Chia Han-Jong; Yeong Sai-Hooi |
11508753 | Embedded ferroelectric FinFET memory device | Young Bo-Feng; Lin Chung-Te; Yeong Sai-Hooi; Lin Yu-Ming; Lai Sheng-Chih; Chang Chih-Yu; Chia Han-Jong |
11508754 | Semiconductor memory structure and method for forming the same | Xu Nuo; Yeong Sai-Hooi; Lin Yu-Ming; Wu Zhiqiang |
11508755 | Stacked ferroelectric structure | Huang Rainer Yen-Chieh; Chen Hai-Ching; Lin Chung-Te |
11508757 | Breakdown voltage capability of high voltage device | Chiang Hsin-Chih; Lin Tung-Yang; Liu Ruey-Hsin; Lei Ming-Ta |
11508782 | Hard mask for MTJ patterning | Hsu Chern-Yow |
11508807 | Semiconductor device having nanosheet transistor and methods of fabrication thereof | Wang Chih-Ching; Hsieh Wen-Hsing; Ho Jon-Hsu; Chen Wen-Yuan; Su Chia-Ying; Wu Chung-Wei; Wu Zhiqiang |
11508816 | Semiconductor structure and method of forming the same | Chen Te-An; Lin Meng-Han |
11508817 | Passivation layer for epitaxial semiconductor process | Liao Yin-Kai; Jiang Sin-Yi; Chen Hsiang-Lin; Chu Yi-Shin; Liu Po-Chun; Huang Kuan-Chieh; Hung Jyh-Ming; Liu Jen-Cheng |
11508822 | Source/drain via having reduced resistance | Huang Po-Yu; Lin Shih-Che; Wang Chao-Hsun; Chao Kuo-Yi; Wang Mei-Yun |
11508825 | Semiconductor device and manufacturing method thereof | Chang Che-Cheng; Lin Chih-Han |
11508826 | Composite work function layer formation using same work function material | Lee Hsin-Yi; Hung Cheng-Lung; Chui Chi On |
11508827 | Air spacer for a gate structure of a transistor | Liu Yi-Hsiu; Yang Feng-Cheng; Lee Tsung-Lin; Lee Wei-Yang; Chen Yen-Ming; Chen Yen-Ting |
11508831 | Gate spacer structure and method of forming same | Chien Wei-Ting; Chen Liang-Yin; Liu Yi-Hsiu; Lee Tsung-Lin; Chang Huicheng |
11508843 | Semiconductor device having fully oxidized gate oxide layer and method for making the same | Perng Tsu-Hsiu; Wu Yun-Chi; Chang Chia-Chen; Shu Cheng-Bo; Jhou Jyun-Guan; Wang Pei-Lun |
11508845 | Semiconductor structure and associated fabricating method | Chu Chen-Liang; Kung Ta-Yuan; Huo Ker-Hsiao; Chen Yi-Huan |
11508849 | Semiconductor device and methods of manufacture | Chang Che-Cheng; Cheng Kai-Yu; Lin Chih-Han; Yang Sin-Yi; Tseng Horng-Huei |
11508902 | Hybrid ultrasonic transducer and method of forming the same | Tsai Yi Heng; Huang Fu-Chun; Lin Ching-Hui; Cheng Chun-Ren |
11509224 | Dual mode supply circuit and method | Li Wei; Jin Yongliang; Ma Yaqi |
11509293 | Footprint for multi-bit flip flop | Wang Po-Chun; Zhuang Hui-Zhong; Chen Chih-Liang; Kao Jerry Chang-Jui; Lin Tzu-Ying |
11509306 | Flip-flop device and method of operating flip-flop device | Gruber Greg; Liu Chi-Lin; Kuo Ming-Chang; Lu Lee-Chung; Hsieh Shang-Chih |
11509346 | Systems and methods for die-to-die communication | Chen Huan-Neng; Jou Chewn-Pu; Kuo Feng-Wei; Cho Lan-Chou; Shen William Wu |
11513083 | Photolithography method and photolithography system | Liao Chi-Hung; Cheng Wei Chang |
11513145 | Semiconductor test device and manufacturing method thereof | Peng Cheng-Yi; Ho Chia-Cheng; Lin Ming-Shiang; Chang Chih-Sheng; Diaz Carlos H. |
11513147 | Jitter noise detector | Huang Tien-Chien |
11513287 | Waveguide structure and manufacturing method of the same | Chang Kai-Fung; Tsai Lien-Yao; Tsai Chien Shih; Hung Shih-Che |
11513441 | EUV radiation source apparatus for lithography | Chen Yu-Chih; Cheng Po-Chung; Chen Li-Jui; Chien Shang-Chieh; Yu Sheng-Kang; Yen Wei-Chun |
11513444 | Noise reduction for overlay control | Hu Weimin; Chang Yang-Hung; Chen Kai-Hsiung; Hu Chun-Ming; Ke Chih-Ming |
11514224 | Systems and methods for improving design performance through placement of functional and spare cells by leveraging LDE effect | Ku Chun-Yao; Chang Jyun-Hao; Yu Ming-Tao; Chen Wen-Hao |
11514707 | Optical sensor and methods of making the same | Jhang You-Cheng; Pan Han-Zong; Wu Wei-Ding; Weng Jui-Chun; Chen Hsin-Yu; Chou Cheng-San; Lin Chin-Min |
11514952 | Memory device with strap cells | Chang Jonathan Tsung-Yung; Lee Cheng-Hung; Cheng Chi-Ting; Liao Hung-Jen; Liaw Jhon-Jhy; Chen Yen-Huei |
11514974 | Memory device | Wan He-Zhou; Yang Xiu-Li; Ye Mu-Yang; Song Yan-Bo |
11514982 | Computation unit including an asymmetric ferroelectric device pair and methods of forming the same | Chiang Katherine H.; Lin Chung-Te |
11515162 | Method of manufacturing a semiconductor device and a semiconductor device | More Shahaji B.; Savant Chandrashekhar Prakash; Tsai Chun Hsiung |
11515165 | Semiconductor device and method | Huang Yu-Lien; Wang Guan-Ren; Fu Ching-Feng |
11515173 | Semiconductor devices and methods of manufacturing | Chen Chien-Hsun; Liang Yu-Min; Wang Yen-Ping; Wu Jiun Yi; Yu Chen-Hua; Wu Kai-Chiang |
11515185 | Automated wafer monitoring | Wang Kuo-Hung |
11515197 | Semiconductor device and method of forming the semiconductor device | Peng Shih-Wei; Lin Wei-Cheng; Tzeng Jiann-Tyng |
11515199 | Semiconductor structures including standard cells and tap cells | Lin Ta-Chun; Pan Kuo-Hua; Liaw Jhon Jhy |
11515206 | Semiconductor structure with doped via plug | Hsieh Tung-Po; Liu Su-Hao; Liu Hong-Chih; Huang Jing-Huei; Huang Jie-Huang; Tan Lun-Kuang; Chang Huicheng; Chen Liang-Yin; Chen Kuo-Ju |
11515211 | Cut EPI process and structures | Chu Feng-Ching; Lee Wei-Yang; Lin Chia-Pin |
11515212 | Method of manufacturing semiconductor devices having controlled S/D epitaxial shape | Chen Te-An; Lin Meng-Han |
11515215 | Asymmetric epitaxy regions for landing contact plug | More Shahaji B. |
11515216 | Dual silicide structure and methods thereof | Chen Shih-Cheng; Lin Chun-Hsiung; Wang Chih-Hao |
11515224 | Packages with enlarged through-vias in encapsulant | Kuo Hung-Jui; Chang Tai-Min; Tsai Hui-Jung; Lu De-Yuan; Lee Ming-Tan |
11515229 | Semiconductor package and manufacturing method thereof | Lai Wei-Chih; Chiu Chien-Chia; Yu Chen-Hua; Yeh Der-Chyang; Hsieh Cheng-Hsien; Hsu Li-Han; Lin Tsung-Shu; Wu Wei-Cheng; Hsu Yu-Chen |
11515233 | Semiconductor component with cooling structure | Shao Tung-Liang; Sheu Lawrence Chiang; Tung Chih-Hang; Yu Chen-Hua; Hsiao Yi-Li |
11515255 | Electro-migration barrier for interconnect | Sung Su-Jen; Chang Chih-Chiang; Chen Chia-Ho |
11515256 | Semiconductor structure and manufacturing method thereof | Chiu Ya-Chin; Lin Ming-Hsien; Hsu Chia-Tung; Chiu Lun-Chieh |
11515267 | Dummy die placement without backside chipping | Wu Chih-Wei; Kuo Li-Chung; Wang Pu; Shih Ying-Ching; Lu Szu-Wei; Yeh Kung-Chen |
11515268 | Semiconductor package and manufacturing method thereof | Hsieh Wei-Kang; Tsai Hao-Yi; Kuo Tin-Hao; Chen Shih-Wei |
11515272 | Semiconductor die contact structure and method | Liu Chung-Shi; Yu Chen-Hua |
11515274 | Semiconductor package and manufacturing method thereof | Liang Fang-Yu; Lin Hsiu-Jen; Wu Kai-Chiang; Tsao Chih-Chiang |
11515276 | Integrated circuit, package structure, and manufacturing method of package structure | Kuo Hung-Jui; Tsai Hui-Jung; Chang Tai-Min; Wang Chia-Wei |
11515288 | Protective layer for contact pads in fan-out interconnect structure and method of forming same | Chang Chin-Chuan; Fu Tsei-Chung; Lin Jing-Cheng |
11515305 | Structure and formation method of hybrid semiconductor device | Chiang Hung-Li; Chen I-Sheng; Chen Tzu-Chiang |
11515308 | Integrated circuit structure with hybrid cell design | Sio Kam-Tou; Tzeng Jiann-Tyng |
11515313 | Gated ferroelectric memory cells for memory cell array and methods of forming the same | Young Bo-Feng; Yeong Sai-Hooi; Chia Han-Jong; Wang Sheng-Chen; Lin Yu-Ming |
11515332 | Ferroelectric memory device and method of forming the same | Lu Chun-Chieh; Yeong Sai-Hooi; Young Bo-Feng; Lin Yu-Ming; Chang Chih-Yu |
11515355 | Image sensor device and methods of forming the same | Fang Yeh-Hsun; Wang Chiao-Chi; Tseng Chung-Chuan; Lai Chia-Ping |
11515393 | Semiconductor device having nanosheet transistor and methods of fabrication thereof | Shen Shu-Wen |
11515398 | Thin poly field plate design | Kuo Chien-Li; Liu Scott; Chen Po-Wei; Tai Shih-Hsiang |
11515403 | Semiconductor device and method | Hsu Shu-Wei; Shen Yu-Jen; Cheng Hao-Yun; Wu Chih-Wei; Chen Ying-Tsung; Chen Ying-Ho |
11515408 | Rough buffer layer for group III-V devices on silicon | Chen Kuei-Ming; Chen Chi-Ming; Yu Chung-Yi |
11515422 | Semiconductor device with gate stack | Chang Che-Cheng; Lin Chih-Han |
11515423 | Semiconductor device having fins | Kuo Shu-Hao; Chang Jung-Hao; Huang Chao-Hsien; Lin Li-Te; Ching Kuo-Cheng |
11515434 | Decoupling capacitor and method of making the same | Liu Szu-Lin; Horng Jaw-Juinn |
11515435 | Semiconductor device with nanostructures and methods of forming the same | Tseng Hsin-Hsiang; Lee Chih-Fei; Cheng Chia-Pin; Chang Fu-Cheng |
11515473 | Semiconductor device including a magnetic tunneling junction (MTJ) device | Chuang Harry-Hak-Lay; Liu Shih-Chang; Hsu Chern-Yow; Shen Kuei-Hung |
11515474 | Memory device and method for fabricating the same | Wu Jung-Tang; Tung Szu-Ping; Wu Szu-Hua; Pan Shing-Chyang; Wu Meng-Yu |
11515609 | Transmission line structures for millimeter wave signals | Jin Jun-De |
11515618 | Semiconductor packages and manufacturing methods thereof | Chiang Yung-Ping; Shih Chao-Wen; Chang Shou-Zen; Wan Albert; Hsieh Yu-Sheng |
11516027 | Method and apparatus for protecting embedded software | Lu Shih-Lien Linus |
11516596 | MEMS device and manufacturing method thereof | Lin Wei-Chu; Teng Yi-Chuan; Tu Jung-Kuo |
11517995 | Wet chemical heating system and a method of chemical mechanical polishing | Cui Ji James; Chang Chia-Hsun; Chen Chih Hung; Chen Liang-Guang; Lin Tzu Kai; Chern Chyi Shyuan; Koai Keith Kuang-Kuo |
11520237 | Method and apparatus for dynamic lithographic exposure | Yu Jun-Yih; Huang De-Fang; Tseng De-Chen; Chang Jia-Feng; Hsu Li-Fang |
11520243 | Lithography system and method thereof | Wang Shao-Hua; Kuo Chueh-Chi; Ho Kuei-Lin; Yang Zong-You; Sun Cheng-Wei; Chen Wei-Yuan; Chen Cheng-Chieh; Liu Heng-Hsin; Chen Li-Jui |
11520246 | Highly efficient automatic particle cleaner method for EUV systems | Tu Shih-Yu; Wang Shao-Hua; Liu Yen-Hao; Kuo Chueh-Chi; Chen Li-Jui; Liu Heng-Hsin |
11521662 | Write circuit of memory device | Yang Xiu-Li; Cheng Kuan; Wan He-Zhou; Jiang Wei-Yang |
11521663 | Memory circuit and method of operating same | Liu Yi-Ching; Huang Chia-En; Wang Yih |
11521664 | Memory device with tunable probabilistic state | Song Ming Yuan |
11521673 | Variable voltage bit line precharge | Katoch Atul; Earle Adrian |
11521676 | SRAM structure with asymmetric interconnection | Chiu Yi-Hsun; Huang Chia-En |
11521846 | Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same | Wang Yi-Ren; Hsieh Yuan-Chih |
11521856 | Semiconductor patterning and resulting structures | Lung Chun-Ming; Wang ChunYao |
11521857 | Cut first self-aligned litho-etch patterning | Huang Kuan-Wei; Lee Chia-Ying; Liang Ming-Chung |
11521858 | Method and device for forming metal gate electrodes for transistors | Su Huan-Chieh; Lin Zhi-Chang; Hsu Ting-Hung; Yu Jia-Ni; Wu Wei-Hao; Lin Yu-Ming; Wang Chih-Hao |
11521882 | Wafer notch positioning detection | Chuang Kai-An; Hsueh Kuang-Wei; Chen Shih-Huan; Kao Yung-Shu |
11521884 | Electrostatic chuck sidewall gas curtain | Hsieh Ian; Chen Che-fu; Liu Yan-Hong |
11521893 | Semiconductor structure and method for forming the same | Chang Jen-Yuan |
11521896 | Selective deposition of a protective layer to reduce interconnect structure critical dimensions | Tien Hsi-Wen; Lee Chung-Ju; Lu Chih Wei; Yao Hsin-Chieh; Dai Yu-Teng; Liao Wei-Hao |
11521905 | Package structure and method of manufacturing the same | Wang Pu; Cheng Li-Hui; Lu Szu-Wei; Tsai Tsung-Fu |
11521915 | Front-end-of-line (FEOL) through semiconductor-on-substrate via (TSV) | Wang Yun-Hsiang; Tsai Chun Lin; Yu Jiun-Lei Jerry; Chen Po-Chih |
11521929 | Capping layer for liner-free conductive structures | Liang Shuen-Shin; Tsai Chun-I; Chang Chih-Wei; Huang Chun-Hsien; Huang Hung-Yi; Lin Keng-Chu; Chang Ken-Yu; Wang Sung-Li; Chu Chia-Hung; Chang Hsu-Kai |
11521959 | Die stacking structure and method forming same | Yu Chen-Hua; Kuo Hung-Yi; Liu Chung-Shi; Tsai Hao-Yi; Hsieh Cheng-Chieh; Yu Tsung-Yuan; Tseng Ming Hung |
11521966 | Vertical noise reduction in 3D stacked semiconductor devices | Yang Shu-Chun |
11521969 | Isolation structures for semiconductor devices | Chen Chao-Shuo; Chang Chia-Der; Lee Yi-Jing |
11521970 | Semiconductor device and a method for fabricating the same | Shen Hsiang-Ku; Lu Chih Wei; Chen Hui-Chi; Yeh Jeng-Ya David |
11521971 | Gate dielectric having a non-uniform thickness profile | Liu Pang-Hsuan; Yeh Kuan-Lin; Liang Chun-Sheng; Chiang Hsin-Che |
11521997 | Multi-protrusion transfer gate structure | Lin Kun-Huei; Cheng Yun-Wei; Chou Chun-Hao; Lee Kuo-Cheng; Chia Chun-Wei |
11522001 | Image sensor device | Jangjian Shiu-Ko; Wu Chih-Nan; Lin Chun-Che; Lin Yu-Ku |
11522002 | Method for forming semiconductor image sensor | Sze Jhy-Jyi; Huang Yimin; Yaung Dun-Nian |
11522004 | Absorption enhancement structure for image sensor | Su Ching-Chung; Hsu Hung-Wen; Lu Jiech-Fun; Chou Shih-Pei |
11522009 | MRAM device having self-aligned shunting layer | Gallagher William J.; Lin Shy-Jay; Song Ming Yuan |
11522046 | Memory device and method of fabricating the memory device | Chang Chih-Yu; Yeong Sai-Hooi; Lin Yu-Ming; Wang Chih-Hao |
11522049 | Diffusion barrier layer for source and drain structures to increase transistor performance | Chen Kuei-Ming; Chen Chi-Ming; Yu Chung-Yi |
11522050 | Method of manufacturing a semiconductor device and a semiconductor device | Hsieh Jui Fu; Liao Chih-Teng; Chen Chih-Shan; Chen Yi-Jen; Weng Tzu-Chan |
11522061 | Semiconductor structure with protection layer and conductor extending through protection layer | Chang Che-Cheng; Lin Chih-Han Cheng; Tseng Horng-Huei |
11522062 | Method of manufacturing an etch stop layer and an inter-layer dielectric on a source/drain region | More Shahaji B.; Savant Chandrashekhar Prakash |
11522065 | Gate etch back with reduced loading effect | Lo Yi-Chen; Chang Jung-Hao; Lin Li-Te; Lin Pinyen |
11522066 | Sidewall passivation for HEMT devices | Chiu Han-Chin; Chen Chi-Ming; Tsai Cheng-Yuan; Yao Fu-Wei |
11522067 | High electron mobility transistor (HEMT) device and method of forming same | Yeh Chia-Ling; Chen Ching Yu |
11522074 | Semiconductor device and manufacturing method thereof | Ching Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao; Lin Keng-Chu; Ju Shi-Ning |
11522077 | Integration of p-channel and n-channel E-FET III-V devices with optimization of device performance | Kwan Man-Ho; Yao Fu-Wei; Tsai Chun Lin; Yu Jiun-Lei Jerry; Chang Ting-Fu |
11522083 | Fin field-effect transistor device and method of forming the same | Lian Jian-Jou; Lin Chun-Neng; Chen Chieh-Wei; Chiang Tzu-Ang; Yeh Ming-Hsi |
11522084 | FinFET device and method of forming and monitoring quality of the same | Chen Chang-Yin; Chang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei |
11522085 | Ferroelectric semiconductor device and method | Ho Chia-Cheng; Lin Ming-Shiang; Cai Jin |
11522086 | Semiconductor device and methods of forming same | Ma Chih-Yu; More Shahaji B.; Huang Yi-Min; Chang Shih-Chieh |
11522453 | Dead-time conduction loss reduction for buck power converters | Pao Chia-Cheng; Chen Chu Fu; Wang Chih-Hua |
11522526 | Dynamic high voltage (HV) level shifter with temperature compensation for high-side gate driver | Chern Chan-Hong; Chen Kun-Lung |
11525072 | Materials and methods for chemical mechanical polishing of ruthenium-containing materials | Lee An-Hsuan; Lee Shen-Nan; Wu Chen-Hao; Liao Chun-Hung; Tsai Teng-Chun; Chao Huang-Lin |
11525185 | Vacuum systems in semiconductor fabrication facilities | Wu Ming-Fa; Ho Wen-Lung; Yang Huai-Tei |
11525668 | Apparatus and method for metrology | Ying Ji-Feng; Niu Baohua; Su David Hung-I |
11525957 | Fabrication process control in optical devices | Song Weiwei; Chern Chan-Hong; Lin Chih-Chang; Rusu Stefan; Hsu Min-Hsiang |
11526073 | Pellicle and method of manufacturing same | Li Po Hsuan; Lin Yu-Ting; Lin Yun-Yue; Yang Huai-Tei |
11526081 | Dummy insertion for improving throughput of electron beam lithography | Chang Shih-Ming; Lo Wen; Liu Chun-Hung; Chang Chia-Hua; Wu Hsin-Wei; Ou Ta-Wei; Chen Chien-Chih; Chen Chien-Cheng |
11526647 | Isolation circuit between power domains | Lu Chi-Yu; Chiang Ting-Wei; Zhuang Hui-Zhong; Kao Jerry Chang Jui; Sue Pin-Dai; Huang Jiun-Jia; Su Yu-Ti; Ma Wei-Hsiang |
11526649 | Capacitive isolation structure insert for reversed signals | Lei Cheok-Kei; Kao Jerry Chang Jui; Liu Chi-Lin; Zhuang Hui-Zhong; Jiang Zhe-Wei; Li Chien-Hsing |
11527275 | Crystal seed layer for magnetic random access memory (MRAM) | Lin Tsann; Ying Ji-Feng; Lai Chih-Chung |
11527285 | RRAM current limiting method | Chou Chung-Cheng; Lin Zheng-Jun; Tseng Pei-Ling |
11527289 | Method for programming memory | Lee I-Che; Huang Huai-Ying |
11527380 | Ion implanter toxic gas delivery system | Meng Ying-Chieh; Peng Chui-Ya; Lin Shih-Hao |
11527382 | Ion implantation gas supply system | Hsu Hsing-Piao; Cheng Nai-Han; Ou Ping-Chih |
11527406 | Trench etching process for photoresist line roughness improvement | Hsieh Sheng-Lin; Chen I-Chih; Hsieh Ching-Pei; Chen Kuan Jung |
11527411 | Interconnect structure having a carbon-containing barrier layer | Lin Rueijer; Lee Ya-Lien; Lin Chun-Chieh; Su Hung-Wen |
11527417 | Packaged semiconductor devices and methods of packaging semiconductor devices | Chen Hsien-Wei |
11527418 | Integrated circuit packages and methods of forming same | Lin Jing-Cheng; Cheng Li-Hui; Tsai Po-Hao |
11527419 | Photonic integrated package and method forming same | Yu Chen-Hua; Su An-Jhih; Chen Wei-Yu |
11527425 | Systems and methods for tray cassette warehousing | Kuo Tsung-Sheng; Chu Yang-Ann; Huang Chih-Hung; Huang Guan-Wei; Pai Jiun-Rong; Lee Hsuan |
11527430 | Semiconductor device and method | Wang Shiang-Bau; Lee Chun-Hung |
11527435 | Metal capping layer and methods thereof | Lee Shao-Kuan; Lee Cheng-Chin; Huang Hsin-Yen; Chen Hai-Ching; Shue Shau-Lin |
11527439 | TSV structure and method forming same | Chung Ming-Tsu; Yang Ku-Feng; Wu Tsang-Jiuh; Chiou Wen-Chih; Yu Chen-Hua |
11527442 | Fin field-effect transistor device and method of forming the same | Lin Che-Yu; Lee Chien-Wei; Chen Chien-Hung; Hsiao Wen-Chu; Yeo Yee-Chia |
11527443 | Residue-free metal gate cutting for fin-like field effect transistor | Tsai Ya-Yi; Hsiao Yi-Hsuan; Ku Shu-Yuan; Chen Ryan Chia-Jen; Chang Ming-Ching |
11527444 | Air spacer formation for semiconductor devices | Min Wei-Lun; Liu Chang-Miao |
11527445 | Semiconductor devices and methods of manufacturing thereof | Jang Shu-Uei; Ku Shu-Yuan; Lin Shih-Yao |
11527454 | Package structures and methods of forming the same | Yu Chen-Hua; Wei Wen-Hsin; Wu Chi-Hsi; Hou Shang-Yun; Lin Jing-Cheng; Hu Hsien-Pin; Shih Ying-Ching; Lu Szu-Wei |
11527457 | Package structure with buffer layer embedded in lid layer | Yeh Shu-Shen; Lin Yu-Sheng; Yew Ming-Chih; Lin Po-Yao; Jeng Shin-Puu |
11527464 | Fan-out wafer level package structure | Lin Jing-Cheng |
11527465 | Packages with Si-substrate-free interposer and method forming same | Yu Chen-Hua; Yeh Sung-Feng; Chen Ming-Fa; Chen Hsien-Wei |
11527466 | Semiconductor device having via sidewall adhesion with encapsulant | Yu Chen-Hua; Hsieh Yun Chen; Tsai Hui-Jung; Kuo Hung-Jui |
11527474 | Integrated circuit package and method | Tsai Po-Hao; Wong Techi; Chou Meng-Wei; Lin Meng-Liang; Chuang Po-Yao; Jeng Shin-Puu |
11527476 | Interconnect structure of semiconductor device | Liu Yao-Min; Kuo Chia-Pang; Huang Chien Chung; Chang Chih-Yi; Lee Ya-Lien; Lin Chun-Chieh; Su Hung-Wen; Tsai Ming-Hsing |
11527486 | Semiconductor device with shield for electromagnetic interference | Wang Chuei-Tang; Yu Chen-Hua; Chen Wei-Ting; Chen Chieh-Yen |
11527490 | Packaging devices and methods of manufacture thereof | Chen Hsien-Wei; Yu Tsung-Yuan; Cheng Ming-Da; Lu Wen-Hsiung |
11527499 | Integrated fan-out structures and methods for forming the same | Chen Tsui-Mei; Liao Tsung-Jen; Chu Li-Huan; Tsao Pei-Haw |
11527502 | Contact pad for semiconductor device | Huang Chang-Chia; Lin Tsung-Shu; Hsieh Cheng-Chieh; Wu Wei-Cheng |
11527504 | Conductive external connector structure and method of forming | Shih Meng-Fu; Lo Chun-Yen; Huang Cheng-Lin; Chen Wen-Ming; Huang Chien-Ming; Liu Yuan-Fu; Cheng Yung-Chiuan; Huang Wei-Chih; Liu Chen-Hsun; Chan Chien-Pin; Hsu Yu-Nu; Lin Chi-Hung; Pang Te-Hsun; Ku Chin-Yu |
11527518 | Heat dissipation in semiconductor packages and methods of forming same | Chang Fong-Yuan; Huang Po-Hsiang; Lu Lee-Chung; Lee Jyh Chwen Frank; Lu Yii-Chian; Chen Yu-Hao; Chang Keh-Jeng |
11527525 | Semiconductor device with multiple polarity groups | Tseng Ying-Cheng; Huang Yu-Chih; Tai Chih-Hsuan; Kuo Ting-Ting; Lai Chi-Hui; Wu Ban-Li; Liu Chiahung; Tsai Hao-Yi |
11527527 | Tap cell, integrated circuit structure and forming method thereof | Chang Yung-Feng; Young Bao-Ru; Hsieh Tung-Heng; Hsu Chun-Chia |
11527531 | Recessed gate for an MV device | Chen Yi-Huan; Chou Chien-Chih; Lin Ta-Wei; Tuan Hsiao-Chin; Kalnitsky Alexander; Thei Kong-Beng; Hsiao Shi-Chuang; Kuo Yu-Hong |
11527533 | FinFET pitch scaling | Pan Kuan-Ting; Jhan Yi-Ruei; Chiang Kuo-Cheng; Wang Chih-Hao |
11527534 | Gap-insulated semiconductor device | Cheng Jung-Chien; Ju Shi Ning; Chen Guan-Lin; Chiang Kuo-Cheng; Wang Chih-Hao; Cheng Kuan-Lun |
11527539 | Four-poly-pitch SRAM cell with backside metal tracks | Hsu Kuo-Hsiu; Chang Feng-Ming; Lim Kian-Long; Wang Ping-Wei; Hung Lien Jung; Chang Ruey-Wen |
11527540 | Implantations for forming source/drain regions of different transistors | Yu Dian-Sheg; Liaw Jhon Jhy; Tsui Ren-Fen |
11527542 | System-on-chip with ferroelectric random access memory and tunable capacitor | Yeong Sai-Hooi; Chui Chi On; Wang Chenchen Jacob |
11527543 | Polysilicon removal in word line contact region of memory devices | Wu Yen-Jou; Lee Chih-Ming; Liao Keng-Ying; Hsieh Ping-Pang; Yeh Su-Yu; Lin Hsin-Hui; Wang Yu-Liang |
11527552 | Ferroelectric memory device and method of forming the same | Lu Chun-Chieh; Yeong Sai-Hooi; Lin Yu-Ming; Manfrini Mauricio; Vellianitis Georgios |
11527553 | Three-dimensional memory device and method | Lin Meng-Han; Chia Han-Jong; Wang Sheng-Chen; Yang Feng-Cheng; Lin Yu-Ming; Lin Chung-Te |
11527609 | Increasing device density and reducing cross-talk spacer structures | Su Huan-Chieh; Chang Chia-Hao; Chuang Cheng-Chi; Wang Chih-Hao; Lin Yu-Ming |
11527614 | Semiconductor structure with conductive structure and method for manufacturing the same | Wang Jia-Heng; Wu Pang-Chi; Wang Chao-Hsun; Yang Fu-Kai; Wang Mei-Yun |
11527621 | Gate electrode deposition and structure formed thereby | Lee Hsin-Yi; Hung Cheng-Lung; Chui Chi On |
11527622 | Effective work function tuning via silicide induced interface dipole modulation for metal gates | Tung Yen-Tien; Huang Szu-Wei; Xiao Zhi-Ren; Chuang Yin-Chuan; Huang Yung-Chien; Liu Kuan-Ting; Shen Tzer-Min; Wu Chung-Wei; Wu Zhiqiang |
11527624 | Method of manufacturing a semiconductor device having a conductive field plate and a first well | Chen Po-Yu; Huang Wan-Hua; Chen Jing-Ying |
11527628 | Semiconductor device and method | Chang Che-Cheng; Lin Chih-Han; Tseng Horng-Huei |
11527630 | Semiconductor device and method for fabricating the same | Chang Kuo-Pin; Liu Chien-Hung; Hung Chih-Wei |
11527636 | Semiconductor device structure with work function layer and method for forming the same | Fang Wen-Han; Chen Chang-Yin; Tai Ming-Chia; Wu Po-Chi |
11527649 | Ferroelectric field effect transistor devices and methods for forming the same | Huang Yen-Chieh; Lin Po-Ting; Chen Hai-Ching; Liao Song-Fu; Lin Yu-Ming; Lin Chung-Te |
11527650 | FinFET device having a source/drain region with a multi-sloped undersurface | Liu Wei-Min; Su Li-Li; Yeo Yee-Chia |
11527651 | FinFET device with contact over dielectric gate | Chen Fang; Liaw Jhon Jhy |
11527653 | Semiconductor device and method of manufacture | Kao Wan-Yi; Shiau Yu-Cheng; Wang Chunyao; Peng Chih-Tang; Lu Yung-Cheng; Chui Chi On |
11527655 | Semiconductor structure with source/drain multi-layer structure and method for forming the same | Wang Chun-Chieh; Lin Yu-Ting; Pai Yueh-Ching; Chang Shih-Chieh; Yang Huai-Tei |
11527659 | Semiconductor device and manufacturing method thereof | Li Ming-Yang; Li Lain-Jong; Yeh Han; Chang Wen-Hao |
11527701 | Piezoelectric device and method of forming the same | Chen Chih-Ming |
11527702 | Piezoelectric device with hydrogen getter | Chen Chih-Ming; Yu Chung-Yi |
11527711 | MTJ device performance by controlling device shape | Haq Jesmin; Zhong Tom; Teng Zhongjian; Lam Vinh; Yang Yi |
11527713 | Top electrode via with low contact resistance | Lee Bi-Shen; Trinh Hai-Dang; Kuang Hsun-Chung; Tsai Tzu-Chung; Chang Yao-Wen |
11527714 | Resistive random access memory device | Mo Chun-Chieh; Kuo Shih-Chi |
11527717 | Resistive memory cell having a low forming voltage | Trinh Hai-Dang; Wu Chii-Ming; Lin Hsing-Lien; Tsai Tzu-Chung; Jiang Fa-Shen; Lee Bi-Shen |
11528003 | Circuits, equalizers and related methods | Yang Shu-Chun |
11528053 | Communication system and method of data communications | Kuo Feng Wei; Chen Huan-Neng; Cho Lan-Chou; Jou Chewn-Pu; Shen William Wu |
11528135 | Integrated circuit (IC) signatures with random number generator and one-time programmable device | Lu Shih-Lien Linus; Li Kun-hsi; Wang Shih-Liang; Chang Jonathan Tsung-Yung; Chih Yu-Der; Lee Cheng-En |
11528151 | Physically unclonable function (PUF) generation | Lu Shih-Lien Linus; Tsai Jui-Che; Lee Cheng-En |
11528797 | Method and system for generating droplets for EUV photolithography processes | Sun Yu-Kuang; Lai Cheng-Hao; Chen Yu-Huan; Cheng Wei-Shin; Tsai Ming-Hsun; Chen Hsin-Feng; Cheng Chiao-Hua; Wu Cheng-Hsuan; Lo Yu-Fa; Chien Shang-Chieh; Chen Li-Jui; Liu Heng-Hsin |
11528798 | Replacement method for droplet generator | Tu Shih-Yu; Chang Han-Lung; Chang Hsiao-Lun; Chen Li-Jui; Cheng Po-Chung |
11529712 | CMP polishing head design for improving removal rate uniformity | Hou Te-Chien; Jiang Ching-Hong; Lin Kuo-Yin; She Ming-Shiuan; Lee Shen-Nan; Tsai Teng-Chun; Lu Yung-Cheng |
11530130 | Method of making ohmic contact on low doped bulk silicon for optical alignment | Chang Kuei-Sung; Chu Chia-Hua; Tsai Shang-Ying |
11530479 | Atomic layer deposition tool and method | Ko Chung-Ting; Chen Wen-Ju; Hsieh Wan-Chen; Wu Ming-Fa; Huang Tai-Chun; Lu Yung-Cheng; Chui Chi On |
11531159 | Optical waveguide apparatus and method of fabrication thereof | Chern Chan-Hong; Cho Lan-Chou; Chen Huan-Neng; Hsu Min-Hsiang; Kuo Feng-Wei; Lin Chih-Chang; Song Weiwei; Jou Chewn-Pu |
11531173 | Optical coupling apparatus and methods of making same | Chern Chan-Hong; Hsu Min-Hsiang |
11531262 | Mask blanks and methods for depositing layers on mask blank | Lee Hsin-Chang; Hsu Pei-Cheng; Lien Ta-Cheng; Hsueh Wen-Chang |
11531263 | Photomask having a plurality of shielding layers | Tu Chih-Chiang; Chen Chun-Lang |
11531271 | Lithography patterning with flexible solution adjustment | Wang Chung-Cheng |
11531273 | Lithographic mask correction using volume correction techniques | Yu Zhiru; Peng Danping; Lei Junjiang; Fang Yuan |
11531278 | EUV lithography system and method for decreasing debris in EUV lithography system | Yang Chi; Chen Ssu-Yu; Chien Shang-Chieh; Hsieh Chieh; Fu Tzung-Chi; Liu Bo-Tsun; Chen Li-Jui; Cheng Po-Chung |
11531524 | Magnetoresistive random-access memory (MRAM) random number generator (RNG) and a related method for generating a random bit | Chuang Harry-Hak-Lay; Chang Chih-Yang; Wang Ching-Huang; Weng Chih-Hui; Chiang Tien-Wei; Shih Meng-Chun; Wang Chia Yu; Chen Chia-Hsiang |
11531802 | Layout context-based cell timing characterization | Jiang Zhe-Wei; Kao Jerry Chang Jui; Yeh Sung-Yen; Hsu Li Chung |
11532335 | Memory device and power management method using the same | Jain Sanjeev Kumar |
11532339 | Method for forming semiconductor memory structure | Chien Jui-Fen; Yeh Hanwen; Lin Tsann |
11532341 | Method for enhancing tunnel magnetoresistance in memory device | Lin Wen-Chin; Yu Hung-Chang |
11532343 | Memory array including dummy regions | Young Bo-Feng; Yeong Sai-Hooi; Wu Chao-I; Wang Sheng-Chen; Lin Yu-Ming |
11532351 | Memory device with additional write bit lines | Fujiwara Hidehiro; Huang Chia-En; Chen Yen-Huei; Tsai Jui-Che; Wang Yih |
11532357 | Memory cell with temperature modulated read voltage | Wu Chao-I; Khwa Win-San |
11532425 | Hexagonal semiconductor package structure | Huang Tzu-Sung; Yu Chen-Hua; Tsai Hao-Yi; Kuo Hung-Yi; Tseng Ming Hung |
11532459 | Chemical vapor deposition apparatus with cleaning gas flow guiding member | Yeh Chih-Hung; Lee Tsung-Lin; Lin Yi-Ming; Yang Sheng-Chun; Tseng Tung-Ching |
11532470 | Analyzing method | Chaudhari Pradip Girdhar; Lee Che-Hui; Yang Wen-Cheng |
11532475 | Deposition process for forming semiconductor device and system | Ko Chung-Ting; Chui Chi On |
11532479 | Cut metal gate refill with void | Chen Ting-Gang; Lin Wan-Hsien; Wang Chieh-Ping; Huang Tai-Chun; Chui Chi On |
11532480 | Methods of forming contact features in semiconductor devices | Tsai Chen-Hung; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun |
11532481 | Fin field-effect transistor device and method of forming | Lin Yu-Li; Liao Chih-Teng; Hsieh Jui Fu; Cheng Chih Hsuan; Weng Tzu-Chan |
11532482 | High-density semiconductor device | Chou Lei-Chun; Chen Chih-Liang; Lai Chih-Ming; Young Charles Chew-Yuen; Tseng Chin-Yuan; Chen Hsin-Chih; Ju Shi Ning; Tzeng Jiann-Tyng; Sio Kam-Tou; Liu Ru-Gun; Lin Wei-Cheng; Lin Wei-Liang |
11532485 | Process for making multi-gate transistors and resulting structures | Liu Su-Hao; Wang Tsan-Chun; Chen Liang-Yin; Huang Jing-Huei; Tan Lun-Kuang; Chang Huicheng |
11532486 | Dishing prevention structure embedded in a gate electrode | Lin Ta-Wei |
11532493 | Wet bench and chemical treatment method using the same | Cheng Hsin-Chen |
11532498 | Package-on-package structure | Lin Chih-Wei; Huang Hui-Min; Ang Ai-Tee; Tsai Yu-Peng; Cheng Ming-Da; Liu Chung-Shi |
11532499 | Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer | Hung Tsai-Hao; Ko Ping-Cheng; Lin Tzu-Yang; Liu Fang-Yu; Wu Cheng-Han |
11532500 | FinFET structure with different fin heights and method for forming the same | Huang Yu-Lien; Liu Chi-Kang; Liu Chi-Wen |
11532502 | Reducing parasitic capacitance in field-effect transistors | Lin Ta-Chun; Pan Kuo-Hua; Liaw Jhon Jhy |
11532503 | Conductive feature structure including a blocking region | Chen Pin-Wen; Lai Chia-Han; Fu Mei-Hui; Hung Min-Hsiu; Cheng Ya-Yi |
11532504 | Low-resistance contact plugs and method forming same | Koh Shao-Ming; Lee Chen-Ming; Yang Fu-Kai |
11532507 | Semiconductor device and method | Chen Chun-Han; Wu I-Wen; Lee Chen-Ming; Yang Fu-Kai; Wang Mei-Yun; Ko Chung-Ting; Li Jr-Hung; Chui Chi On |
11532509 | Selective hybrid capping layer for metal gates of transistors | Wu Chung-Chiang; Chen Po-Cheng; Huang Kuo-Chan; Yeh Pin-Hsuan; Lee Wei-Chin; Lee Hsien-Ming; Chen Chien-Hao; Chui Chi On |
11532510 | Contacts and interconnect structures in field-effect transistors | Cheng Chung-Liang; Fang Ziwei |
11532511 | Method for forming semiconductor structure | Chang Gung-Pei; Chang Yao-Wen; Trinh Hai-Dang |
11532512 | Fin field effect transistor (FinFET) device structure with interconnect structure | Chang Che-Cheng; Lin Chih-Han |
11532514 | Structure and formation method of semiconductor device with conductive feature | Wu Li-Chieh; Wei Kuo-Hsiu; Chen Kei-Wei; Chang Tang-Kuei; Lee Chia Hsuan; Lin Jian-Ci |
11532515 | Self-aligned spacers and method forming same | Hsieh Yi-Tsang; Chao Cha-Hsin; Chiu Yi-Wei; Hsu Li-Te; Hsia Ying Ting |
11532516 | Melting laser anneal of epitaxy regions | Liu Su-Hao; Chen Wen-Yen; Chen Tz-Shian; Sung Cheng-Jung; Wang Li-Ting; Chen Liang-Yin; Chang Huicheng; Yeo Yee-Chia; Jang Syun-Ming |
11532518 | Slot contacts and method forming same | Huang Lin-Yu; Yu Li-Zhen; Wang Sheng-Tsung; You Jia-Chuan; Chang Chia-Hao; Lin Tien-Lu; Lin Yu-Ming; Wang Chih-Hao |
11532519 | Semiconductor device and method | Liao Yi-Bo; Yang Kai-Chieh; Tsai Ching-Wei; Cheng Kuan-Lun |
11532520 | Semiconductor device and method | More Shahaji B. |
11532521 | Dual channel gate all around transistor device and fabrication methods thereof | Yun Wei-Sheng; Wang Chih-Hao; Huang Jui-Chien; Chiang Kuo-Cheng; Chou Chih-Chao; Lin Chun-Hsiung; Wang Pei-Hsun |
11532522 | Source/drain EPI structure for improving contact quality | More Shahaji B.; Chang Cheng-Wei |
11532524 | Integrated circuit test method and structure thereof | Liu Hsien-Wen; Chen Hsien-Wei |
11532529 | Method of manufacturing an integrated fan-out package having fan-out redistribution layer (RDL) to accommodate electrical connectors | Yu Chen-Hua; Yee Kuo-Chung; Tsai Hao-Yi; Kuo Tin-Hao |
11532531 | Semiconductor package | Wang Po-Han; Kuo Hung-Jui; Hu Yu-Hsiang; Liao Sih-Hao |
11532533 | Integrated circuit package and method | Yu Chen-Hua; Chang Wei Ling; Wang Chuei-Tang; Chang Fong-yuan; Chen Chieh-Yen |
11532535 | Semiconductor die package with thermal management features and method for forming the same | Lin Yu-Sheng; Lin Po-Yao; Yeh Shu-Shen; Wang Chin-Hua; Jeng Shin-Puu |
11532540 | Planarizing RDLS in RDL-first processes through CMP process | Wang Po-Han; Hu Yu-Hsiang; Kuo Hung-Jui; Yu Chen-Hua |
11532547 | Interconnect structures with low-aspect-ratio contact vias | Tsai Cheng-Hsiung; Lee Ming-Han; Lee Chung-Ju |
11532548 | Nitrogen plasma treatment for improving interface between etch stop layer and copper interconnect | Lee Hui; Huang Po-Hsiang; Huang Wen-Sheh; Wang Jen Hung; Sung Su-Jen; Chi Chih-Chien; Lee Pei-Hsuan |
11532549 | Two 2D capping layers on interconnect conductive structure to increase interconnect structure reliability | Li Shu-Wei; Chan Yu-Chen; Yang Shin-Yi; Lee Ming-Han |
11532550 | Semiconductor device structure having a multi-layer conductive feature and method making the same | Chen Chun-Yuan; Chang Chia-Hao; Chuang Cheng-Chi; Lin Yu-Ming; Wang Chih-Hao |
11532551 | Semiconductor package with chamfered semiconductor device | Liu Chung-Shi; Hsieh Ching-Hua; Yu Chen-Hua; Liao Hsin-Hung; Hwang Chien-Ling; Wu Sung-Yueh |
11532552 | Method and apparatus for forming self-aligned via with selectively deposited etching stop layer | Wu Yung-Hsu; Chen Hai-Ching; Tsai Jung-Hsun; Shue Shau-Lin; Bao Tien-I |
11532553 | Middle-end-of-line strap for standard cell | Shen Meng-Hung; Chen Chih-Liang; Young Charles Chew-Yuen; Tzeng Jiann-Tyng; Sio Kam-Tou; Lin Wei-Cheng |
11532554 | Interconnect device and method | Yu Dian-Sheg; Tsui Ren-Fen; Liaw Jhon Jhy; Fu Ying-Jhe |
11532556 | Structure and method for transistors having backside power rails | Huang Yu-Xuan; Tsai Ching-Wei; Chung Cheng-Ting; Chuang Cheng-Chi; Chang Shang-Wen |
11532559 | Semiconductor device and method for making the semiconductor device | Liao Yun-Jhen; Wu Huei-Shan; Liao Chun-Wei; Huang Yi-Lii |
11532561 | Different via configurations for different via interface requirements | Lin Shih-Che; Huang Po-Yu; Wang Chao-Hsun; Chao Kuo-Yi; Wang Mei-Yun; Chang Feng-Yu; Lin Rueijer; Lin Wei-Jung; Kao Chen-Yuan |
11532562 | Routing structure and method of forming the same | Lin Chin-Shen; Lo Wan-Yu; Lee Meng-Xiang; Kan Hao-Tien; Yang Kuo-Nan; Wang Chung-Hsing |
11532564 | Package structure | Tsai Yi-Da; Lin Cheng-Ping; Lin Wei-Hung; Lin Chih-Wei; Cheng Ming-Da; Hsieh Ching-Hua; Liu Chung-Shi |
11532565 | System on integrated chips and methods of forming the same | Yu Chen-Hua; Wang Chuei-Tang |
11532567 | Electric magnetic shielding structure in packages | Yu Chen-Hua; Jeng Shin-Puu; Yeh Der-Chyang; Chen Hsien-Wei; Chen Jie |
11532569 | Method for manufacturing semiconductor package structure | Hung Jui-Pin; Hsu Feng-Cheng; Chen Shuo-Mao; Jeng Shin-Puu; Liao De-Dui Marvin |
11532573 | Method for forming semiconductor device | Liao Wen-Shiang; Chen Huan-Neng |
11532576 | Semiconductor package and manufacturing method thereof | Hsu Sen-Kuei; Pan Hsin-Yu; Chiang Yi-Che |
11532577 | Fan-out package and methods of forming thereof | Shih Wan-Ting; Liu Nai-Wei; Lin Jing-Cheng; Huang Cheng-Lin |
11532579 | Passivation structure with increased thickness for metal pads | Huang Hung-Shu; Liu Ming-Chyi |
11532580 | Interconnect structure, semiconductor structure including interconnect structure and method for forming the same | Tsai Jung-Chou; Chang Fong-Yuan; Huang Po-Hsiang; Liu Chin-Chou; Cheng Yi-Kan |
11532582 | Semiconductor device package and method of manufacture | Wu Jiun Yi; Yu Chen-Hua |
11532583 | Semiconductor structure and manufacturing method thereof | Chang Kuo-Chin; Lai Yen-Kun; Hsu Kuo-Ching; Lii Mirng-Ji |
11532585 | Package containing device dies and interconnect die and redistribution lines | Ting Kuo-Chiang; Wu Chi-Hsi; Hou Shang-Yun; Yu Tu-Hao; Hsu Chia-Hao; Yeh Ting-Yu |
11532586 | Connecting techniques for stacked substrates | Tseng Hsiang-Jen; Chen Wei-Yu; Chiang Ting-Wei; Tien Li-Chun |
11532587 | Method for manufacturing semiconductor package with connection structures including via groups | Chen Chien-Hsun; Wu Jiun Yi; Lee Chien-Hsun; Liu Chung-Shi |
11532593 | Embedded stress absorber in package | Jeng Shin-Puu; Chen Chien-Sheng; Lin Po-Yao; Lai Po-Chen; Yeh Shu-Shen |
11532594 | Integrated fan-out package and the methods of manufacturing | Yu Chen-Hua; Yee Kuo-Chung; Tsai Hao-Yi; Kuo Tin-Hao |
11532596 | Package structure and method of forming the same | Yu Tsung-Yuan; Kuo Hung-Yi; Hsieh Cheng-Chieh; Tsai Hao-Yi; Liu Chung-Shi; Yu Chen-Hua |
11532598 | Package structure with protective structure and method of fabricating the same | Chen Hsien-Wei; Yang Ching-Jung; Chen Ming-Fa |
11532607 | ESD structure and semiconductor structure | Hsu Chun-Chia; Hsieh Tung-Heng; Chang Yung-Feng; Young Bao-Ru; Lee Jam-Wem; Wang Chih-Hung |
11532612 | Inter-level connection for multi-layer structures | Lin Yi-Tang; Wann Clement Hsingjen; Chen Neng-Kuo |
11532613 | Structure and method for cooling three-dimensional integrated circuits | Lee Hui-Yu; Chang Chi-Wen; Kuan Jui-Feng; Cheng Yi-Kan |
11532614 | FinFET varactor with low threshold voltage and method of making the same | Tsai Fu-Huan; Tsai Han-Min; Chen Chia-Chung; Huang Chi-Feng; Liang Victor Chiang |
11532615 | Trimmable resistor circuit and method for operating the trimmable resistor circuit | Liu Szu-Lin; Horng Jaw-Juinn |
11532621 | Metal gate modulation to improve kink effect | Lin Meng-Han; Chiu Te-Hsin; Wu Wei Cheng |
11532622 | High performance MOSFETs having different device characteristics | Ohtou Tetsu; Tsai Ching-Wei; Huang Jiun-Jia; Cheng Kuan-Lun; Hsu Chi-Hsing |
11532623 | Semiconductor structure having gate-all-around devices | Liaw Jhon Jhy |
11532625 | Semiconductor device and method of fabrication thereof | Ching Kuo-Cheng; Ju Shi Ning; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11532626 | Reduction of gate-drain capacitance | Chang Jung-Hung; Chang Lo-Heng; Lin Zhi-Chang; Chen Shih-Cheng; Chiang Kuo-Cheng; Wang Chih-Hao |
11532627 | Source/drain contact structure | Liao Yi-Bo; Huang Yu-Xuan; Lee Wei Ju; Chen Hou-Yu; Cheng Chun-Fu |
11532628 | Semiconductor device and method | Lin Li-Fong; Ko Chung-Ting; Hsieh Wan Chen; Huang Tai-Chun |
11532637 | Embedded flash memory cell including a tunnel dielectric layer having different thicknesses over a memory region | Pan Jui-Yu; Shu Cheng-Bo; Huang Chung-Jen; Lin Jing-Ru; Yang Tsung-Yu; Wu Yun-Chi; Chu Yueh-Chieh |
11532640 | Method for manufacturing a three-dimensional memory | Chia Han-Jong; Lin Chung-Te; Yang Feng-Cheng; Lin Meng-Han; Wang Sheng-Chen |
11532642 | Multi-function substrate | Chen Eugene I-Chun; Liu Kuan-Liang; Wang Szu-Yu; Tsai Chia-Shiung; Lee Ru-Liang; Chao Chih-Ping; Kalnitsky Alexander |
11532658 | Image sensor grid and method of fabrication of same | Lin Chin-Yu; Liao Keng-Ying; Yeh Su-Yu; Chen Po-Zen; Tung Huai-Jen; Chen Hsien-Li |
11532661 | 3DIC seal ring structure and methods of forming same | Ho Cheng-Ying; Chen Pao-Tung; Wang Wen-De; Liu Jen-Cheng; Yaung Dun-Nian |
11532662 | Method of forming image sensor device | Wei Chia-Yu; Lin Yen-Liang; Lee Kuo-Cheng; Huang Hsun-Ying; Chen Hsin-Chi |
11532669 | Memory device and manufacturing method thereof | Hwu Jenn-Gwo; Chiang Tzu-Hao |
11532692 | Process for tuning via profile in dielectric material | Tzeng Chun Kai; Lin Cheng Jen; Chao Yung-Ching; Cheng Ming-Da; Lii Mirng-Ji |
11532694 | Semiconductor device having capacitor and manufacturing method thereof | Lin Meng-Han; Chiu Te-Hsin; Wu Wei Cheng; Chen Te-An |
11532695 | Stress reduction structure for metal-insulator-metal capacitors | Yin Jin-Mu; Kao Hung-Chao; Shen Hsiang-Ku; Chen Dian-Hau; Chen Yen-Ming |
11532697 | Semiconductor structure and method for forming the same | Tsai Yi Jen; Tseng Yuan-Tai; Hsu Chern-Yow |
11532698 | Diffusion barrier layer in top electrode to increase break down voltage | Lin Hsing-Lien; Wu Chii-Ming; Trinh Hai-Dang; Jiang Fa-Shen |
11532701 | Semiconductor isolation structure and method for making the semiconductor isolation structure | Lin Hsin-Fu; Yeh Tsung-Hao; Liu Chien-Hung; Huang Shiang-Hung; Hung Chih-Wei; Lin Tung-Yang; Liu Ruey-Hsin; Cheng Chih-Chang |
11532702 | Source/drain isolation structures for leakage prevention | Chen Yen-Yu; Cheng Chung-Liang |
11532703 | Semiconductor device and method | Su Huan-Chieh; Chuang Cheng-Chi; Chang Shang-Wen; Chiu Yi-Hsun; Wang Pei-Yu; Tsai Ching-Wei; Wang Chih-Hao |
11532705 | 3D cross-bar nonvolatile memory | Colinge Jean-Pierre; Diaz Carlos H.; Guo Ta-Pen |
11532711 | PMOSFET source drain | Chung Cheng-Ting; Cheng Kuan-Lun |
11532712 | Interconnect structures for semiconductor devices and methods of manufacturing the same | Huang Yu-Lien; Fu Ching-Feng; Wang Guan-Ren; Hsu Che-Ming |
11532713 | Source/drain contacts and methods of forming same | Yu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11532714 | Semiconductor device and method of forming thereof | Yu Li-Zhen; Su Huan-Chieh; Huang Lin-Yu; Chuang Cheng-Chi; Wang Chih-Hao |
11532715 | Source/drain contacts for semiconductor devices and methods of forming | Tsai Ching-Wei; Liao Yi-Bo; Chung Cheng-Ting; Huang Yu-Xuan; Cheng Kuan-Lun |
11532717 | Forming metal contacts on metal gates | Wang Chao-Hsun; Yin Yu-Feng; Chao Kuo-Yi; Wang Mei-Yun; Chang Feng-Yu; Kao Chen-Yuan |
11532718 | FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins | Liao Chen-Hsuan; Chang Chih-Chung; Chen Chun-Heng; Kuo Jiun-Ming |
11532720 | Semiconductor device and manufacturing method thereof | Chung Cheng-Ting; Chen Hou-Yu; Tsai Ching-Wei |
11532723 | Fin-end gate structures and method forming same | Lin Shih-Yao; Kao Kuei-Yu; Chen Chen-Ping; Lin Chih-Han |
11532725 | Method for forming sidewall spacers and semiconductor devices fabricated thereof | Pan Kuan-Ting; Chiang Kuo-Cheng; Ju Shi Ning; Jhan Yi-Ruei; Cheng Kuan-Lun; Wang Chih-Hao |
11532727 | Method of forming transistor | Colinge Jean-Pierre; Diaz Carlos H. |
11532728 | Method semiconductor device fabrication with improved epitaxial source/drain proximity control | Tsai Fu-Tsun; Huang Tong Jun; Chen I-Chih; Jeng Chi-Cherng |
11532729 | Method for non-resist nanolithography | Chen Miin-Jang; Tsai Kuen-Yu; Liu Chee-Wee |
11532730 | Method of forming a FinFET device by implantation through capping layer | Lo Wen-Cheng; Chang Sun-Jay |
11532731 | Semiconductor devices and methods of manufacture | Yang Wei-Siang; Yu Ming-Hua |
11532732 | Multi-gate device and method of fabrication thereof | Jhan Yi-Ruei; Pan Kuan-Ting; Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao |
11532733 | Dielectric isolation structure for multi-gate transistors | Chang Jen-Hong; Liu Yi-Hsiu; Lin You-Ting; Chang Chih-Chung; Chao Kuo-Yi; Kuo Jiun-Ming; Peng Yuan-Ching; Lin Sung-En; Chao Chia-Cheng; Ko Chung-Ting |
11532735 | Self-aligned epitaxy layer | Chiang Kuo-Cheng; Cheng Kuan-Lun; Wang Chih-Hao |
11532740 | Semiconductor structure, HEMT structure and method of forming the same | Chang Yao-Chung; Chen Po-Chih; Yu Jiun-Lei Jerry; Tsai Chun Lin |
11532744 | Gate cut structure and method of forming the same | Chen Chun-Yuan; Wang Pei-Yu; Su Huan-Chieh; Chiu Yi-Hsun; Chuang Cheng-Chi; Tsai Ching-Wei; Cheng Kuan-Lun; Wang Chih-Hao |
11532746 | Multi-bit memory storage device and method of operating same | Lin Meng-Han; Huang Chia-En; Chia Han-Jong; Liu Martin; Yeong Sai-Hooi; Wang Yih |
11532748 | Semiconductor device structure and method for forming the same | Wu Po-Chi; Chang Chai-Wei; Li Jung-Jui; Chang Ya-Lan; Chao Yi-Cheng |
11532749 | Semiconductor structure with blocking layer | Li Kun-Mu; Lee Wei-Yang; Hsiao Wen-Chu |
11532750 | Semiconductor device and method of manufacture | Su Li-Li; Liu Wei-Min; Lu Wei Hao; Kuo Chien-I; Yeo Yee-Chia |
11532751 | Metal rail conductors for non-planar semiconductor devices | Chen Chih-Liang; Young Charles Chew-Yuen; Yang Hui-Ting; Tzeng Jiann-Tyng; Sio Kam-Tou; Peng Shih-Wei; Lin Wei-Cheng; Chou Lei-Chun |
11532752 | Non-volatile memory device with reduced area | Chang Meng-Sheng; Huang Chia-En; Yang Yao-Jen; Wang Yih |
11532785 | Buffer layer in memory cell to prevent metal redeposition | Min Chung-Chiang; Huang Chang-Chih; Tseng Yuan-Tai; Tzeng Kuo-Chyuan; Zhu Yihuei |
11532867 | Heterogeneous antenna in fan-out package | Chuang Po-Yao; Tsai Po-Hao; Jeng Shin-Puu |
11532868 | Antenna apparatus and method | Chih Lai Wei; Liu Monsen; Yeh En-Hsiang; Wang Chuei-Tang; Yu Chen-Hua |
11533056 | Circuit, chip and semiconductor device | Li Chao Chieh; Liao Chia-Chun; Yuan Min-Shueh; Chang Chih-Hsien |
11533169 | Method for role-based data transmission using physically unclonable function (PUF)-based keys | Liu Mei-Chien |
11533565 | Dual back-plate and diaphragm microphone | Cheng Chun-Wen; Chu Chia-Hua; Lo Wen-Tuan |
11533799 | System and method for supplying target material in an EUV light source | Chen Hsin-Feng; Tsai Ming-Hsun; Chen Li-Jui; Chien Shang-Chieh; Liu Heng-Hsin; Lai Cheng-Hao; Chen Yu-Huan; Cheng Wei-Shin; Sun Yu-Kuang; Wu Cheng-Hsuan; Lo Yu-Fa; Cheng Chiao-Hua |
11535950 | Electro-plating and apparatus for performing the same | Kao Chen-Yuan; Su Hung-Wen; Tsai Minghsing |
11537053 | Semiconductor processing tool and methods of operation | Cheng Chiao-Hua; Sun Yu-Kuang; Cheng Wei-Shin; Chen Yu-Huan; Tsai Ming-Hsun; Lai Cheng-Hao; Wu Cheng-Hsuan; Lo Yu-Fa; Chien Shang-Chieh; Liu Heng-Hsin; Chen Li-Jui; Yu Sheng-Kang |
11537773 | Systems and methods for integrated circuit layout | Yu Kenan; Deng Qingwen |
11538507 | Header circuit placement in memory device | Wang Po-Sheng; Lin Yangsyu; Lin Kao-Cheng; Lee Cheng Hung; Chang Jonathan Tsung-Yung |
11538735 | Method of forming integrated circuit packages with mechanical braces | Chun Shu-Rong; Pan Kuo Lung; Lee Pei-Hsuan; Hwang Chien Ling; Lai Yu-Chia; Kuo Tin-Hao; Tsai Hao-Yi; Yu Chen-Hua |
11538749 | Interconnect structure | Lee Shao-Kuan; Huang Hsin-Yen; Lee Cheng-Chin; Yang Kuang-Wei; Lo Ting-Ya; Teng Chi-Lin; Chang Hsiao-Kang; Shue Shau-Lin |
11538754 | Random cut patterning | Peng Shih-Wei; Lin Wei-Cheng; Lai Chih-Ming; Tzeng Jiann-Tyng |
11538761 | Semiconductor package having molded die and semiconductor die and manufacturing method thereof | Hou Hao-Cheng; Chen Wei-Yu; Cheng Jung-Wei; Wang Tsung-Ding; Lee Chien-Hsun; Liu Chung-Shi |
11538788 | Integrated fan-out stacked package with fan-out redistribution layer (RDL) | Yu Chen-Hua; Yee Kuo-Chung; Tsai Hao-Yi; Kuo Tin-Hao |
11538805 | Method of tuning threshold voltages of transistors | Chiu Kuan-Chang; Lee Chia-Ching; Chen Chien-Hao; Chung Hung-Chin; Lee Hsien-Ming; Chui Chi On; Tung Hsuan-Yu; Wu Chung-Chiang |
11538832 | Semiconductor memory structure and method of manufacturing the same | Lin Meng-Han |
11538837 | Semiconductor imaging device having improved dark current performance | Takahashi Seiji; Wang Chen-Jong; Yaung Dun-Nian; Hung Feng-Chi; Shiu Feng-Jia; Liu Jen-Cheng; Sze Jhy-Jyi; Chang Chun-Wei; Hsu Wei-Cheng; Wu Wei Chuang; Huang Yimin |
11538858 | Memory device, method of forming the same, and memory array | Lee Chien-Min; Song Ming-Yuan; Huang Yen-Lin; Lin Shy-Jay; Lee Tung-Ying; Bao Xinyu |
11538862 | Three-dimensional memory device and manufacturing method thereof | Wu Chao-I; Lin Yu-Ming |
11538914 | Semiconductor device | Kung Ta-Yuan; Liu Ruey-Hsin; Chu Chen-Liang; Yao Chih-Wen; Lei Ming-Ta |
11538926 | Semiconductor device and method of manufacturing a semiconductor device | Shen Yu-Cheng; Shen Guan-Jie |
11538927 | Nanostructures and method for manufacturing the same | Chiang Kuo-Cheng; Chen Yen-Ming; Cheng Jung-Chien; Wang Chih-Hao; Cheng Kuan-Lun |
11538938 | Method for forming stressor, semiconductor device having stressor, and method for forming the same | Yang Che-Wei; Lin Hao-Hsiung; Pan Samuel C. |
11539354 | Systems and methods for generating a controllable-width pulse signal | Sheen Ruey-Bin; Tsai Ming Hsien; Chang Chih-Hsien; Tsai Tsung-Hsien |
11539355 | Systems and methods for generating a controllable-width pulse signal | Sheen Ruey-Bin; Tsai Ming Hsien; Chang Chih-Hsien; Tsai Tsung-Hsien |
11539367 | Level shifter enable | Ramarajan Srinivasan |
11539369 | Duty-cycle corrector circuit | Lin WeiShuo |
11540357 | Photonic heater | Lee Hui Yu |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-7-23 12:35
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社