|
陈立新 张琳 黄颖:中美欧日韩五局专利报告3541.docx
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
2022年,国际商业机器公司获得美国发明专利4375项,比上一年增长了-49%,是获得美国专利数量第2多的机构。
相对来讲,国际商业机器公司专利研发的优势领域是:人工智能、数据库与信息检索、计算机应用与软件、计算机安全、计算机核心部件。其在这5个技术领域上的专利份额相对较高,为8.6%至4.6%。
表18.2-1 2022年国际商业机器公司主要技术领域的专利分布
技术领域 | 专利数量 | 占比(%) | |
1 | 人工智能 | 1176 | 8.6% |
2 | 数据库与信息检索 | 1149 | 7.7% |
3 | 计算机应用与软件 | 823 | 6.1% |
4 | 计算机安全 | 335 | 4.6% |
5 | 计算机核心部件 | 749 | 4.6% |
6 | 计算机一般部件 | 689 | 4.1% |
7 | 管理系统与电商 | 491 | 3.4% |
8 | 网络协议 | 732 | 3.3% |
9 | 计算机辅助设计 | 66 | 3.1% |
10 | 数据与图像识别 | 432 | 2.8% |
11 | 半导体制造 | 235 | 2.6% |
12 | 半导体元器件 | 406 | 2.2% |
13 | 数据交换网络 | 221 | 2.1% |
14 | 计算机接口 | 353 | 2.0% |
15 | 显示展示与声学 | 216 | 1.8% |
16 | 半导体集成电路 | 148 | 1.5% |
17 | 图像处理 | 172 | 1.3% |
18 | 物理信号与控制 | 138 | 0.8% |
19 | 物理测量 | 94 | 0.8% |
20 | 电气元件与电路 | 171 | 0.7% |
注:占比(%)指其在某领域上的专利数量占该领域的比例。
从绝对数量上来看,国际商业机器公司的重点技术领域是:人工智能、数据库与信息检索、计算机应用与软件、计算机核心部件、网络协议。其在这5个技术领域上的专利数量最多,为1176至732项。
可见,国际商业机器公司的专利技术研发重点主要集中在人工智能领域。
从发明人来看,2022年国际商业机器公司的研发人员较多,达到8100人,人均发明专利1.85项。其中,Rakshit Sarbajit K.、Kwatra Shikhar、Fox Jeremy R.、Trim Craig M.、Reznicek Alexander、Cheng Kangguo、Xie Ruilong、Silverstein Zachary A.、Gupta Lokesh M.、Keen Martin G.等人的专利数量较多,高达121至40项。
图18.2-1 2022年国际商业机器公司在20个相对优势领域中的专利占比
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员对本报告的支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
附表18.2-1 2022年国际商业机器公司的美国局授权发明专利
Patent No. | Title | Inventors |
11215000 | Mainframe door with integrated earthquake hardware and reversible swing | Khambati Suraush; Canfield Shawn; Notohardjono Budy; Ecker Richard M.; McIntosh Steven C. |
11215840 | Testing a biological sample based on sample spectrography and machine learning techniques | Carrascal De Las Heras Ginés; Patel Sumit; Bacarella David |
11216212 | Minimizing conflicts in multiport banked memory arrays | Abali Bulent; Misra Ashutosh; Franke Hubertus; Klein Matthias; Bhattacharjee Deepankar; Kurup Girish |
11216261 | Deployment in cloud using digital replicas | Moyal Shailendra; Karri Venkata Vara Prasad |
11216268 | Systems and methods for updating detection models and maintaining data privacy | Patten, Jr. Willie R.; Kelton Eugene I.; Ma Yi-Hui |
11216281 | Facilitating data processing using SIMD reduction operations across SIMD lanes | Fleischer Bruce; Gopalakrishnan Kailash; Oh Jinwook; Shukla Sunil; Mueller Silvia |
11216436 | Optimization of metadata via lossy compression | Finlay Ian R.; Garcia-Arellano Christian M.; Storm Adam J.; Zuzarte Calisto P. |
11216455 | Supporting synergistic and retrofittable graph queries inside a relational database | Tong Sui Jun; Tian Yuanyuan; Sun Wen; Pirahesh Mir Hamid |
11216509 | Dynamic faceting for personalized search and discovery | Freed Andrew R.; Herman Norbert; Ray Shubhadip; Sanyal Avik |
11216589 | Dataset origin anonymization and filtration | Gkoulalas-Divanis Aris; Bastide Paul R.; Ranchal Rohit |
11216595 | Encryption engine with an undetectable/tamper-proof private key in late node CMOS technology | Boivie Richard H.; Cartier Eduard A.; Friedman Daniel J.; Hosokawa Kohji; Jutla Charanjit; Kim Wanki; Kothandaraman Chandrasekara; Lam Chung; Libsch Frank R.; Munetoh Seiji; Muralidhar Ramachandran; Narayanan Vijay; Pfeiffer Dirk; Sadana Devendra K.; Shahidi Ghavam G.; Wisnieff Robert L. |
11216619 | Feature reweighting in text classifier generation using unlabeled data | Yu Yang; Qi Haode; Wang Haoyu; Tan Ming; Rao Navneet N.; Potdar Saloni; Yates Robert Leslie |
11216739 | System and method for automated analysis of ground truth using confidence model to prioritize correction options | Freed Andrew R.; Christianson Kyle G.; Phipps Christopher |
11216743 | Learning sparsity-constrained gaussian graphical models in anomaly detection | Phan Dzung; Menickelly Matthew; Kalagnanam Jayant R.; Ide Tsuyoshi |
11216756 | Mapping portal applications in multi-tenant environment | Laventman Gennady; Rendahl Randy A.; Roy-Chowdhury Amber; Roytman Alexey |
11216768 | Product quality analysis and control | Rodrigo Cavalin Paulo; Mena Paz Carmen Nilda; Tirapu Azpiroz Jaione; Appel Ana Paula; Alves Alexandre |
11217106 | Drone air traffic control and flight plan management | Butler Eric K.; Chandra Anca A.; Chowdhary Pawan R.; Glissmann-Hochstein Susanne M.; Griffin Thomas D.; Jadav Divyesh; Lee Sunhwan; Strong, Jr. Hovey R. |
11217116 | Interactive training for application providers | Marinescu Radu; Marascu Alice-Maria |
11217223 | Speaker identity and content de-identification | Gkoulalas-Divanis Aris; Wang Xu; Bastide Paul R.; Ranchal Rohit |
11217450 | Device with pure silicon oxide layer on silicon-germanium layer | Ando Takashi; Hashemi Pouya; Jagannathan Hemanth; Lee ChoongHyun; Narayanan Vijay |
11217481 | Fully aligned top vias | Lanzillo Nicholas Anthony; Motoyama Koichi; Ghosh Somnath; Penny Christopher J.; Robison Robert; Clevenger Lawrence A. |
11217680 | Vertical field-effect transistor with T-shaped gate | Song Yi; Li Juntao; Zhou Huimei; Cheng Kangguo; Rahman Ardasheir |
11217692 | Vertical field effect transistor with bottom spacer | Waskiewicz Christopher J.; Xie Ruilong; Strane Jay William; Jagannathan Hemanth |
11217717 | Type IV semiconductor based high voltage laterally stacked multijunction photovoltaic cell | Bedell Stephen W.; Li Ning; Sadana Devendra K.; Shahidi Ghavam G. |
11217742 | Bottom electrode for semiconductor memory device | Yang Chih-Chao; Standaert Theodorus E; Edelstein Daniel C |
11217753 | Formation of carbon nanotube-containing devices | Afzali-Ardakani Ali; Kumar Bharat; Tulevski George S. |
11219405 | Epilepsy seizure detection and prediction using techniques such as deep learning methods | Harrer Stefan; Kiral-Kornek Filiz Isabell; Mashford Benjamin Scott; Roy Subhrajit; Tang Jianbin |
11219797 | Real-time sensor based balance gamification and feedback | Werner John; Dow Eli M.; Sehgal Kavita; Varghese Sneha; Stamboni Diane; Danyluk Nicholas; Wu Sarah |
11220095 | Printing multicolored three-dimensional products | Dawson Alan B.; Hodgson James P.; Hutchison Gordon D.; White Matthew B. |
11220269 | Fording depth estimation | Xu Cheng; Liu Su; Chou Kuo-Liang; Wu Shun Xian; Guo Yin Xi |
11220742 | Low temperature lift-off patterning for glassy carbon films | Holmes Steven J.; Neumayer Deborah A.; Bedell Stephen; Sadana Devendra K.; Farmer Damon; Marchack Nathan P. |
11221310 | Reproducible and manufacturable nanogaps for embedded transverse electrode pairs in nanochannels | Pyzyna Adam M.; Smith Joshua T.; Wunsch Benjamin H. |
11221318 | Adsorption/desorption-based sensor for volatile organic compounds (VOCs) | Das Siddhartha; Fasoli Andrea; Bozano Luisa Dominica |
11221359 | Determining device operability via metal-induced layer exchange | Kong Dexin; Cheng Kangguo |
11221364 | Detection of leakage of a qubit without directly measuring the qubit | Finck Aaron |
11221592 | Manufacturing process control based on multimodality and multi-resolution time series data | Zhu Yada; Kalagnanam Jayant R.; Liu Xuan |
11221603 | Systems and methods for highly parallel processing of parameterized simulations | Beyer Kevin S.; Ercegovac Vuk; Haas Peter; Shekita Eugene J.; Xu Fei |
11221729 | Tracking and restoring pointer positions among applications | Bauchot Frederic; Viale Joel; Szalai Zsolt |
11221768 | Safe shared volume access | Koester Michael; Miner Kevin L.; Vangsness Jeanne |
11221770 | Providing a dynamic random-access memory cache as second type memory | Abali Bulent; Buyuktosunoglu Alper; Sinharoy Balaram |
11221781 | Device information sharing between a plurality of logical partitions (LPARs) | Perez Miguel; Reed David C.; Miller Dash D.; Kozakos George |
11221794 | Memory array element sparing | Bronson Tim; Huynh Hieu T.; Klapproth Kenneth |
11221795 | Queue management for multiway queues | Strait Gary E.; Klein Matthias; Shah Alia; Karottukottarathil Baby Sajay Mathew |
11221826 | Parallel rounding for conversion from binary floating point to binary coded decimal | Payer Stefan; Mueller Silvia Melitta; Figuli Razvan Peter; Arieli Revital |
11221835 | Determining when to perform and performing runtime binary slimming | Le Michael Vu; Molloy Ian Michael; Park Taemin |
11221846 | Automated transformation of applications to a target computing environment | Kalia Anup; Xiao Jin; Hwang Jinho; Vukovic Maja; Rofrano John |
11221850 | Sort and merge instruction for a general-purpose processor | Giamei Bruce C.; Recktenwald Martin; Schmidt Donald W.; Siegel Timothy; Puranik Aditya N.; Farrell Mark S.; Jacobi Christian; Bradbury Jonathan D.; Zoellin Christian |
11221855 | Transformation of an enterprise application into a cloud native application | Xiao Jin; Kalia Anup; Hwang Jinho; Vukovic Maja; Rofrano John |
11221867 | Resolution of segmented constant pools | Ajila Oluwatobi; Heidinga Daniel |
11221870 | Agent flow arrangement management | Armitage Joshua H.; Clarke Michael P.; Kaputin John A. W.; Kwan King-Yan; Wright Andrew |
11221884 | Hybrid virtual machine configuration management | Anand Vaijayanthimala K.; Chen Wen-Tzer T.; Maron William A.; Srinivas Mysore S.; Vaidyanathan Basu |
11221886 | Optimizing dynamical resource allocations for cache-friendly workloads in disaggregated data centers | Bivens John A.; Mahindru Ruchi; Schenfeld Eugen; Li Min; Salapura Valentina |
11221897 | Managing device maintenance via artificial intelligence | Grant Robert H.; Kwatra Shikhar; Silverstein Zachary A.; Rakshit Sarbajit K. |
11221905 | System to monitor computing hardware in a computing infrastructure facility | Venkatesan Vidhya Shankar; Haridass Anand; Vidyapoornachary Diyanesh B. Chinnakkonda; Joseph Arun |
11221906 | Detection of shared memory faults in a computing job | LePera William P.; Sharkawi Sameh Sherif; Lauria Austen William |
11221908 | Discovery of an inexplicit link between a change and an incident in a computing environment | Batta Raghav; Stark George E.; Vukovic Maja; Da Silva Alexandre Francisco; Hwang Jinho; Nidd Michael Elton; Shwartz Larisa |
11221911 | Data recovery due to transient effects in NAND flash memories | Papandreou Nikolaos; Pozidis Charalampos; Ioannou Nikolas; Pletka Roman Alexander; Tomic Sasa |
11221922 | System and method for selective compression in a database backup operation | Dagar Prashant; Gaurav Neeraj; Rodger Kelly D.; Saha Tapas |
11221923 | Performing selective backup operations | Pascale Alessandra; Lehrig Sebastian; Tommasi Pierpaolo; Sbodio Marco Luca |
11221924 | Back-up of information stored in mobile computing devices | Bombacino Vinicio; Pizzutilo Riccardo; Tortosa Andrea |
11221925 | Continuous storage of data in a system with limited storage capacity | Correia Villa Real Lucas; Santos Marcelo Nery dos; Souza Renan Francisco Santos |
11221927 | Method for the implementation of a high performance, high resiliency and high availability dual controller storage system | Chen Lior; Gan-Levi Daniel; Gazit Ronen; Leneman Ofer; Messing Deborah A. |
11221934 | Identifying anomalies in data during data outage | Ahmed Mansoor; Kundu Sattwati; Eledath Nair Raghunath; Adinarayan Geetha |
11221938 | Real-time collaboration dynamic logging level control | Gao Jin Sheng; Li Qi; Liu Bo Tong; Li Zhi; Dunne Jonathan |
11221954 | Storing metadata in heterogeneous cache to improve I/O performance | Gupta Lokesh M.; Anderson Kyler A.; Ash Kevin J.; Borlick Matthew G. |
11221955 | Metadata track selection switching in a data storage system | Brown Theresa M.; Fei David; Spear Gail |
11221957 | Promotion of ERAT cache entries | Blaner Bartholomew; Heaslip Jay G.; Herrenschmidt Benjamin; Herzl Robert D.; Joyner Jody; Kriegel Jon K.; Wait Charles D. |
11221963 | Methods and systems for incorporating non-tree based address translation into a hierarchical translation lookaside buffer (TLB) | Campbell David; Hicks Dwain A. |
11221989 | Tape image reclaim in hierarchical storage systems | Mitsuma Shinsuke; Miyamura Tsuyoshi; Itagaki Hiroshi; Hasegawa Tohru; Yamamoto Noriko; Matsui Sosuke |
11221992 | Storing data files in a file system | Akelbein Jens-Peter; Haustein Nils |
11221994 | Controlling document edits in a collaborative environment | Trim Craig M.; Kwatra Shikhar; Kozhaya Joseph; Baughman Aaron K. |
11222000 | Querying and projecting values within sets in a table dataset | Chauvin Stanley L.; Lefebvre Roch; Watts Graham A.; Wei Qing |
11222004 | Management of a database with relocation of data units thereof | Mecozzi Catia; Milanese Nicola; Sidoti Stefano |
11222015 | Helper scan in a database management system | Baggett Brian L.; Beavin Thomas A.; Draese Oliver; Guo Shuanglin; Lurie Andrei F.; Purcell Terence P.; Suo Shengxi |
11222016 | Dynamic combination of processes for sub-queries | Li Shuo; Wan Meng; Wang Xiaobo; Yang Xin Ying |
11222020 | Deduplicated data transmission | Jhang Jia-Sian; Chen Hsiao-Yung; Su Shr-an; Liao Pao-Chuan; Deng Yung Wen YW |
11222038 | Generating an outside-in hierarchical tree visualization | Keahey Thomas Alan; Rope Daniel Jay; Wills Graham John |
11222041 | Blockchain-based central repository and analytical platform for communications in the internet of things | Griffin Adam Lee; Kwatra Shikhar; Lawless Darren; Bouve Thomas; Ries Joseph B.; Szkatulski Jennifer L. |
11222051 | Document analogues through ontology matching | Ferreira Moreno Marcio; Silva Viviane Torres da; Salles Civitarese Daniel; de Mello Brandao Rafael Rossi; Fontoura de Gusmao Cerqueira Renato |
11222053 | Searching multilingual documents based on document structure extraction | Tang Xin; Yin Kun Yan; Li He; Zhao Xueliang; Xu Xin |
11222054 | Low-complexity methods for assessing distances between pairs of documents | Atasu Kubilay; Berrospi Ramis Cesar; Ioannou Nikolas; Parnell Thomas Patrick; Pozidis Charalampos; Vasileiadis Vasileios |
11222056 | Gathering information on user interactions with natural language processor (NLP) items to order presentation of NLP items in documents | Erpenbach Eric L.; Lavery Andrew J.; Stevens Richard J.; Suarez Saiz Fernando J. |
11222057 | Methods and systems for generating descriptions utilizing extracted entity descriptors | Ackermann Christopher; Beller Charles; Katz Edward; Summers Kristen |
11222058 | Familiarity-based text classification framework selection | Geyer Ethan A.; Brunn Jonathan F.; Dunne Jonathan; Tepper Naama |
11222059 | Data clustering | Dunne Jonathan; Penrose Andrew T. |
11222083 | Web crawler platform | Liu Chih-Hsiung; Wu Peter; Chao Tzu-Chen; Lin I-Chien |
11222085 | Finding content on computer networks | Brunn Jonathan F.; Imsdahl Marit L.; Silva Asima |
11222086 | Finding content on computer networks | Brunn Jonathan F.; Imsdahl Marit L.; Silva Asima |
11222087 | Dynamically debiasing an online job application system | Bhide Manish; Nagar Seema; Mehta Sameep; Dey Kuntal |
11222105 | Graphic color-based authentication | Maresh Mark; Nolan Colm; Vargas Juan F.; Whitney Michael J. |
11222114 | Time and frequency domain analysis of bytecode for malware detection | Haim Bar; Menahem Eitan |
11222117 | HSM self-destruction in a hybrid cloud KMS solution | Lo Erlander; Bojjireddy Karunakar; Nunez Mencias Angel; Pavone Marco |
11222129 | Entity resolution between multiple private data sources | Linton Jeb R.; Kramer Dennis; Amisano Michael; Melchionne John |
11222130 | System and method for providing data security in a hosted service system | Nagesha Rao Pallavi T. |
11222131 | Method for a secure storage of data records | Oberhofer Martin; Naganna Soma Shekar; Schumacher Scott; Seth Abhishek; Pulipaty Geetha Sravanthi |
11222135 | User device privacy protection | Tripp Omer; Dolby Julian Timothy; Pistoia Marco; Ferrara Pietro |
11222143 | Certified information verification services | Gerard Scott; Byron Donna K. |
11222164 | Adding custom content to an existing documentation suite | Pedersen Stacy; Doyle Kevin |
11222165 | Sliding window to detect entities in corpus using natural language processing | Ramos Igor S.; Lavery Andrew J.; Carrier Scott; Hake Paul Joseph |
11222166 | Iteratively expanding concepts | Felt Paul Lewis; Bull Brendan |
11222169 | Inputting data to a web page | Akiyama Koji; Sawano Yoshiaki; Shibutani Yashuhiro; Takahashi Yasuaki |
11222175 | Structured term recognition | Glass Michael; Gliozzo Alfio M |
11222176 | Method and system for language and domain acceleration with embedding evaluation | Liu Xiaotong; Xu Anbang; Tong Yingbei; Akkiraju Rama Kalyani T. |
11222177 | Intelligent augmentation of word representation via character shape embeddings in a neural network | Braghin Stefano; Oguz Cennet; Levacher Killian |
11222198 | Video analysis system for optimizing an activity protocol | Kwatra Shikhar; Nachane Saurabh Sanjay; Zhu Jun; Bhattacharyya Shilpi |
11222199 | Automatically suggesting behavioral adjustments during video conferences | Anders Kelley; Fox Jeremy R.; Dunne Jonathan; Harpur Liam S. |
11222201 | Vision-based cell structure recognition using hierarchical neural networks | Wang Xin Ru; Burdick Douglas R.; Zheng Xinyi |
11222225 | Image recognition combined with personal assistants for item recovery | DeLuca Lisa Seacat; Zhang Wei |
11222228 | Techniques for example-based affine registration | Wang Hongzhi; Karargyris Alexandros |
11222242 | Contrastive explanations for images with monotonic attribute functions | Luss Ronny; Chen Pin-Yu; Dhurandhar Amit; Sattigeri Prasanna; Shanmugam Karthikeyan |
11222259 | Counter based resistive processing unit for programmable and reconfigurable artificial-neural-networks | Koswatta Siyuranga; Li Yulong; Solomon Paul M. |
11222265 | Perform destages of tracks with holes in a storage system by training a machine learning module | Gupta Lokesh M.; Anderson Kyler A.; Ash Kevin J.; Borlick Matthew G. |
11222271 | Vehicular driving actions in the presence of non-recurrent events | Monteil Julien; Dekusar Anton; Lassoued Yassine; Ordonez-Hurtado Rodrigo H.; Russo Giovanni; Mevissen Martin |
11222276 | Response collaboration among multiple artificial intelligence (AI) systems | Trim Craig M.; Bender Michael; Bhogal Kulvir Singh; Fox Jeremy R.; Rakshit Sarbajit K. |
11222277 | Enhancing robustness of pseudo-relevance feedback models using query drift minimization | Barger Artem; Levin Roy; Roitman Haggai |
11222279 | Modular quantum circuit transformation | Gambetta Jay M.; Faro Sertage Ismael; Javadiabhari Ali; Martin Fernandez Francisco Jose |
11222281 | Cloud sharing and selection of machine learning models for service use | Kozhaya Joseph N.; Allen Corville O.; Freed Andrew R. |
11222282 | Sourcing a new machine-learning project by reusing artifacts from reference machine learning projects | Sugano Kei; Takeuchi Hironori; Noguchi Masato; Sanuki Toshiyuki |
11222283 | Hierarchical conversational policy learning for sales strategy planning | Jiang Zhuoxuan; Ma Jie; Dang Ya Bin; Wang Jian; Li Qi Cheng; Mei Li Jun; Zhou Xin; Chen Hao; Yu Yi Peng; Li Shao Chun |
11222287 | Machine learning for failure event identification and prediction | Sevakula Rahul Kumar K; Mhatre Parag Sanjay |
11222289 | Route calculations with drive-through wait times | Akselrod Ben Z.; Di Loreto Anthony; McDuff Steve; Robeson Kyle D. |
11222292 | Data linkage across multiple participants | Yu Yichong; Naumov Igor Anatolyevich |
11222296 | Cognitive user interface for technical issue detection by process behavior analysis for information technology service workloads | Sun Hongtan; Vukovic Maja; Murthy Karin; Batta Raghav; Sarkar Soumitra |
11222323 | System and method for software allocation based on forecasts and calendars | Aggarwal Vijay K.; Herger Lorraine M.; McCarthy Matthew A.; Pickover Clifford A. |
11222363 | Cognitive determination system connecting social network and blockchain network | Chetlur Malolan; Jayachandran Praveen |
11222375 | Data analysis method and system thereof | Zhao Shiwan; Wu Xian; Yuan Quan |
11222395 | Matrix factorization with approximate computing | Chang Shiyu; Fong Liana L.; Tan Wei |
11222429 | Object movement indication in a video | Breedvelt-Schouten Ilse M.; Lyons John A.; Kusnitz Jeffrey A.; Jenkins Jana H. |
11222432 | Object of interest tracking | Ramakrishnan Arun; KS Pramod |
11222436 | Presenting an image indicating a position for a person in a location the person is waiting to enter | Mochizuki Tomoka; Lianzi Wen; Sato Munehiko; Sugiura Tomonori |
11222490 | Physical modification of circuits for automotive security | Candido De Lima Junior Juscelino; Leitao Breno H.; Seo Carlos Eduardo; Soares Antonio Joao Schwartz |
11222615 | Personalized optics-free vision correction | ShafieiBavani Elaheh; Zhong Peter; Garnavi Rahil; Raghib Michael |
11222631 | Performance evaluation using audio and structured feedback | Das Rajarshi |
11222731 | Balancing provenance and accuracy tradeoffs in data modeling | Guttmann Christian; Sun Xing Zhi |
11222742 | Magnetic inductor with shape anisotrophy | Deligianni Hariklia; Doris Bruce B.; O'Sullivan Eugene J.; Wang Naigang |
11222746 | Method for forming a planar solenoid inductor | Hu Guohan; Wang Naigang |
11222820 | Self-aligned gate cap including an etch-stop layer | Belyansky Michael P.; Bergendahl Marc; Chan Victor W. C.; Shearer Jeffrey C. |
11222862 | High speed handling of ultra-small chips by selective laser bonding and debonding | Chen Qianwen; Dang Bing; Budd Russell; Wen Bo; Hung Li-Wen; Nah Jae-Woong; Knickerbocker John |
11222922 | Resistive random access memory cells integrated with shared-gate vertical field effect transistors | Reznicek Alexander; Hekmatshoartabari Bahman; Ando Takashi |
11222979 | Field-effect transistor devices with sidewall implant under bottom dielectric isolation | Miao Xin; Reznicek Alexander; Zhang Jingyun; Xie Ruilong |
11222981 | Three-dimensional field effect device | Zhou Huimei; Fan Su Chen; Mochizuki Shogo; Xu Peng; Loubet Nicolas J. |
11223005 | Gradiometric parallel superconducting quantum interface device | Sandberg Martin O.; Adiga Vivekananda P.; Paik Hanhee |
11223008 | Pillar-based memory hardmask smoothing and stress reduction | Rizzolo Michael; Standaert Theodorus E.; Dutta Ashim; Metzler Dominik |
11223010 | Thin reference layer for STT MRAM | Hu Guohan; Kim Younghyun; Worledge Daniel C. |
11223347 | All microwave ZZ control | Mckay David C.; Kandala Abhinav; Dial Oliver; Steffen Matthias; Lauer Isaac |
11223369 | Automatic hash function selection | Abali Bulent; Misra Ashutosh; Kurup Girish G.; Bhattacharjee Deepankar; Klein Matthias |
11223475 | Document validation | Singh Nitin; Dayama Pankaj S.; Pandit Vinayaka; Sampath Kameshwaran |
11223530 | Natural language processing in modeling of network device configurations | Goldblatt Ryan; Maclynn Michael; Quinn Keith; Kelly Stephen Thomas; Penrose Andrew T. |
11223588 | Using sensor data to control message delivery | Fox Jeremy R.; DeLuca Lisa Seacat; Anders Kelley; Greenberger Jeremy A. |
11223591 | Dynamically modifying shared location information | Schneider Scott E.; Hardee Christopher J.; Kwatra Shikhar; Joroff Steven |
11223595 | Methods and systems for managing communication sessions for discussion completeness | Kwatra Shikhar; Fox Jeremy R.; Rakshit Sarbajit K. |
11223633 | Characterizing unique network flow sessions for network security | Wright Holly; Bowie Dale |
11223642 | Assessing technical risk in information technology service management using visual pattern recognition | Sun Hongtan; Shwartz Larisa; Khandekar Rohit Madhukar; Wang Qing; Zhou Bing |
11223650 | Security system with adaptive parsing | Rodniansky Leonid |
11223651 | Augmented data collection from suspected attackers of a computer network | Shultz Steven; Gessner Steven Paul; Beach Marci A.; Rando Patricia M. |
11223655 | Semiconductor tool matching and manufacturing management in a blockchain | Bhosale Prasad; Lanzillo Nicholas A.; Rizzolo Michael; Yang Chih-Chao |
11223659 | Broadcast notifications using social networking systems | Ham John; Schlicht Matthew |
11223678 | Establishing paths between servers in a copy environment for different copy relationships between the servers | Hatfield Brian D. |
11223690 | Service management modes of operation in distributed node service management | Dain Joseph W.; Lehmann Stefan; Melamed Dan |
11223703 | Instruction initialization in a dataflow architecture | Curran Brian; Fleischer Bruce; Gopalakrishnan Kailash; Shukla Sunil K |
11223800 | Selective reaction obfuscation | Kwatra Shikhar; Ekambaram Vijay; Hardee Christopher J. |
11224339 | Dynamic eye condition self-diagnosis | Batta Raghav; Mataev Elnatan; Fritz Heidi E |
11224801 | Enhanced split-screen display via augmented reality | Kwatra Shikhar; Sandridge Thomas Jefferson; Grant Robert Huntington; Silverstein Zachary A. |
11224927 | Circuit card attachment for enhanced robustness of thermal performance | Gaynes Michael; Yarmchuk Edward J |
11225259 | Fair anomaly detection and localization | Katsuki Takayuki |
11225264 | Realtime driver assistance system | Smye-Rumsby Adam J.; Cunico Hernan A.; Frank Paul A. R.; Keen Martin G. |
11225458 | Pinene-derived diisocyanates | Kobilka Brandon M.; Kuczynski Joseph; Porter Jacob T.; Wertz Jason T. |
11226194 | Apparatus and method for measuring distance between fiducial features, such as magnetic transducers, to an accuracy of within one nanometer | Biskeborn Robert |
11226252 | Multilayered magnetic free layer structure in magnetic tunnel junction arrays for sub-micrometer resolution pressure sensors | Mehta Virat Vasav; Reznicek Alexander; Kothandaraman Chandrasekharan; Evarts Eric Raymond; Hashemi Pouya |
11226323 | Air-pollution emission source monitoring | Ba Yu Tao; Zhou Gang; Wang Lingyun; Zhao Wei; Xie Ming; Zou Ke Xu; Rui Xiao Guang |
11226369 | Ball grid array current meter with a current sense loop | Berge Layne A.; Doyle Matthew; Schoneck Kyle; Liang Thomas W.; Walther Matthew A.; Bjorgaard Jason J.; Dangler John R. |
11226370 | Recoverable exceptions generation and handling for post-silicon validation | Mendelson Hillel; Sokhin Vitali; Kolan Tom; Theiler Hernan; Doron Shai |
11226372 | Portable chip tester with integrated field programmable gate array | Singer Noah; Di Genova Daniele; Turner Andrew; Torok John; Maier Gary; Oldrey Richard |
11226561 | Self-priming resist for generic inorganic hardmasks | Liu Chi-Chun; Seshadri Indira; Schmidt Kristin; Felix Nelson; Sanders Daniel; Guo Jing; De Silva Ekmini Anuja; Truong Hoa |
11226743 | Predicting and preventing events in a storage system using copy services | Tomkins Dominic; Mulholland Miles; Bartlett Eric John; Dicks Alex |
11226744 | Determination of a type of destage to perform based on preference between performance of operations and preservation of drive life | Hardy Clint A.; Gupta Lokesh Mohan; Nielsen Karl Allen; Rinaldi Brian Anthony |
11226746 | Automatic data healing by I/O | Rooney William J.; Mellgren Carol S.; Blea David R.; McBride Gregory E.; Riedy Dale F.; Powelson Tabor R. |
11226763 | Device for high dimensional computing comprising an associative memory module | Le Gallo-Bourdeau Manuel; Karunaratne Kumudu Geethan; Cherubini Giovanni; Sebastian Abu; Rahimi Abbas; Benini Luca |
11226764 | Consistent reads in a distributed transaction protocol | Dhuse Gregory R.; Khadiwala Ravi; Li Mingyu |
11226773 | Workload management in networked storage systems | Patel Kushal S.; Roy Subhojit; Patel Sarvesh S. |
11226776 | Zone storage data placement | Williams Jordan Harrison; Martin Benjamin Lee; Volvovski Ilya; Viraraghavan Praveen |
11226799 | Deriving profile data for compiler optimization | Sundaresan Vijay; Craik Andrew James; Stoodley Mark Graham; Heidinga Daniel |
11226803 | Dynamic message embedded within application new feature rollout | Jayabalan Jayakarthik; Justin Josephine E.; Dey Kuntal; Nagar Seema |
11226812 | Managing an update of a software module in a layered filesystem | Ross Martin A.; Rogers Sam |
11226815 | Using big code to construct code conditional truth tables | Hicks Andrew C. M.; Gildein Michael E.; Gisolfi Daniel Nicolas |
11226817 | Prefetching workloads with dependent pointers | Karve Mohit; Stence Donald R.; Griswell, Jr. John B.; Thompto Brian W. |
11226832 | Dynamic generation of user interfaces based on dialogue | Westberg Anders; Haglund Mikael; Lesko Juraj; Enqvist Anders |
11226833 | Determination and initiation of a computing interface for computer-initiated task response | Ng Joanna W.; Lau Diana; Attarian Ioanna Maria; Ng Tinny M. |
11226835 | Determination and initiation of a computing interface for computer-initiated task response | Ng Joanna W.; Lau Diana; Attarian Ioanna Maria; Ng Tinny M. |
11226839 | Maintaining compatibility for complex functions over multiple machine generations | Klein Matthias; Giamei Bruce Conrad; Sofia Anthony Thomas; Farrell Mark S.; Swaney Scott; Siegel Timothy |
11226845 | Enhanced healing and scalability of cloud environment app instances through continuous instance regeneration | Hintermeister Gregory R.; Joshi Sanjay; Barcia Roland |
11226866 | Policy driven data updates | Iwasaki Norie; Matsui Sosuke; Miyamura Tsuyoshi; Yamamoto Noriko |
11226875 | System halt event recovery | Chen Lior; Gan-Levi Daniel; Gazit Ronen; Messing Deborah A. |
11226878 | Accelerator-based database recovery | Beier Felix; Stolze Knut; Geiselhart Reinhold; Oliveira Lizardo Luis Eduardo |
11226879 | Fencing non-responding ports in a network fabric | Gavrilov Constantine; Koren Eli |
11226880 | Communication of diagnostic parameters of a data mirroring configuration from a storage controller to a host | Riedy Dale F.; Compton Scott B.; Candelaria Susan K.; Hathorn Roger G.; Yudenfriend Harry M. |
11226889 | Regression prediction in software development | Quemy Alexandre |
11226892 | Analyzing software test failures using natural language processing and machine learning | Kochura Nadiya; Nair VinodKumar Raghavan; Randall, Jr. Donald H.; Reedy Derek M.; Snow Timothy B. |
11226899 | Populating a second cache with tracks from a first cache when transferring management of the tracks from a first node to a second node | Ash Kevin J.; Borlick Matthew G.; Gupta Lokesh M.; Kalos Matthew J.; Rinaldi Brian A. |
11226902 | Translation load instruction with access protection | Williams Derek E.; Herrenschmidt Benjamin; May Cathy; Frey Bradly G. |
11226929 | WORM data falsification detection | Abe Atsushi; Hasegawa Tohru |
11226932 | Collections for storage artifacts of a tree structured repository established via artifact metadata | Burris Thomas J.; Kasivajjula Bhavan Kumar; Kataria Manish; Srivastava Anurag |
11226942 | Controlling deduplication in a storage pool | Sanders Lee Jason; Sasson Ben; Hutchison Gordon Douglas |
11226948 | Index maintenance based on a comparison of rebuild vs. update | Chang Yuan-chi; Crawford Jason; Fong Liana L.; Tan Wei |
11226960 | Natural-language database interface with automated keyword mapping and join-path inferences | Li Yunyao; Baik Christopher J.; Jagadish Hosagrahar V. |
11226968 | Providing search result content tailored to stage of project and user proficiency and role on given topic | Keohane Susann M.; Kraft Maureen E.; Shiver Brent N. |
11226971 | Blockchain implementing reliability database | Kamijoh Kohichi |
11226972 | Ranking collections of document passages associated with an entity name by relevance to a query | Summers Kristen M.; Ackermann Christopher F.; Doyle Andrew; Drzewucki Michael; Beller Charles E. |
11226980 | Replicating containers in object storage using intents | Hegde Harsha; Leggette Wesley B.; Motwani Manish; Resch Jason K.; Scholl Daniel J.; Shah Rohan P.; Vedpathak Yogesh R. |
11226995 | Generating business intelligence geospatial elements | McDougall Steven R.; Statchuk Craig A. |
11226997 | Generating a chatbot from an FAQ | Pinel Florian; Byron Donna K.; Dimascio Carmine; Johnson Benjamin L. |
11227002 | Method and apparatus for identifying semantically related records | Hassanzadeh Oktie; Kementsietsidis Anastasios |
11227003 | System and method for classification of low relevance records in a database using instance-based classifiers and machine learning | Bianchi Thiago; Gonzalez Pablo Roberto Millicay; de Morais Giuliano Diniz |
11227018 | Auto generating reasoning query on a knowledge graph | Adinarayan Geetha; Viswanathan Hari Hara Prasad; Palaniappan Sathiskumar; Mangalvedkar Amit Mohan |
11227020 | Displaying content based on a user's status | Nomura Yuka; Tomoda Daisuke; Yoshinaga Hiroki |
11227022 | Method for personalized breaking news feed | Bufe, III John P.; Byron Donna K.; Wagstrom Patrick A.; Winkler Timothy P. |
11227023 | Searching people, content and documents from another person's social perspective | Banatwala Mustansir; Brooks David A.; Estrada Miguel A.; Russo Joseph A. |
11227035 | Intelligent pattern based application grouping and activating | Keen Martin G.; Rakshit Sarbajit K.; Ganci, Jr. John M.; Bostick James E. |
11227045 | System, method and apparatus for extracting usage-based fine grained permissions | Ferrara Pietro; Pistoia Marco; Tripp Omer |
11227057 | Membership access management of a database | Irazabal Jeronimo; Garagiola Andres; Masini Diego A. |
11227059 | Regulatory compliance for applications applicable to providing a service for regulatory compliance on a cloud | Blandin Sebastien; Kumar Chaitanya; Nandakumar Karthik |
11227094 | System, method, recording medium for dynamically changing search result delivery format | Abedini Mani; Conway Thomas Charles; Jalali Fatemeh; Lu Fang; Mehedy Lenin; Pervin Shaila |
11227099 | Automatic summarization with bias minimization | Bhide Manish Anand; Dey Kuntal; Madaan Nishtha; Nagar Seema; Mehta Sameep |
11227103 | Identification of problematic webform input fields | Wang Tuo; Aronovich Lior; Wang Ziyue Jason; Chen Yu-Ching |
11227112 | Contributions collection based on parsed electronic communications by a QA system which generates a reply indicating a contributor, their contribution and a confidence level | Perumalla Sailaja S.; Chamala Shanthan; Zalpuri Gautam; Mogali Apparao |
11227113 | Precision batch interaction with a question answering system | Beller Charles Evan; Dubyak William G; Sakthi Palani; Summers Kristen Maria |
11227117 | Conversation boundary determination | Brunn Jonathan F.; Cheng Yuan; Dunne Jonathan; Jiang Bo; Wan Ming |
11227119 | Cognitive word processing | Saha Chanchal; Finch Richard B.; Togwe Thembani |
11227126 | Associating characters to story topics derived from social media content | Rakshit Sarbajit K.; Povar Victor; Trim Craig M.; Boudreau Michael Kevin |
11227127 | Natural language artificial intelligence topology mapping for chatbot communication flow | Kumar Balaji Sankar; Palliyathu Vishal George; Kurian John; Raman Ranjith E.; Iantosca Michael J. |
11227160 | Detecting scene transitions in video footage | Wood John Jesse; Cunnington Daniel Thomas; Lee Eunjin; Chiarella Giacomo Giuseppe |
11227163 | Smart containment structure for apitherapy | Werner John S.; Overton Robert K.; Tsfasman Arkadiy O. |
11227180 | Extracting motion saliency features from video using a neurosynaptic system | Andreopoulos Alexander; Esser Steven K.; Modha Dharmendra S. |
11227197 | Semantic understanding of images based on vectorization | Trim Craig M.; Baughman Aaron K.; Graham Barry Michael; Whitman Todd R. |
11227212 | Non-volatile resistive processing unit | Leobandung Effendi |
11227215 | Quantifying vulnerabilities of deep learning computing systems to adversarial perturbations | Liu Sijia; Fan Quanfu; Gan Chuang; Wang Dakuo |
11227224 | Information and data collaboration among multiple artificial intelligence (AI) systems | Trim Craig M.; Bender Michael; Bhogal Kulvir Singh; Fox Jeremy R.; Rakshit Sarbajit K. |
11227227 | Automatic detection of anomalies in graphs | Goldschmidt Yaara; Lavi Ofer; Ninio Matan Yitshak |
11227228 | Processing apparatus, processing method, estimating apparatus, estimating method, and program | Morimura Tetsuro; Osogami Takayuki; Otsuka Makoto |
11227229 | Transmon qubit flip-chip structures for quantum computing devices | Chow Jerry M.; Rosenblatt Sami |
11227230 | Automated technical content conversion based on user understanding level | Childress Rhonda L.; Lawless Darren L.; Moody Crystal N.; Riley Daniel S.; Spisak Michael J. |
11227231 | Computational efficiency in symbolic sequence analytics using random sequence embeddings | Wu Lingfei; Xu Kun; Chen Pin-Yu; Chen Chia-Yu |
11227248 | Facilitation of cognitive conflict resolution between parties | Wilson John D.; Kwatra Shikhar; Krystek Paul; Rakshit Sarbajit K. |
11227250 | Rating customer representatives based on past chat transcripts | Jones Steven Ware; Jauhari Arjun; Mallette Jennifer A.; Salve Vivek |
11227258 | Managing project resources | Balestrazzi Luca; De Angelis Fabio; Napoleoni Andrea; Sidoti Stefano |
11227262 | Techniques for improving the ability of an invitee to fully participate in a meeting via a data processing system | Emejulu Nnaemeka I.; Lavery Andrew J.; Maldari Mario A.; Ramamoorthy Karthikeyan |
11227263 | Providing insights about attendees of smart web-events | Lagares-Greenblatt Heidi; Li Jenny S.; Wang Xinlin |
11227287 | Collaborative analytics for fraud detection through a shared public ledger | Snyder Jessica G.; Ma Yi-Hui; Hanis Thomas T. |
11227314 | Dynamic content fulfilment associated with real time bidding | Thomas Mathews; Vora Janki; Mangla Utpal; Singh Amandeep; Rao Venkatesh Ashok Rao; Krishna Prasad Sharath Prasad |
11227321 | Transposable behavior data | DeLuca Lisa Seacat; Ogle David M.; Grant Trevor |
11227341 | Expense compliance checking based on trajectory detection | Chu Stephen; Gong Min; Li Dong Sheng; Yan Jun Chi; Zhang Wei Peng |
11227384 | Methods and systems for determining a diagnostically unacceptable medical image | Kashyap Satyananda; Karargyris Alexandros; Wu Joy; Moradi Mehdi; Syeda-Mahmood Tanveer Fathima |
11227444 | Virtual reality content adaptation | Silverstein Zachary A.; Fox Jeremy R.; Rakshit Sarbajit K.; Kartoun Uri |
11227457 | Blockchain managed storage | Patel Neelakant R. |
11227507 | Wearable technology employed in injury detection, prevention and skills training | Crawford Glenn; Bishop Adam; Florence Christopher; McMahan Rocky |
11227579 | Data augmentation by frame insertion for speech data | Nagano Toru; Fukuda Takashi; Suzuki Masayuki; Kurata Gakuto |
11227583 | Artificial intelligence voice response system having variable modes for interaction with user | Rakshit Sarbajit K.; Compton Christian; Fox Jeremy R.; Hewitt Trudy L. |
11227656 | Device for high dimensional encoding | Karunaratne Kumudu Geethan; Le Gallo-Bourdeau Manuel; Cherubini Giovanni; Sebastian Abu; Rahimi Abbas; Benini Luca |
11227692 | Neuron model simulation | Rumbell Tim; Kozloski James R. |
11227792 | Interconnect structures including self aligned vias | Yang Chih-Chao; Spooner Terry A.; Motoyama Koichi; Chen Shyng-Tsong |
11227793 | Self-aligned pattern formation for a semiconductor device | Burns Sean D.; Clevenger Lawrence A.; Felix Nelson M.; Kanakasabapathy Sivananda K.; Penny Christopher J.; Saulnier Nicole |
11227801 | Formation of contacts for semiconductor devices | Xie Ruilong; Fan Su Chen; Wu Heng; Frougier Julien |
11227892 | MRAM integration with BEOL interconnect including top via | Dutta Ashim; Yang Chih-Chao; De Silva Ekmini A.; Metzler Dominik |
11227922 | Sloped epitaxy buried contact | Li Tao; Kang Tsung-Sheng; Xie Ruilong; Reznicek Alexander; Gluschenkov Oleg |
11227923 | Wrap around contact process margin improvement with early contact cut | Xie Ruilong; Basker Veeraraghavan S.; Greene Andrew; Reznicek Alexander; Yao Yao |
11227937 | Uniform interfacial layer on vertical fin sidewalls of vertical transport field-effect transistors | Mochizuki Shogo; Lee ChoongHyun; Cheng Kangguo; Li Juntao |
11227996 | Artificial neural networks (ANN) including a resistive element based on doped semiconductor elements | Afzali-Ardakani Ali; Copel Matthew Warren; Hannon James Bowler; Oida Satoshi |
11227997 | Planar resistive random-access memory (RRAM) device with a shared top electrode | Dutta Ashim; Sharma Saumya; Zhou Tianji; Yang Chih-Chao |
11228111 | Compact dipole antenna design | Liu Duixian; Paidimarri Arun; Sadhu Bodhisatwa; Valdes Garcia Alberto |
11228124 | Connecting a component to a substrate by adhesion to an oxidized solder surface | Hoffmeyer Mark K.; Ostrander Steven P.; Weiss Thomas; Lombardi Thomas E. |
11228418 | Real-time eye diagram optimization in a high speed IO receiver | Xing Xiao Di; Zuo Zhen Peng; Xiao Yang; Sun Xu Guang |
11228424 | Blu-ray copy service | Bathen Luis Angel; Madl Gabor; Ponceleon Dulce B. |
11228440 | Proof-of-work for smart contracts on a blockchain | Dechu Sampath; Kota Ramachandra; Kumar Pratyush |
11228457 | Priority-arbitrated access to a set of one or more computational engines | Dragone Silvio; Visegrady Tamas; Osborne Michael Charles; Santiago-Fernandez William |
11228488 | Software implementation of network switch/router | Calo Séraphin; Nahum Erich M.; Verma Dinesh |
11228511 | Smart sampling of discrete monitoring data | Pan Tian Ming; Tian Peng Fei; Zhu Bo Chen Z; Cloud Tony Chu Yun |
11228544 | Adapting communications according to audience profile from social media | Britto Mattos Lima Andrea; Cardonha Carlos Henrique; Vasconcelos Marisa Affonso; Paredes Quinones Miguel |
11228549 | Mobile device sending format translation based on message receiver's environment | Abedini Mani; Lu Fang; Mehedy Lenin; Pervin Shaila |
11228550 | Mobile device sending format translation based on message receiver's environment | Abedini Mani; Lu Fang; Mehedy Lenin; Pervin Shaila |
11228571 | Policy-based topic-level encryption for message queues | Giblin Christopher J. |
11228575 | Enterprise workspaces | Jerrard-Dunne Stanley Kieran |
11228577 | Cloud application acting on behalf of user | Jerrard-Dunne Stanley K. |
11228578 | Multi-factor authentication utilizing event data | Vasudevan Cheranellore; Balasubramanian Swaminathan; Das Sibasis; Jaiswal Priyansh; Jaiswal Peeyush |
11228595 | Evaluating security of data access statements | Feng Hao; Sun Sheng Yan |
11228607 | Graceful termination of security-violation client connections in a network protection system (NPS) | Rodniansky Leonid; Ginzburg Viktor; Jerrell Richard Ory; Diamant Galia |
11228612 | Identifying cyber adversary behavior | Vajipayajula Sulakshan; Kapadia Kaushal Kiran; Will Stephen Cameron; Yuceer Ilgen Banu; Tabb Kevin |
11228613 | Adaptive adjustment using sensor data and distributed data | Chang Hung-Yang; Chen Ching-Hua; Codella James V.; Hsueh Pei-Yun; Hu Xinyu |
11228939 | Wireless channel and/or band arbitration | Chakra Al; Dunne Jonathan; Harpur Liam |
11228961 | Cost effective delivery of network connectivity to remote areas | Verma Dinesh C.; Raghavendra Ramya; Ko Bong Jun; Srivatsa Mudhakar; Desai Nirmit V.; Ganti Raghu Kiran; Wang Shiqiang; Chakraborty Supriyo |
11229001 | IP address geo-position detection based on landmark sequencing | Fan Si Bin; Gu Yu; Liu Su; Xu Cheng |
11229358 | Ophthalmoscope using natural pupil dilation | Karargyris Alexandros; Zimmerman Thomas G. |
11231498 | Concealed object detection | Valdes Garcia Alberto; Plouchart Jean-Olivier; Pepeljugoski Petar K. |
11231855 | Determination of a type of destage to perform based on preference between performance of operations and preservation of drive life using a machine learning module | Gupta Lokesh Mohan; Hardy Clint A.; Nielsen Karl Allen; Rinaldi Brian Anthony |
11231866 | Selecting a tape library for recall in hierarchical storage | Miyoshi Hiroyuki; Araki Hiroshi; Ishimoto Takeshi |
11231972 | Dialog-style application programming interface for a machine learning solution | Giffen Perry Randolph |
11231985 | Approach to automated detection of dominant errors in cloud provisions | Jagannathan Anupama; Rajamani Karthick; Na Han; Omokpo Amos A. |
11231998 | Generating a chain of a plurality of write requests | Berger Jeffrey A.; Candelaria Susan K.; Kalos Matthew J.; Peterson Beth A.; Yudenfriend Harry M. |
11232020 | Fault detection using breakpoint value-based fingerprints of failing regression test cases | Hicks Andrew; Blue Dale E.; Rawlins Ryan Thomas; Partlow Steven M. |
11232099 | Automatically aggregating data in database tables | Bester Karla; Chandler Allan T.; Shewell Mark A.; Yates Stephen J. |
11232104 | Join and predicate filtering using string lengths for variable character fields | Chinta Kiran; Drexelius Christopher A. |
11232173 | System, method and computer program product for dense/sparse linear system solver accelerator | Jamsek Damir Anthony; Ahmadi Maysam Mir |
11232209 | Trojan detection in cryptographic hardware adapters | Hocker Michael D. |
11232221 | Right to be forgotten on an immutable ledger | Novotny Petr; Dillenberger Donna N.; Gaur Nitin |
11232258 | Natural language processing of unstructured data | Andrews Joshua N; Wisehart, Jr. Thomas C |
11232345 | Producing spike-timing dependent plasticity in a neuromorphic network utilizing phase change synaptic devices | Friedman Daniel J.; Kim Seongwon; Lam Chung H.; Modha Dharmendra S.; Rajendran Bipin; Tierno Jose A. |
11232385 | System and method to measure optimal productivity of a person engaged in a task | Bender Michael; Boss Gregory J.; Childress Edward T.; Childress Rhonda L. |
11232815 | Multi-spool tape recording apparatus having removable mounts for supporting tape spool pairs | Biskeborn Robert; Hamidi Hoodin; Childers Edwin Ralph; Acosta Donald Charles |
11232824 | Non-volatile analog resistive memory cells implementing ferroelectric select transistors | Gong Nanbo; Ando Takashi |
11232977 | Stepped top via for via resistance reduction | Anderson Brent Alan; Clevenger Lawrence A.; Penny Christopher J.; Choi Kisik; Lanzillo Nicholas Anthony; Robison Robert |
11233091 | Resistive memory cell having a single fin | Cheng Kangguo |
11233161 | Focused energy photovoltaic cell | Gershon Talia S.; Li Ning; Sadana Devendra K.; Shahidi Ghavam G. |
11233288 | Silicon substrate containing integrated porous silicon electrodes for energy storage devices | Collins John; de Souza Joel P.; Sadana Devendra K. |
11233973 | Mixed-reality teleconferencing across multiple locations | Ishimoto Kenya |
11234644 | Monitoring and determining the state of health of a user | Kochura Nadiya; Lu Fang |
11235224 | Detecting and removing bias in subjective judging | Venkateswaran Natesan; Natesan Jayapreetha; Muller K. Paul; Prasky Brian Robert; Lin Chunming |
11235248 | Online behavior using predictive analytics | Orrino Dilan; McMullen Alexander; Somich Frank; Roorda Stephan Joseph |
11235320 | Self-tuning system for manipulating complex fluids using electrokinectics | Azpiroz Jaione Tirapu; Bryant Peter William; Giro Ronaldo; Barros Ferreira Rodrigo Neumann; Ohta Ricardo Luis |
11235404 | Personalized copper block for selective solder removal | Arvin Charles L.; Del Carro Luca; Brunschwiler Thomas; Weiss Thomas; Muzzy Chris |
11235502 | Mold carrier for injection molding | Xie Pengcheng; Ma Rui; Yu Qiuyi; Yin XiYuan; Wang Qiuying |
11235625 | Implementing tire tread depth and wear patterns monitoring with RFID | Doyle Matthew S.; Berge Layne A.; Bjorgaard Jason J.; Dangler John R.; Liang Thomas W.; Orozco Manuel |
11235805 | Adaptive vehicle-proximity guidance | Tran Khoi-Nguyen Dao; Alam Nebula |
11237160 | Ultrasensitive sensor based on a piezoelectric transistor | Cao Qing; Tang Jianshi; Li Ning; He Ying |
11237298 | Error correction | Qu Junmei; Wang Lingyun; Xia Xi; Shao Jin Yan; Bai Xin Xin |
11237565 | Optimal driving characteristic adjustment for autonomous vehicles | Krystek Paul; Kwatra Shikhar; Wilson John; Baysinger Bryan |
11237606 | System parameter trace and test coverage optimization in a computer system | Lingambudi Anil Bindu; Vidyapoornachary Diyanesh B. Chinnakkonda; Chadha Saurabh |
11237616 | Governing power budget with token passing | Shah Parth Sanjaybhai; Shenoy Ranjal Gautham; Srinivasan Vaidyanathan; Buyuktosunoglu Alper; Vega Augusto; Bose Pradip |
11237713 | Graphical user interface based feature extraction application for machine learning and cognitive models | Yuravlivker Leemor M.; Naik Vijay K.; Krishnapuram Balaji; Farooq Faisal; Angelopoulos Marie; Ozery-Flato Michal; Mahatma Shilpa N.; Shea Brendan |
11237730 | Favored cache status for selected volumes within a storage system | Gupta Lokesh M.; Peterson Beth A.; Ash Kevin J.; Anderson Kyler A. |
11237735 | PDSE extended generation grouping for tiered storage systems | Erdmann Derek L.; Reed David C.; Reed Thomas C.; Smith Max D. |
11237748 | Planning of data segment merge for distributed storage system | Chen Lei; Ni Xin; Xie Tao; Zhang Jun Wei |
11237752 | Automated data translation | Seelemann, II Dirk Alexander; Jory Michael |
11237800 | Time-shifted seed for random number generator | Aldebert Jean-Paul; Frenoy Jean-Luc |
11237806 | Multi objective optimization of applications | Parthasarathy Srinivasan; Oliveira Fabio A.; Ravichandran Sushma |
11237811 | Software installation onto a client using existing resources | Chen Di Ling; Li Chuang; Lu Wei; Xia Yin Ben; Xiang Zhe |
11237812 | Deploying an application in a cloud computing environment | Li Tong; Mao Xin Sheng; Tan Jia; Yang Bo |
11237822 | Intelligent discovery and application of API changes for application migration | Li Guo Qiang; Wang Cheng Fang; Cheng Ping Ping; Zhang Zhen; Song Chang Ning |
11237825 | Refining a software system using live documentation mapping | Chen Jim Chun-Ta; Nordlund Mariah; Temple Leah |
11237829 | Performing composable transactions in a dispersed storage network | Dhuse Greg R. |
11237856 | Mobility operation resource allocation | Garza Maria; Marion Neal R.; Tomsic Nathaniel S.; Vallabhaneni Vasu |
11237890 | Analytics initiated predictive failure and smart log | Verburg David; O'Callaghan Timothy; Sciacca M. Dean |
11237891 | Handling asynchronous memory errors on kernel text | Prasad Aravinda; Salgaonkar Mahesh Jagannath |
11237897 | Detecting and responding to an anomaly in an event log | Bhatia Aankur; Baatz Chadwick M.; Givental Gary I.; Wallace Thomas; Tummalapenta Srinivas B. |
11237904 | Tracking data access in a dispersed storage network | Johnson Trent William |
11237909 | Load exploitation and improved pipelineability of hardware instructions | Enenkel Robert F.; Anand Christopher; Olejarz Adele; Dutton Lucas |
11237933 | Multi-agent plan recognition | Riabov Anton V.; Sohrabi Araghi Shirin; Udrea Octavian |
11237936 | Secure system data collection using call home feature | Schmitt Christof; Lehmann Stefan; Rueger Erik; Asmussen Ole |
11237942 | Model comparison with unknown metric importance | Cmielowski Lukasz G.; Sobala Wojciech; Bigaj Rafal; Erazmus Maksymilian |
11237950 | Quantifying tester sentiment during a development process | Moros Ortiz Jorge Andres; Tran Khoi-Nguyen Dao; Wilson Kimiko |
11237951 | Generating test data for application performance | Tatunashvili Anna; Bhattacharjee Rupam; Satapathy Siba Prasad; Jacob Sushil George Thayyil; Fekiac Jozef |
11238014 | Distributed version control for tracking changes in web applications | Rudek Krzysztof; Hanusiak Tomasz; Szczepanik Grzegorz P.; Komnata Konrad W. |
11238021 | Creating a search index within a data storage library | Goodman Brian G.; Jesionowski Leonard G.; Qiu Kenny Nian Gan |
11238027 | Dynamic document reliability formulation | Frost Keith G.; Boxwell Stephen A.; Vernier Stanley J.; Brake Kyle M. |
11238029 | Runtime endorsement policy determination | Sinclair Martin C.; McCulloch James; Pipes Stephen |
11238037 | Data segment-based indexing | Li Shuo; Jiang Peng Hui; Sun ShengYan; Liu Xin Peng; Wang Xiaobo |
11238040 | Grouping in analytical databases | Beier Felix O.; Brodt Andreas; Schiller Oliver |
11238043 | Automatic quantum searching of object databases | Hu Shaohan; Harry Putra Rudy Raymond; Wood Stephen; Pistoia Marco; Gambetta Jay M. |
11238044 | Candidate data record prioritization for match processing | Singh Neeraj R.; Naganna Soma Shekar; Parkala Srinivas Shettigar; Schumacher Scott |
11238045 | Data arrangement management in a distributed data cluster environment of a shared pool of configurable computing resources | Chainani Naresh K.; Cho James H. |
11238052 | Refining a search request to a content provider | Lang Alexander; Geiselhart Reinhold |
11238074 | Efficient grammatical property alignment for a question answering system | Katz Edward G.; Boxwell Stephen A.; Summers Kristen M.; Beller Charles E. |
11238076 | Document enrichment with conversation texts, for enhanced information retrieval | Roitman Haggai; Erera Shai; Cohen Doron; Mass Yosi; Rivlin Or |
11238078 | Creation of a summary for a plurality of texts | Gu Yu; Kushida Takayuki; Nakano Hiroki; Ruan Yaoping; Sugiyama Yuji |
11238088 | Video management system | Dunne Jonathan D.; Kochura Nadiya; Bonstrom Alexandre; Lu Fang |
11238100 | Adapting conversational agent communications to different stylistic models | Vasconcelos Marisa Affonso; Rodrigo Cavalin Paulo; Pinhanez Claudio; Candello Heloisa Caroline De Souza Pereira |
11238104 | Matching strings in a large relational database | Barouni Ebrahimi Mohammadreza; Bayat Samaneh; Islam Obidul |
11238107 | Migrating data files to magnetic tape according to a query having one or more predefined criterion and one or more query expansion profiles | Kishi Gregory; Dain Joseph |
11238111 | Response generation | Chen Hao; Li Qi Cheng; Li Shao Chun; Mei Lijun; Yu Yipeng |
11238122 | Managing content sharing in a social network | Giordani Roberto; Martino Marco; Bernardini Gianluca; Ciano Giuseppe; Albanese Angelo; Piras Roberto; D'Angelo Salvatore; Perticara′ Valeria |
11238129 | Root cause analysis using Granger causality | Jalal Ajil; Shanmugam Karthikeyan; Vinzamuri Bhanukiran |
11238134 | Adaptable access to digital assets | Iyer Sreekanth R.; Vajipayajula Sulakshan; Palat Manoj; Muppidi Sridhar |
11238172 | Managing user access to data of a social media account | Subramanian Aparna; Saha Shishir |
11238178 | Blockchain network to protect identity data attributes using data owner-defined policies | Valecha Vinod A.; Rudek Krzysztof; Szczepanik Grzegorz Piotr |
11238217 | Task based self exploration of cognitive systems | Sivakumar Gandhi; Kwok Lynn; Patel Kushal S.; Patel Sarvesh S. |
11238224 | Performing chemical textual analysis | Kossarian Malous M. |
11238231 | Data relationships in a question-answering environment | Johnson David L.; Muras Brian R.; Strauss Daniel J.; Thiemann Eric G. |
11238234 | Adjusting a verbosity of a conversation turn | Jiang Shun; Moore Robert John; Szymanski Margaret Helen; Huang Lei; Ren Guangjie; Yin Peifeng |
11238235 | Automated novel concept extraction in natural language processing | Rakshit Sarbajit K.; Bostick James E.; Trim Craig M.; Ganci, Jr. John M.; Keen Martin G. |
11238236 | Summarization of group chat threads | Wang Dakuo; Tan Ming; Gan Chuang; Wang Haoyu |
11238295 | Distributed processing of a digital image | Papandreou Nikolaos; Anghel Andreea; Stanisavljevic Milos; Pozidis Charalampos |
11238306 | Generating vector representations of code capturing semantic similarity | Zhang Bo; Sobran Alexander; Wehr David; Fede Halley; Pence Eleanor; Hughes Joseph; Walczyk, III John H.; Ferreira Guilherme |
11238317 | Data augmentation for image classification tasks | Inoue Hiroshi |
11238327 | Leveraging channel diversity in wireless power and communication | Paidimarri Arun; Sadhu Bodhisatwa; Liu Duixian; Valdes Garcia Alberto |
11238339 | Predictive neural network with sentiment data | Powell Jeff; Baughman Aaron K.; Kent John J.; Newell John C.; Provan David; Syken Noah |
11238343 | Scalable neural hardware for the noisy-OR model of Bayesian networks | Arthur John V.; Esser Steven K.; Merolla Paul A.; Modha Dharmendra S. |
11238347 | Data distribution in an array of neural network cores | Taba Brian; Cassidy Andrew S.; Flickner Myron D.; Datta Pallab; Penner Hartmut; Appuswamy Rathinakumar; Sawada Jun; Arthur John V.; Modha Dharmendra S.; Esser Steven K.; Klamo Jennifer |
11238351 | Grading sources and managing evidence for intelligence analysis | Adderly Darryl M.; Allen Corville O.; Tucker Robert K. |
11238353 | Cognitive engine for multiple internet of things devices | Jaiswal Peeyush; Jaiswal Priyansh; Monge Nunez Roxana; Pickover Clifford A.; Solano Enriquez Luis G. |
11238359 | Simplified quantum programming | Chen Richard; Hu Shaohan; Liu Peng; Pistoia Marco |
11238360 | Fast quantum feedback using analog integration and control pulse gating | Bishop Lev Samuel; Gambetta Jay M. |
11238361 | Simultaneously driving adjacent qubit pairs | Wei Xuan; Sheldon Sarah Elizabeth; Takita Maika; Gambetta Jay Michael |
11238366 | Adaptive object modeling and differential data ingestion for machine learning | Bolding Michael Josiah; Elsner Matthew; Lin Jian; Ouellette Matthew Paul; Pan Yun |
11238369 | Interactive visualization evaluation for classification models | Demiralp Cagatay; Cavallo Marco |
11238396 | High performance map editor for business analysts | Huynh Kien K. |
11238472 | Evaluating and displaying feedback for an item distributed to a group of users at a collaborative event | Boinodiris Phaedra; Stowell David P. |
11238505 | Model trading in a device | Ekambaram Vijay; Moosavi Syed Ali Hashim; Rallapalli Swati |
11238507 | Extracting, validating, and correcting item attribute values in a database | Nagar Raghuveer P.; Samyal Romil |
11238551 | Point-based license sharing | Zhang Jian; Cao Mu Dan; Weilin Wu; Wang Yi Bin; Liu Guo Ning |
11238618 | Image recognition | Zhang Jie; Wang Qing; Zhang Shi Lei; Zhao Shiwan |
11238708 | Detecting and managing audience engagement | Kurien Toby; Young Richard Allen; Weldemariam Komminist |
11238726 | Control of driverless vehicles in construction zones | Isaacs Phillip D.; Kline Eric V.; Rakshit Sarbajit K. |
11238728 | Determining traffic congestion patterns | Xu Jing; Yang Xiaoyang; Yang Ji Hui; Wang Jun; Xu Jing |
11238750 | Evaluation of tutoring content for conversational tutor | Sindhgatta Renuka; Dhamecha Tejas Indulal; Marvaniya Smitkumar Narotambhai; Chang Maria |
11238856 | Ignoring trigger words in streamed media content | Lee Eunjin; Dunning Jack; Wood John J.; Chiarella Giacomo G.; Cunnington Daniel T. |
11238896 | Passive retraction of a hub clamp in an optical disc drive | Smith Daniel; Altknecht David Jame; Best John |
11238955 | Single sample genetic classification via tensor motifs | Utro Filippo; Guzman Saenz Aldo; Levovitz Chaya; Parida Laxmi |
11238961 | Automatic knowledge-based feature extraction from electronic medical records | Hao Bi Bo; Hu Gang; Li Jing; Sun Wen; Xie Guo Tong; Yu Yi Qin |
11238982 | Managing medical events using visual patterns generated from multivariate medical records | Bak Peter; Yaeli Avi |
11238989 | Personalized risk prediction based on intrinsic and extrinsic factors | Hu Gang; Li Xiang; Liu Hai Feng; Mei Jing; Xia Eryu; Xu En Liang; Zhao Shi Wan |
11239077 | Litho-etch-litho-etch with self-aligned blocks | Liu Chi-Chun; Felix Nelson; Mignot Yann; De Silva Ekmini Anuja; Arnold John; Gabor Allen |
11239115 | Partial self-aligned contact for MOL | Xie Ruilong; Basker Veeraraghavan; Reznicek Alexander; Wang Junli |
11239119 | Replacement bottom spacer for vertical transport field effect transistors | Xie Ruilong; Wu Heng; Strane Jay; Jagannathan Hemanth; Yu Lan; Li Tao |
11239150 | Battery-free and substrate-free IoT and AI system package | Libsch Frank Robert; Bedell Stephen W.; Li Ning |
11239152 | Integrated circuit with optical tunnel | Torreiter Otto Andreas; Gentner Thomas; Eckert Martin |
11239160 | E-fuse with dielectric zipping | Zhou Tianji; Sharma Saumya; Dutta Ashim; Yang Chih-Chao |
11239165 | Method of forming an interconnect structure with enhanced corner connection | Xie Ruilong; Waskiewicz Christopher J.; Cheng Kangguo; Yang Chih-Chao |
11239167 | Cu—Cu bonding for interconnects on bridge chip attached to chips and packaging substrate | Farooq Mukta Ghate; Bonam Ravi K.; Kelly James J.; Skordas Spyridon |
11239183 | Mitigating thermal-mechanical strain and warpage of an organic laminate substrate | Sinha Tuhin; Tunga Krishna R.; Quinlan Brian W.; Arvin Charles Leon; Ostrander Steven Paul; Weiss Thomas |
11239278 | Bottom conductive structure with a limited top contact area | Yang Chih-Chao; Li Baozhen; Standaert Theodorus E.; Motoyama Koichi |
11239316 | Semiconductor device and method of forming the semiconductor device | Bergendahl Marc Adam; Karve Gauri; Lie Fee Li; Miller Eric R.; Robison Robert Russell; Sporre John Ryan; Teehan Sean |
11239342 | Vertical transistors having improved control of top source or drain junctions | Cheng Kangguo; Xie Ruilong; Yamashita Tenko; Yeh Chun-chen |
11239343 | Vertical transistor including symmetrical source/drain extension junctions | Yeh Chun-Chen; Reznicek Alexander; Basker Veeraraghavan; Wang Junli |
11239359 | Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer | Zhang Jingyun; Lee Choonghyun; Ando Takashi; Hashemi Pouya; Reznicek Alexander |
11239360 | Vertical transport field effect transistor structure with self-aligned top junction through early top source/drain epitaxy | Mochizuki Shogo; Anderson Brent A.; Jagannathan Hemanth; Wang Junli |
11239369 | Vertical thin film transistor | Leobandung Effendi |
11239378 | Solar cell with reduced surface recombination | Zhang Chen |
11239414 | Physical unclonable function for MRAM structures | Xie Ruilong; Reznicek Alexander; van der Straten Oscar; Motoyama Koichi |
11239418 | Memory device having a ring heater | Cheng Kangguo |
11239421 | Embedded BEOL memory device with top electrode pillar | Kong Dexin; Seo Soon-Cheon; Chen Shyng-Tsong; Kim Youngseok; Standaert Theodorus E. |
11239631 | Self-alignment features for III-V ridge process and angled facet die | Barwicz Tymon; Martin Yves C.; Orcutt Jason S. |
11239858 | Detection of unknown code page indexing tokens | Baessler Michael; Hampp-Bahnmueller Thomas A. P.; Jiang Peng Hui |
11239996 | Weighted partial matching under homomorphic encryption | Masters Oliver Paul; Hunt Hamish C; Bergamaschi Flavio A; Steffinlongo Enrico |
11240000 | Preservation of uniqueness and integrity of a digital asset | Gaur Nitin; Irazabal Jeronimo |
11240001 | Selective access to asset transfer data | Elkhiyaoui Kaoutar; Androulaki Elli; De Caro Angelo; Dubovitskaya Maria; Camenisch Jan L. |
11240003 | Consent-based data management | Cao Shengjiao; De Caro Angelo; Elkhiyaoui Kaoutar; Lim Yu Chin Fabian |
11240033 | Secure DNA-based password | Lavery Andrew J.; Ramos Igor S.; Giacone Silvia; Wing Bernadette Marie; Suarez Saiz Fernando Jose |
11240044 | Verifying purpose of data usage at sub-application granularity | Farkash Ariel; Goldsteen Abigail; Moffie Micha Gideon |
11240049 | Automatic recharging of data quota for successful completion of transaction | Karri Venkata Vara Prasad; Yamala Kamal Kiran Trood; Padala Naga Srinivasa reddy; Devulapalli Chandra Sekhar Kalyan |
11240052 | Facilitating communication in a collaborative environment | Silva Asima; Rice John; Harpur Liam S. |
11240100 | Using an out-of-band network to reconfigure a bus interface port | Rasor Louis A; Riley Sean P.; Ruiz Juan J. |
11240105 | Control of scanning shared resources by devices for software discovery | Glocki Dariusz P.; Obrzut Artur; Godowski Piotr P.; Pichetti Luigi |
11240106 | Providing backup and restore services to network attached appliances in a network | Buendgen Reinhard T.; Mueller Joerg; Prause Thomas |
11240118 | Network mixing patterns | Mutalikdesai Mandar; Srivastava Pranjal; Srivastava Sheetal; Sarkar Ratul |
11240168 | System and method to exchange identity governance data across multiple identity repositories | Edwards David; Darwin James; Kuehr-McLaren David |
11240187 | Cognitive attachment distribution | Dhawan Yukti; Dhawan Abhishek; Sodhi Manjit Singh |
11240189 | Biometric-based sentiment management in a social networking environment | DeLuca Lisa Seacat; Silva Asima |
11240226 | Synchronous multi-tenant single sign-on configuration | Valecha Vinod A.; Jain Vivek; Thorat Deepak Rangnath |
11240228 | Data security utilizing historical password data | Bengani Ruchika; Kwatra Shikhar; Silverstein Zachary A.; Trim Craig M.; Silverstein Michael Seth |
11240243 | Preventing statistical inference attacks on data that is shared among multiple stakeholders with disjoint access privileges | Baracaldo Angel Nathalie; Engel Robert; Ludwig Heiko H. |
11240250 | Permission management | Xu Chun Lei |
11240286 | Software request-filtering predictive technique based on resource usage probabilities | Brown Kyle G.; Nasser Samir A. |
11240300 | Summary of a resource | Denholm Ashleigh Shona; Dawson Emma Jane; Wadsted Jack Peter; Lee Eunjin |
11240313 | Contextually assigning user input to personal electronic devices | Rakshit Sarbajit K.; Keen Martin G.; Bostick James E.; Ganci, Jr. John M. |
11240340 | Optimized deployment of analytic models in an edge topology | Pinel Florian; Bobbitt Russell Patrick; Byron Donna K. |
11240369 | Dedicated mobile device in support of secure optical data exchange with stand alone certificate authority | Hennessy Shawn D.; Seager Todd P.; Zunic Nevenko |
11240434 | Removing aerial camera drones from a primary camera's field of view | Bostick James E.; Ganci, Jr. John M.; Keen Martin G.; Rakshit Sarbajit K. |
11240558 | Automatically determining and presenting participants' reactions to live streaming videos | Rakshit Sarbajit K.; Keen Martin G.; Ganci, Jr. John M.; Bostick James E. |
11240570 | Object-based video loading | Fu Lu; Xia Yin; Chuang Po Ya; Chiang Wei-Te |
11240627 | Preventative alarm for potential device destruction using microlocation | DeLuca Lisa Seacat |
11240853 | System, method and apparatus for sensor virtualization in mobile devices | Pistoia Marco; Thomas Gegi; Tripp Omer |
11240860 | Run-time system for deployment of indoor positioning systems | Mackin Casey David; Jadav Divyesh; Flores German H.; Griffin Thomas |
11240935 | Energy efficient data center liquid cooling with geothermal enhancement | Chainer Timothy J.; Parida Pritish R. |
11240975 | Pressure driven irrigation system | Bermudez Rodriguez Sergio A.; Klein Levente; Schrott Alejandro G.; van Kessel Theodore G. |
11241174 | Wearable device for monitoring bodily fluids | Doris Bruce B.; Zafar Sufi |
11241389 | Method to generate microcapsules with hexahydrotriazine (HT)-containing shells | Boday Dylan J.; Garcia Jeannette M.; Hedrick James L.; Kobilka Brandon M.; Wertz Jason T.; Wojtecki Rudy J. |
11242575 | Manufacturing process control with deep learning-based predictive model for hot metal temperature of blast furnace | Lee Young Min; Yeo Kyong Min |
11243034 | Injection-molded flexible cold plate | Takken Todd E.; Tian Shurong |
11243063 | Electrical measurable overlay structure | Leobandung Effendi |
11243083 | Implementing route generation with augmented reality | Chen Jim C.; Duffy Brittany A.; Held Elizabeth; Ly Bryant G. |
11243091 | Selectively generating directions based on device location | Crimmins Andrew G.; Catalano Pasquale A.; Werner John S.; Tsfasman Arkadiy O. |
11243092 | Notification of proximal points of interest | Chen Li-Ju; Kuo Jeff H C; Su Chih-Wen; Yu Ying-Chen |
11243156 | Determining interfacial tension for fluid-fluid-solid environments | Engel Michael; Viana Ferreira Filipe; Neumann Barros Ferreira Rodrigo; Giro Ronaldo; Steiner Mathias |
11243248 | Symbolic backend for execution of quantum programs | Liu Peng; Pistoia Marco |
11243548 | Detecting autonomous vehicles causing increased vehicle queueing | Di Loreto Anthony; Robeson Kyle D.; Akselrod Ben Z.; McDuff Steve |
11243650 | Accessing window of remote desktop application | Zong Dong Jun; Liu Da Li; Xing Jing; Duan Xue Fei; Wang Yue |
11243662 | Arranging components in a two-dimensional area | Robertson Ian |
11243695 | Leasing unordered items in namespace indices | Dubucq Thomas; Dhuse Gregory R. |
11243697 | Designing a computerized storage system having a prescribed reliability | Viraraghavan Praveen; Iliadis Ilias; Lantz Mark Alfred |
11243708 | Providing track format information when mirroring updated tracks from a primary storage system to a secondary storage system | Anderson Kyler A.; Ash Kevin J.; Borlick Matthew G.; Gupta Lokesh M. |
11243742 | Data merge processing based on differences between source and merged data | Nakagawa Asuka; Nakase Koji; Murai Shuhichi; Izaiku Takato |
11243758 | Cognitively determining updates for container based solutions | Mamgain Paras; Darbha Venkata Kiran Kumar |
11243762 | Version controller bulletin | Seshagiri Sudhakar T.; Gopalakrishna Shwetha; Mathada Prasanna Alur; Kapse Prashant Nathusao |
11243764 | Code deployment | Shao Yi; Wang Liang; Tian Lei; Liu Zhe ZL; Xu Chun Lei |
11243770 | Latent modification instruction for substituting functionality of instructions during transactional execution | Gschwind Michael Karl; Salapura Valentina; Shum Chung-Lung K.; Slegel Timothy J. |
11243773 | Area and power efficient mechanism to wakeup store-dependent loads according to store drain merges | Lloyd Bryan; Campbell David; Chen Brian; Cordes Robert A. |
11243774 | Dynamic selection of OSC hazard avoidance mechanism | Cuffney James Raymond; Collura Adam; Bonanno James; Malley Edward; Saporito Anthony; Lee Jang-Soo; Cadigan, Jr. Michael; Hsieh Jonathan |
11243791 | Directed interrupt virtualization with fallback | Raisch Christoph; Kraemer Marco; Schmidt Donald William; Nerz Bernd; Driever Peter Dana |
11243805 | Job distribution within a grid environment using clusters of execution hosts | Chen Chong; Liu Fang; Wang Qi; Yuan Shutao |
11243813 | Process as a network service hub | Robinson Todd Douglas; Leonard Stephen Michael; Yaswi Hamza; Lutz Rebecca E. |
11243832 | Dynamically analyzing diagnostic operations data via machine learning techniques | Kesarwani Manish; Kaul Akshar; Min Hong |
11243833 | Performance event troubleshooting system | Gusat Mitch; Serge Monney; Giurgiu Ioana |
11243834 | Log parsing template generation | Mandal Atri; Mohapatra Prateeti; Xu Anbang; Liu Xiaotong; Kara Pujitha |
11243835 | Message-based problem diagnosis and root cause analysis | Chan Yuk L.; Li Jia Qi; Yang Lin; Wu Tian; Yu Lei; Min Hong; Meng Fan Jing |
11243846 | Replicating workload and state data for disaster recovery in disaggregated datacenters | Salapura Valentina; Bivens John A.; Li Min; Mahindru Ruchi; Schenfeld Eugen |
11243847 | Managing remote data replication | Wilkinson John P. |
11243848 | Managing remote data replication | Wilkinson John P. |
11243852 | ACL based open transactions in replication environment | Kedia Pravin K.; Kumar Nirmal; Spyker James D. |
11243853 | Service retry collision prevention using prime numbers | van Der Stockt Stefan A. G.; Sharpe, III Joseph Lindsey; Zhao Xinyun; Fang Sihang Bob; Chanchlani Manali Jairam; Akolkar Rahul P.; Ginni Sai Karthik Reddy; Farinelli Kristi |
11243854 | System, method and recording medium for antifragile computing problem management | Kim Minkyong; Li Min; Pickover Clifford A.; Salapura Valentina |
11243864 | Identifying translation errors | Cockcroft Bryant; Schumann John A.; Chatterjee Debapriya; Leitner Larry; Barnett Kevin; Yokum Karen |
11243868 | Application containerization based on trace information | Li Guang Cheng; Teng Qi Ming; Zheng Yong |
11243882 | In-array linked list identifier pool scheme | Liu Joseph |
11243885 | Providing track access reasons for track accesses resulting in the release of prefetched cache resources for the track | Peterson Beth Ann; Fung Chung Man; Kalos Matthew J.; Stanley Warren Keith; Ward Matthew J. |
11243899 | Forced detaching of applications from DMA-capable PCI mapped devices | Chen Lior; Gavrilov Constantine; Snast Alexander |
11243915 | Method and apparatus for data deduplication | Fang Min; Zheng JiaYang; Zhu GuoFeng |
11243917 | Blockchain implementing reliability database | Kamijoh Kohichi |
11243919 | Preparing high-quality data repositories sets utilizing heuristic data analysis | Bartlett Neil E.; Statchuk Craig A. |
11243923 | Computing the need for standardization of a set of values | Kabra Namit; Saillet Yannick |
11243924 | Computing the need for standardization of a set of values | Kabra Namit; Saillet Yannick |
11243936 | Selectively requesting updated data values | Iyengar Arun |
11243945 | Distributed database having blockchain attributes | Govindarajan Chander; Jayachandran Praveen; Natarajan Senthilnathan; Viswanathan Balaji |
11243954 | Method to automatically join datasets with different geographic location naming conventions | Luo Lin; Sun Changying; Wills Graham; Mostafa Mohammed |
11243955 | Latent token representations for passage and answer scoring in question answering systems | Ackermann Christopher F.; Beller Charles E.; Boxwell Stephen A.; Katz Edward G.; Summers Kristen M. |
11243961 | Complex query optimization | Liu Ya Qiong; Huang Xue; Liu Ping; Cui Lei; Pan Ying Qi |
11243980 | Monotonic transactions in a multi-master database with loosely coupled nodes | Barber Ronald J.; Grosman Ronen; Pirahesh Mir Hamid; Raman Vijayshankar; Storm Adam J. |
11243982 | Immutable partitioning for databases | Hoeppner Trent; Jiang Peng Hui |
11243986 | Method for proactive trouble-shooting of provisioning workflows for efficient cloud operations | Jagannathan Anupama; Rajamani Karthick; Gattiker Anne Elizabeth |
11243988 | Data curation on predictive data modelling platform | Saranathan Sundar; Fokoue-Nkoutche Achille B.; Lacoste Alix |
11243991 | Contextual help recommendations for conversational interfaces based on interaction patterns | Fincun Catherine Leavenworth; Johnston Ashley Golen; Murray Collin James; Benvie Adam; Shahriaree Nina |
11244007 | Automatic adaption of a search configuration | Kussmaul Timo; Hansmann Uwe K. |
11244011 | Ingestion planning for complex tables | Bastide Paul R.; Broomhall Matthew E.; Byron Donna K.; Loredo Robert E. |
11244013 | Tracking the evolution of topic rankings from contextual data | Helander Mary E.; Ray Emily A.; Lethif Nizar; Branquinho Teresa Maria Joana Sofia; Varshney Kush R.; Lamba Hemank |
11244040 | Enforcement of password uniqueness | Tkaczyk Gregory M. J. H.; Rodriguez Mahecha Juan Hernan; Padilha Krishna Oliveira De Hollanda |
11244041 | Dynamic password generation using morphological groups | Orozco Cervantes Humberto; Garcia Delgado Carolina; Llamas Virgen Paul; Garcia Saavedra Vladimir |
11244057 | Value based information tracking for security enforcement | Pistoia Marco; Tripp Omer; Ferrara Pietro; Tsankov Petar |
11244059 | Blockchain for managing access to medical data | Yoon Woong A.; Casella Alex |
11244069 | Controlling combination of information submitted to computing systems | Piccinini Sandro; Bagarolo Antonio; Cammisa Maximiliano; Imperia Marco; Mascolo Montenero Pasquale Maria; Ottaviano Paolo |
11244079 | Data detection mitigation in printed circuit boards | Cocchini Matteo; Dragone Silvio; Oggioni Stefano Sergio; Busby James; Santiago-Fernandez William |
11244080 | Project content from flexible display touch device to eliminate obstruction created by finger | Rakshit Sarbajit K.; Ganci, Jr. John M.; Keen Martin G.; Bostick James E. |
11244091 | Missing sensor value estimation | Liu Liang; Qu Junmei; Sha Hong Zhou; Zhuang Wei |
11244096 | Simulating operation of an electronic device tracing using port mirroring | Becht Michael James; Colonna Christopher J.; Guendert Stephen Robert; Catalano Pasquale A. |
11244113 | Evaluating evidential links based on corroboration for intelligence analysis | Adderly Darryl M.; Allen Corville O.; Tucker Robert K. |
11244116 | Automatically bootstrapping a domain-specific vocabulary | Bull Brendan; Felt Paul Lewis; Hicks Andrew G. |
11244117 | Automatic message pre-processing | Ciano Giuseppe; Curzi Francesca |
11244122 | Reformatting of context sensitive data | Hsu Yu-Ning; Liao Elaine I H; Lin Chih-Yuan; Yu Cheng-Yu |
11244123 | Addressing additional meanings resulting from language translation | Bellrose Schayne; Catalano Pasquale A.; Chuaypradit Prach Jerry; Crimmins Andrew Gerald; Lane Preston; Lapointe Michael; Wisniewski Francesca |
11244161 | Managing tree risk | Appel Ana Paula; De Freitas Cunha Renato Luiz; Amadeu Ariane Hernandes; Souza Guilherme Custodio de |
11244162 | Automatic identification of relationships between a center of attention and other individuals/objects present in an image or video | Trim Craig M.; Rakshit Sarbajit K.; Povar Victor; Katahira Reinaldo T. |
11244166 | Intelligent performance rating | Zhang Zhen; Zheng Xin; He Hai; Li Ji Dong; Lu Xiaowan |
11244198 | Input partitioning for deep learning of large image data | Zhang Li |
11244203 | Automated generation of structured training data from unstructured documents | Zhong Peter; Jimeno Yepes Antonio Jose; Tang Jianbin |
11244224 | Comparing time series data using context-based similarity | Bordawekar Rajesh; Ho Tin Kam |
11244227 | Discrete feature representation with class priority | Asai Masataro |
11244236 | Entity resolution for the Internet of Things | Krauss Kirk J. |
11244241 | Quantum device facilitating a cross-resonance operation in a dispersive regime | Gambetta Jay Michael; Chow Jerry M.; Magesan Easwar; Kandala Abhinav; Minev Zlatko K. |
11244253 | Risk profiling for enterprise risk management | Foley Simon N.; Moss, III Harold B. |
11244279 | Automated inventory replenishment | Essenmacher Michael D.; Cocuzza Anthony M.; Tilley Nancy S.; Young Janice M.; Chan Yuk L. |
11244280 | Reducing food waste by using a machine learning model | Danducci Angelo; Ramos Igor; Nguyen Denny |
11244288 | Task reminder generator | Gao Jun Hua; Wang Cheng Fang; Ye Guang Yu; Zhang Jing Jing; Zhang Xue Yong; Zhao Xue Liang; Zhu Xi Bo |
11244311 | Decentralized smart resource sharing between different resource providers | Luo Lan; Zhang Jian; Yin Jian Dong; Xia Li; Chen Li Long; Liu Pei Jian; Zhang Jin; Liu Yan; Yu Ye; Su Mei Rui; Teddy Xu |
11244316 | Biometric token for blockchain | Darnell Shelby Solomon; Nandakumar Karthik; Pankanti Sharathchandra; Ratha Nalini K. |
11244362 | Measuring user expertise utilizing networked sensing devices | Sequeira Sonia L.; Mahajan Sachin K.; Sivaswamy Hemant Kumar |
11244372 | Remote determination of a suitable item | Bhatt Sonal; Sequeira Sonia Lynette; Sivaswamy Hemant Kumar |
11244381 | Collaborative virtual reality computing system | Kline Eric Vance; Rakshit Sarbajit Kumar |
11244525 | Authentication security | Yadav Saurabh; Nagar Raghuveer Prasad; Kumar Arvind |
11244574 | Operation of an aerial drone inside an exclusion zone | Gordon Michael S.; Kozloski James R.; Kundu Ashish; Malkin Peter K.; Pickover Clifford A. |
11244575 | Providing targeted, evidence-based recommendations to improve content by combining static analysis and usage analysis | Chetlur Malolan; Dey Prasenjit; Mohania Mukesh K.; Neti Chalapathy; Nitta Satyanarayana V.; Schloss Robert J.; Sengupta Bikram |
11244684 | Communication agent to conduct a communication session with a user and generate organizational analytics | Malpani Ravi |
11244704 | Magnetic recording tape having resilient substrate | Bradshaw Richard |
11244707 | Optimized re-inventory for a tape library | Abe Atsushi; Tsuruta Kazuhiro |
11244723 | Device for high dimensional encoding | Karunaratne Kumudu Geethan; Le Gallo-Bourdeau Manuel; Cherubini Giovanni; Sebastian Abu; Rahimi Abbas; Benini Luca |
11244743 | Adaptive weighting of similarity metrics for predictive analytics of a cognitive system | Han Yanyan; Bao Sheng Hua; Gao Xiaoyang; Dreher Brian S.; Spangler William S.; Wang Feng |
11244746 | Automatically associating user input with sections of an electronic report using machine learning | Reicher Murray A.; Sati Marwan M.; Bronkalla Mark D.; Trambert Michael |
11244754 | Artificial neural network combining sensory signal classification and image generation | Levanony Dana; El-Hay Tal; Hexter Efrat |
11244755 | Automatic generation of medical imaging reports based on fine grained finding labels | Syeda-Mahmood Tanveer; Wong Chun Lok; Wu Joy Tzung-yu; Gur Yaniv; Pillai Anup; Jadhav Ashutosh; Kashyap Satyananda; Moradi Mehdi; Karargyris Alexandros; Wang Hongzhi |
11244850 | On integrated circuit (IC) device simultaneously formed capacitor and resistor | Liang Jim Shih-Chun; Li Baozhen; Yang Chih-Chao |
11244853 | Fully aligned via interconnects with partially removed etch stop layer | Motoyama Koichi; Cheng Kenneth Chun Kuen; Park Chanro; Yang Chih-Chao |
11244854 | Dual damascene fully aligned via in interconnects | Cheng Kenneth Chun Kuen; Motoyama Koichi; Park Chanro; Yang Chih-Chao |
11244859 | Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line | Motoyama Koichi; Peethala Cornelius Brown; Penny Christopher J.; Lanzillo Nicholas Anthony; Clevenger Lawrence A. |
11244860 | Double patterning interconnect integration scheme with SAV | Chen Shyng-Tsong; Spooner Terry A.; Motoyama Koichi; Yang Chih-Chao |
11244861 | Method and structure for forming fully-aligned via | Xie Ruilong; Waskiewicz Christopher J.; Yang Chih-Chao; Huang Huai |
11244864 | Reducing parasitic capacitance within semiconductor devices | Xie Ruilong; Vega Reinaldo; Reznicek Alexander; Cheng Kangguo |
11244869 | Fabrication of logic devices and power devices on the same substrate | Li Juntao; Cheng Kangguo; Jiang Liying; Gaudiello John G. |
11244870 | Maskless top source/drain epitaxial growth on vertical transport field effect transistor | Lee ChoongHyun; Mochizuki Shogo; Ok Injo; Seo Soon-Cheon |
11244872 | FinFET complementary metal-oxide-semiconductor (CMOS) devices | Yamashita Tenko; Zhang Chen; Wu Teresa Jacqueline |
11244897 | Back end of line metallization | Park Chanro; Motoyama Koichi; Cheng Kenneth Chun Kuen; Ghosh Somnath; Yang Chih-Chao |
11244907 | Metal surface preparation for increased alignment contrast | Zhou Tianji; Sharma Saumya; Metzler Dominik; Yang Chih-Chao; Standaert Theodorus E. |
11244917 | Multilayer pillar for reduced stress interconnect and method of making same | Jadhav Virendra R.; Semkow Krystyna W.; Srivastava Kamalesh K.; Sundlof Brian R. |
11244999 | Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS back-end | Frank Martin M.; Ando Takashi; Sun Xiao; Han Jin Ping; Narayanan Vijay |
11245009 | Asymmetric channel FinFETs with wrap around channel | Reznicek Alexander; Zhang Jingyun |
11245020 | Gate-all-around field effect transistor having multiple threshold voltages | Bao Ruqiang; Guillorn Michael A.; Hook Terence; Robison Robert R.; Vega Reinaldo; Yamashita Tenko |
11245025 | Gate last vertical transport field effect transistor | Lee Choonghyun; Seo Soon-Cheon; Ok Injo; Reznicek Alexander |
11245027 | Bottom source/drain etch with fin-cut-last-VTFET | Li Tao; Seshadri Indira; Felix Nelson; Miller Eric |
11245075 | Optimum warp in organic substrates | Sri-Jayantha Sri; Khanna Vijayeshwar; Sharma Arun; Dang Hien |
11245134 | Lithium energy storage device with composite anode | Collins John; Afzali-Ardakani Ali; Papalia John M.; Sadana Devendra K. |
11245238 | Tool for shaping contact tab interconnects at a circuit card edge | Younger Timothy P.; Lewis Theron L.; Bielick James D.; Bennett Jennifer; Braun David J.; Bartsch Tim; Dangler John R.; Hugo Stephen M. |
11245521 | Reverting from a new security association to a previous security association in response to an error during a rekey operation | Hathorn Roger G.; Driever Patricia G.; Colonna Christopher J.; Zee Mooheng; Flanagan John R.; Crasta Alol Antony; Welsh Mikel William |
11245636 | Distributing computing resources based on location | Tortosa Andrea; Bombacino Vinicio; Rinaldi Elisabetta; Bernardini Gianluca |
11245638 | Joint control of communication and computation resources of a computerized system | Gusat Mitch; Chen Yiyu; Ozkaya Ilter; Cevrero Alessandro |
11245639 | Composition of persistent object instances linking resources across multiple, disparate systems | Leff Avraham; Rayfield James T.; Topkara Umut; Weisz Justin D. |
11245642 | Providing an optimal resource to a client computer via interactive dialog | Aharonov Rotem; Ahuja Salil; Akkiraju Rama K. T.; Amid David; Anaby-Tavor Ateret; Leonard Jason M.; Mason Mitchell |
11245648 | Cognitive management of context switching for multiple-round dialogues | Yuan Zhong Fang; Li Yuan Yuan; Wang Yi Ming; Liu Xin; Liu Tong; Kong De Shuo |
11245649 | Personalized low latency communication | Abali Bulent; Franceschini Michele M.; Jagmohan Ashish; Lastras-Montano Luis A.; Soares Livio |
11245654 | System and method to create global conversation thread across communication channels | Muthuswamy Srinivasan S.; Das Subhendu; Patnaik Yashodara M.; Ravindra Negandhi Vrunda |
11245655 | Facilitating message processing at a target endpoint | Barrs, II John W.; Hudson Michael J. |
11245716 | Composing and applying security monitoring rules to a target environment | Roelofs Thomas M.; Pranam Codur S. |
11245727 | Adaptive identity broker for governance of decentralized identities across multiple heterogeneous identity networks | Singh Kapil Kumar; Payne Joshua F.; Kundu Ashish; Natarajan Arjun |
11245735 | Screen-sharing content reconfiguration | Kwatra Shikhar; Keen Martin G.; Fox Jeremy R. |
11245748 | Proxied nodes in a container orchestration environment for scalable resource allocation | Hannon Harold |
11245750 | File server load balancing | Nagai Shingo; Kawai Yutaka; Miwa Yohichi |
11245756 | Sparse peer with transient participation | Viswanathan Balaji; Narayanam Krishnasuri; Natarajan Senthilnathan; Pandit Vinayaka |
11246496 | Heart rate and blood pressure monitoring biosensors | Di Achille Paolo; Gurev Viatcheslav; Rice John J.; Sakuma Katsuyuki |
11246539 | Automated detection and type classification of central venous catheters | Subramanian Vaishnavi; Wang Hongzhi; Syeda-Mahmood Tanveer; Wu Joy Tzung-yu; Wong Chun Lok |
11247825 | Package impact indicator(s) registering location and elapsed time from impact | Ward Brett; Green William J.; Foshee Callum |
11248922 | Personalized social navigation coach | Clark Adam T.; Payton Aspen L.; Huebert Jeffrey K.; Petri John E. |
11248930 | Microclimate wind forecasting | Pandit Sushain; Wang Fang; Liu Su; Xu Cheng |
11249481 | Waterborne parcel transport | Kline Eric Vance; Rakshit Sarbajit Kumar |
11249644 | Magnetic tape integration with distributed disk file systems | Sarafijanovic Slavisa; Petermann Martin; Zou Bo; Yin Jin; Shao Feng; Lu Jiong; Wang Ning |
11249667 | Storage performance enhancement | Zhou Hong; Lyu Gang; Gu Jun |
11249711 | Mobile device application view management | Feltham Andrew S.; Maple Elizabeth J. |
11249736 | AI-assisted UX design evaluation | Huang Lei; Jiang Shun; Yin Peifeng; Megahed Aly; Liu Eric; Ren Guangjie |
11249743 | Data analytics to determine software maintenance advisory using input data | Cannon Gray; Stelmar Netto Marco Aurelio; Thajudeen Ashraf Ali; Baughman Aaron K. |
11249757 | Handling and fusing load instructions in a processor | Lloyd Bryan; Thompto Brian W.; Nguyen Dung Q.; Levenstein Sheldon Bernard; Barrick Brian D.; Zoellin Christian Gerhard |
11249760 | Parameter management between programs | Lu Jing; Zhang Bao; Li Naijie; Fu Jin Hong; Mehta Kershaw |
11249776 | Directed interrupt virtualization with running indicator | Kraemer Marco; Raisch Christoph; Nerz Bernd; Schmidt Donald William; Klein Matthias; Junghans Sascha; Driever Peter Dana |
11249778 | Migrating virtual machines based on relative priority of virtual machine in the context of a target hypervisor environment | Bernal Edward R.; Mathew Saju; Nativio Jose A.; Quirk Aaron J.; Viera Giovanni |
11249789 | Network performance optimization in a hypervisor-based system | Zhou Mo; Jing He; Zhu XingYu; Zhu Yi Jing |
11249794 | Client controlled transaction processing involving a plurality of participants | Convery Robert M.; Edwards Andrew S.; Holder Martin R.; Tunnicliffe Michael J.; Xu Shanna |
11249798 | Personalized timeout control | Sadacharam Saravanan; Ekambaram Vijay; Ananthapur Bache Vijay Kumar; Bera Jhilam; Paul Ranajoy; Roy Rahul; Mukherjee Biswanath |
11249804 | Affinity based optimization of virtual persistent memory volumes | Stanton David Anthony Larson; Jacobs Stuart Zachary; Armstrong Troy David; Heyrman Peter J. |
11249809 | Limiting container CPU usage based on network traffic | Tang Gang; Jing He; Zhu XingYu; Zhou Mo; Xian Ming Shuang |
11249815 | Maintaining two-site configuration for workload availability between sites at unlimited distances for products and services | Bourbonnais Serge; Cadarette Paul M.; Fitzpatrick Michael G.; Petersen David B.; Vance Gregory W. |
11249826 | Link optimization for callout request messages | Blackman Kenneth R.; Cameron David A.; Johnson Benjamin P.; Yuan Jack C. |
11249880 | Debugging and simulating application runtime execution | Li Chun Ling; Chen Jing; Jiang Wei; Zhang Xiaopeng; Wang Yun; Liu Zhen |
11249927 | Directed interrupt virtualization | Raisch Christoph; Kraemer Marco; Schmidt Donald William; Nerz Bernd; Lehnert Frank Siegfried; Driever Peter Dana |
11249943 | Scalable enterprise content management | Jiao Li Mei; Qiu Yun Jie; Reimer James A.; Shi Ju Wei; Taylor Paul S.; Wang Chen; Yang Xiao Yang |
11249945 | Cognitive data descriptors | Bivens John A.; Cota Jozef; Kozloski James R.; Pickover Clifford A. |
11249949 | Batch processing | Sun Hong Wei; Jia Bin; Shen Yan Rong; Jia Xi Xue; Wang Shuai |
11249960 | Transforming data for a target schema | Dean Daniel; Rodgers Checed A.; Li Dingcheng; Liu Pei Ni; Liu Xiao Xi; Lei Hui; Gu Yu; Xu Jing Min; Ruan Yaoping |
11249967 | Database access performance improvement | Kumar Nirmal; Kedia Pravin Kailashnath; Mehrotra Gaurav; Bhat Jagadisha |
11249980 | Updating of in-memory synopsis metadata for inserts in database table | Finnie James L; Lightstone Sam S; Sidle Richard S; Storm Adam J. |
11249983 | Transaction change data forwarding | Kumar Nirmal; Arra Venkat R.; Bharadwaj, V Rashmi |
11249996 | Query adaptation for a search service in a content management system | Kussmaul Timo; Hansmann Uwe |
11250001 | Accurate partition sizing for memory efficient reduction operations | Barber Ronald J.; Kulandaisamy Vincent; Lightstone Sam S.; Lohman Guy M.; Pandis Ippokratis; Raman Vijayshankar; Stager Gregory R.; Young Wayne J.; Zhang Liping |
11250002 | Result set output criteria | Konik Rafal P.; Mittelstadt Roger A.; Muras Brian R.; Olstad Chad A. |
11250014 | Generating previews of possible join relationships | St-Aubin Zoe A.; Hatfield Robert Kenneth; Breedvelt-Schouten Ilse M.; Taha Yasmin |
11250021 | Faster view change for blockchain | Manevich Yacov; Barger Artem; Meir Hagar; Tock Yoav |
11250037 | Location based data mining comparative analysis index | Ammanamanchi Sasikanth B; Iyer Sreekanth; Palat Manoj; Vajipayajula Sulakshan |
11250044 | Term-cluster knowledge graph for support domains | Chen Zhiyu; Deng Yu; Mahindru Ruchi; Rosu Daniela; Tao Shu |
11250067 | Presenting anticipated user search query results prompted by a trigger | Lakshmanan Geetika T.; Oberhofer Martin A. |
11250085 | User-specific summary generation based on communication content analysis | Bastide Paul R.; Broomhall Matthew E.; Loredo Robert E.; Harpur Liam S. |
11250086 | Visualization and augmentation of human knowledge construction during material consumption | Netto Marco A. S.; Santana Vagner F. D. |
11250096 | Navigation for screen readers using data analytics | Reynolds Spencer Thomas; Tonev Raden; Lankford Adam Thomas; Tyner Ty; Horwitz Randall |
11250107 | Method for interfacing with hardware accelerators | Piveteau Christophe; Ioannou Nikolas; Krawczuk Igor; Le Gallo-Bourdeau Manuel; Sebastian Abu; Eleftheriou Evangelos Stavros |
11250141 | Securely storing data in an elastically scalable dispersed storage network | Leggette Wesley B.; Motwani Manish; Ober Brian F.; Resch Jason K. |
11250159 | Secure data monitoring utilizing secure private set intersection | Kramer Dennis; Linton Jeb R.; Amisano Michael; Wright David K.; Melchionne John; Behnken John |
11250173 | Management of digital copies of registrations using mobile devices | Gisolfi Daniel A.; Redpath Richard |
11250190 | Simulating quantum circuits | Pednault Edwin Peter Dawson; Gunnels John A. |
11250204 | Context-aware knowledge base system | Ganhotra Jatin; Wu Cheng |
11250205 | Performance characteristics of cartridge artifacts over text pattern constructs | Fatma Ishrat; Nayak Sandhya; Carrier Scott |
11250213 | Form-based transactional conversation system design | Freed Andrew R.; Allen Corville O.; Kozhaya Joseph; Kwatra Shikhar |
11250215 | Form-based transactional conversation system design | Freed Andrew R.; Allen Corville O.; Kozhaya Joseph; Kwatra Shikhar |
11250216 | Multiple parallel delineated topics of a conversation within the same virtual assistant | Demme Danielle Marie; Roach Thomas Lynden; Desmarais Christopher; McGregor Blake; Winters Ethan James |
11250219 | Cognitive natural language generation with style model | Plant Laurence; Harrer Stefan; Costello Sean Rory; Cleaver James David |
11250313 | Autonomous trading with memory enabled neural network learning | Dasgupta Sakyasingha; Harry Putra Rudy R. |
11250315 | Electrochemical device of variable electrical conductance | Bragaglia Valeria; Ruch Patrick; La Porta Antonio; Fompeyrine Jean; Abel Stefan |
11250316 | Aggregate adjustments in a cross bar neural network | Leobandung Effendi; Ren Zhibin; Kim Seyoung; Solomon Paul Michael |
11250332 | Automated distractor generation by performing disambiguation operations | Akolkar Rahul P.; Farinelli Kristi A.; Prabhu Srijith N.; Sharpe, III Joseph L.; Slawson Bruce R. |
11250358 | Asset management expert peer identification | Harding Kyle; O'Gorman Jonathan Tristan; Garrett Brian Russell; Budhiraja Sarika; Donatelli Alessandro |
11250436 | Index of usability for a replacement payment card | Goldberg Itzhack; Hamilton, II Rick A.; Mullen Shawn P.; Pickover Clifford A. |
11250468 | Prompting web-based user interaction | Naphade Deepti M.; Lagares-Greenblatt Heidi; Chan Yuk L. |
11250485 | Filtering digital images stored on a blockchain database | Fox Jeremy R. |
11250527 | Providing near real-time and effective litigation management for multiple remote content systems using asynchronous bi-directional replication pipelines | Raphael Roger C.; Rathgeber Ronald L.; Desai Rajesh M.; Valencia Gabriel; Perez Justo; Belknap William Russell; Basireddy Sudhakar |
11250536 | Image capture prevention | Werner John S.; Tsfasman Arkadiy O.; Chernega Gary |
11250602 | Generating concept images of human poses using machine learning models | Bharadwaj Samarth; Chemmengath Saneem; Samanta Suranjana; Sankaranarayanan Karthik |
11250635 | Automated provisioning of three-dimensional (3D) printable images | Ba Amadou; Ploennigs Joern |
11250719 | Generating and rating user assessments | Deshmukh Abhijit; Perumalla Saraswathi Sailaja; Karri Venkata Vara Prasad |
11250872 | Using closed captions as parallel training data for customization of closed captioning systems | Thomas Samuel; Huang Yinghui; Suzuki Masayuki; Tueske Zoltan; Sansone Laurence P.; Picheny Michael A. |
11250933 | Adaptive weighting of similarity metrics for predictive analytics of a cognitive system | Han Yanyan; Bao Sheng Hua; Gao Xiaoyang; Dreher Brian S.; Spangler William S.; Wang Feng |
11250937 | System and method to share and utilize healthcare data | Malvankar Abhishek; Pujar Saurabh; Epstein Edward A.; Degenaro Louis; Lewis Burn |
11250939 | Managing personalized substance administration | Ranchal Rohit; Lu Fang; Bastide Paul R.; Covell Grant |
11250948 | Searching and detecting interpretable changes within a hierarchical healthcare data structure in a systematic automated manner | Li Ta-Hsin; Yuen-Reed Gigi Y. C.; Jiang Huijing; Tran Kevin N.; Kelley Bob; Halvorson Thomas |
11250958 | Systems and techniques for recommending personalized health care based on demographics | Asthana Shubhi; Strong, Jr. Hovey R. |
11251094 | Oxygen vacancy passivation in high-k dielectrics for vertical transport field effect transistor | Lee Choonghyun; Ando Takashi; Reznicek Alexander; Zhang Jingyun |
11251126 | Replacement metal cap by an exchange reaction | Kelly James J.; Peethala Cornelius Brown |
11251160 | Manufacturing of flip-chip electronic device with carrier having heat dissipation elements free of solder mask | Oggioni Stefano; Brunschwiler Thomas; Schlottig Gerd |
11251179 | Long channel and short channel vertical FET co-integration for vertical FET VTFET | Hook Terence B.; Li Baozhen; Peterson Kirk David; Wang Junli |
11251182 | Staggered stacked vertical crystalline semiconducting channels | Kang Tsung-Sheng; Li Tao; Rahman Ardasheir; Joseph Praveen; Seshadri Indira; De Silva Ekmini Anuja |
11251185 | Stacked complementary junction FETs for analog electronic circuits | Balakrishnan Karthik; Hekmatshoartabari Bahman; Reznicek Alexander; Yau Jeng-Bang |
11251267 | Vertical transistors with multiple gate lengths | Bi Zhenxing; Cheng Kangguo; Xu Peng; Xu Zheng |
11251280 | Strained nanowire transistor with embedded epi | Wu Heng; Zhang Chen; Cheng Kangguo; Miao Xin; Yu Lan |
11251285 | Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices | Bao Ruqiang; Jagannathan Hemanth; Jamison Paul C.; Lee ChoongHyun |
11251287 | Self-aligned uniform bottom spacers for VTFETS | Xie Ruilong; Jagannathan Hemanth; Strane Jay William; Miller Eric |
11251288 | Nanosheet transistor with asymmetric gate stack | Xie Ruilong; Radens Carl; Cheng Kangguo; Li Juntao; Guo Dechao; Li Tao; Kang Tsung-Sheng |
11251301 | Cross-bar vertical transport field effect transistors without corner rounding | Kang Tsung-Sheng; Xie Ruilong; Li Tao; Reznicek Alexander |
11251304 | Wrap-around bottom contact for bottom source/drain | Wang Junli; Reznicek Alexander; Xie Ruilong; Doris Bruce B. |
11251355 | Resonance frequency adjustment for fixed-frequency qubits | Stoeferle Thilo Hermann Curt; Janett Andreas Fuhrer; Filipp Stefan |
11251360 | MTJ capping layer structure for improved write error rate slopes and thermal stability | Gottwald Matthias Georg |
11251362 | Stacked spin-orbit-torque magnetoresistive random-access memory | Wu Heng; Frougier Julien; Xie Ruilong; Zhang Chen |
11251368 | Interconnect structures with selective capping layer | Zhou Tianji; Sharma Saumya; Dutta Ashim; Yang Chih-Chao |
11251370 | Projected memory device with carbon-based projection component | Syed Ghazi Sarwat; Jonnalagadda Vara Sudananda Prasad; Kersting Benedikt; Le Gallo-Bourdeau Manuel; Sebastian Abu |
11251938 | Blockchain verification using non-consecutive blocks | Irazabal Jeronimo |
11251979 | Control of information units for encryption | Hathorn Roger G.; Driever Patricia G.; Colonna Christopher J.; Flanagan John R. |
11251987 | Modification of device settings based on user abilities | Keohane Susann Marie; Johlic Marc Kevin; Snider Sharon D.; Mueller Mary Jo; Shaik Khwaja Jawahar Jahangir |
11252117 | Electronic communication message coaching service | Mukherjee Maharaj; Lenchner Jonathan |
11252159 | Cognitive access control policy management in a multi-cluster container orchestration environment | Kannan Priya; Mohammed Shajeer K.; Subramaniam Kavitha |
11252202 | Support request assignment using pace of conversation | Candello Heloisa Caroline De Souza Pereira; Vasconcelos Marisa Affonso; Tirapu Azpiroz Jaione; Stelmar Netto Marco Aurelio |
11252220 | Distributed code execution involving a serverless computing infrastructure | Nauerz Andreas; Bickel Christian; Thoemmes Markus; Rueger Erik |
11252232 | NVME-of queue management in host clusters | Patel Kushal; Patel Sarvesh S.; Roy Subhojit |
11252247 | Dynamic streaming content buffering based on user interest | Ghuge Deepak R.; Eda Sasikanth; Patil Sandeep Ramesh; Eda Manojkiran |
11252277 | Dynamic anti-disturb techniques in telephony | Liang Yong Fang; Wang Yi Bin; Zhou Ya Pei; Cao Ting; Zhang Li Ni |
11253213 | Vascular dissection detection and visualization using a superimposed image | Bronkalla Mark D.; Graf Ben; Sitek Arkadiusz; Xie Yiting |
11255685 | Real-time route determination based on localized information | Figueredo de Santana Vagner; Britto Mattos Lima Andrea; Brito Veiga Diego; Gonzalez Pablo |
11255769 | Up-concentration and size sorting of nanoparticles in microfluidic devices | Knoll Armin; Ruggeri Francesca; Schwemmer Christian Michael |
11256284 | Integrated skew control | Arp Andreas; Hertwig Andre; Koch Michael; Ringe Matthias |
11256470 | Sound management in edgeless flexible display device | Adoni Siddique M.; Rakshit Sarbajit K. |
11256507 | Thread transition management | Abernathy Christopher M.; Brown Mary D.; Eisen Susan E.; Kahle James A.; Le Hung Q.; Nguyen Dung Q. |
11256509 | Instruction fusion after register rename | Silberman Joel A.; Sinharoy Balaram |
11256511 | Instruction scheduling during execution in a processor | Lichtenau Cedric; Payer Stefan; Schelm Kerstin Claudia; Saporito Anthony; Alexander Gregory William |
11256531 | Isolating physical processors during optimization of VM placement | Somasundaram Muruganandam; Kubala Jeffrey Paul; Lederer Seth E.; Chan Jeffrey G.; Moody Jerry A. |
11256538 | Directed interrupt virtualization with interrupt table | Kraemer Marco; Raisch Christoph; Schmidt Donald William; Nerz Bernd; Lehnert Frank Siegfried; Driever Peter Dana |
11256584 | One-step disaster recovery configuration on software-defined storage systems | Barzik Zah; Chen Lior; Koren Eli; Matosevich Rivka M.; Snast Alexander |
11256597 | Ensemble approach to alerting to model degradation | Salkeld Eliza; Wang Weichen; Lu Lucy; Hayes Shanna |
11256598 | Automated selection of performance monitors | Jha Saurabh; Omokpo Amos A.; Rajamani Karthick; Ramasamy HariGovind Venkatraj |
11256693 | GraphQL management layer | Wittern John E.; Laredo Jim A. |
11256713 | Virtual transaction queues for database replication | Seelemann, II Dirk Alexander; Lepniakou Dzmitry; Jory Michael |
11256716 | Verifying mirroring of source data units to target data units | Shackelford David Michael; Shehab Nadim P.; Thompson John G.; Diel Eduard Aaron; Giang Anthony H. |
11256728 | Positional route guidance | Arokiaraj Francis S.; Bates Cary L.; Mohapatra Premananda; Nayak Sachin M.; Valmeti Sreenivasulu |
11256744 | Method, apparatus and software for differentiating two or more data sets having common data set identifiers | Bhattal Amardeep S.; Couldwell Malcolm A.; Crocker Peter T.; Kufluk Bernard Z. |
11256852 | Converting portions of documents between structured and unstructured data formats to improve computing efficiency and schema flexibility | Lashley Scott D.; Miller, III John F.; Murthy Raghupathi K. |
11256862 | Cognitive collation configuration for enhancing multilingual data governance and management | Liu Su; Tzen Boyi; Yang Fan; Genty Denise M. |
11256872 | Natural language polishing using vector spaces having relative similarity vectors | Wang Yuan Yuan; Sun ShengYan; Yang Jin Fang; Guo Yan Peng |
11256879 | Translation synthesizer for analysis, amplification and remediation of linguistic data across a translation supply chain | Martinez Corria Alejandro; Pont Nesta Santiago; Rodríguez Magro Consuelo; Rojas Francis X.; Traudt Linda F.; Vohra Saroj K. |
11256883 | Embedded sensor chips in polymer-based coatings | Afzali-Ardakani Ali; Alemzadeh Homa; Ashoori Maryam; Hekmatshoartabari Bahman; Khabiri Elham |
11257001 | Prediction model enhancement | Liu Lu; Tan Sia Kai Julian; Dore, II Kevin A.; Hurley, Jr. Steven |
11257029 | Pickup article cognitive fitment | Li Jialin; Nanjundaswamy Pradeep K.; Sundararajan Srikanth; Tengtrakool Danai |
11257110 | Augmenting missing values in historical or market data for deals | Fukuda Mari A.; Gajananan Kugamoorthy; Jiang Shun; Megahed Aly; Nakamura Taiga; Smith Mark A. |
11257127 | Cognitive and contextual queue management | DeLuca Lisa Seacat; Greenberger Jeremy Adam; Kozloski James Robert |
11257172 | Cognitive based decision support system for agriculture | Bender Michael; Bhat Gautam K.; Childress Rhonda L.; Muthurajan Nalini |
11257222 | Iterative approach for weakly-supervised action localization | Gan Chuang; Zhang Yang; Liu Sijia; Wang Dakuo |
11257240 | Accelerated object labeling using prior geometric knowledge | Chaudhury Subhajit; Kimura Daiki; Munawar Asim; Tachibana Ryuki |
11257362 | Determining traffic congestion patterns | Xu Jing; Yang Xiaoyang; Yang Ji Hui; Wang Jun; Xu Jing James |
11257501 | Identity verification system using voice recognition by an individual | Kartoun Uri; Lu Fang; Park Yoonyoung; Ng Kenney |
11257510 | Participant-tuned filtering using deep neural network dynamic spectral masking for conversation isolation and security in noisy environments | Linton Jeb R.; Samn Jonathan; Bikki Poojitha; Lee Minsik; Sreenivas Satya |
11257571 | Identifying implied criteria in clinical trials using machine learning techniques | Will Eric W; Clark Adam; Wellman Lisa; Glowacki Janice R |
11257592 | Architecture for machine learning model to leverage hierarchical semantics between medical concepts in dictionaries | Perera Pathirage D. S. U; Ramakrishnan Cartic; Bao Sheng Hua; Routray Ramani |
11257681 | Using a same mask for direct print and self-aligned double patterning of nanosheets | Sieg Stuart; Dechene Daniel James; Miller Eric |
11257716 | Self-aligned gate cap including an etch-stop layer | Belyansky Michael P.; Bergendahl Marc; Chan Victor W. C.; Shearer Jeffrey C. |
11257721 | Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages | Bao Ruqiang; Jagannathan Hemanth; Anderson Brent A.; Lee ChoongHyun |
11257750 | E-fuse co-processed with MIM capacitor | Li Baozhen; Yang Chih-Chao; Liang Jim Shih-Chun; Wu Ernest Y. |
11257866 | Integrated reactive material erasure element with phase change memory | BrightSky Matthew J.; Cabral, Jr. Cyril; Rodbell Kenneth P. |
11257934 | Fin field-effect transistors with enhanced strain and reduced parasitic capacitance | Cheng Kangguo; Li Juntao; Lee ChoongHyun; Mochizuki Shogo |
11258132 | Microbattery separator | Andry Paul S.; Lewandowski Eric; Toner Adam; Otts Daniel; Riall James Daniel; Yang Cornelia T. |
11258858 | Multi-device connection management | Liu Su; Browder Demetrice |
11259712 | Wearable reflectance-mode PPG sensor network having improved detected signal strength | Lee Kang-Wook; Narayanan Rajeev |
11260305 | User matching based on active game time | Reeves Peter; Gallagher Aiden J.; Tanna Sachin |
11262088 | Adjusting settings of environmental devices connected via a network to an automation hub | Fuller Cameron; Tucker Emma R.; White Eagle Brian L. |
11262207 | User interface | Watanabe Shoichiro |
11262277 | Test case execution based on weather information | DeLuca Lisa Seacat; Matthews Schuyler Bruce |
11262381 | Device for positioning a semiconductor die in a wafer prober | Torreiter Otto Andreas; Appinger Jörg Georg; Eckert Martin; Trianni Quintino Lorenzo |
11262776 | Modifying regulator output voltage with a peltier device | Berge Layne A.; Dangler John R.; Bjorgaard Jason J.; Schoneck Kyle; Doyle Matthew; Liang Thomas W.; Walther Matthew A. |
11262934 | Deletion of stored data | Ainscow Alexander Henry; Welp Richard Albert |
11262985 | Pretraining utilizing software dependencies | Luo Yan; Shao Liujia; Xu Yan; Fan Sibin |
11262990 | Application topology discovery | Parthasarathy Srinivasan; Hwang Jinho; Wang Qing; Shwartz Larisa; Nidd Michael Elton; Bagehorn Frank; Krchák Jakub; Orumbayev Altynbek; Mýlek Michal; Sandr Ota; Ondrej Tomá{hacek over (s)} |
11262993 | Application binary rewriting to reduce binary attack surface area | Le Michael Vu; Molloy Ian Michael; Tinkhauser Jacob |
11263011 | Compound instruction set architecture for a neural inference chip | Cassidy Andrew S.; Appuswamy Rathinakumar; Arthur John V.; Datta Pallab; Debole Michael V.; Esser Steven K.; Flickner Myron D.; Modha Dharmendra S.; Penner Hartmut; Sawada Jun; Taba Brian |
11263025 | Proactively performing tasks based on estimating hardware reconfiguration times | Noorshams Qais; Spinner Simon; Böwing Norman Christopher; Selig Marco; Parameshwaran Pradeep |
11263037 | Virtual machine deployment | Kumble Ramesh; Belsare Pramod; Lodam Satish |
11263052 | Determining optimal compute resources for distributed batch based optimization applications | Saxena Vaibhav; Basu Saurav; Radhakrishnan Jayaram Kallapalayam; Sabharwal Yogish; Verma Ashish |
11263059 | Load leveler | Fry Jonathan; Penny Christopher J.; Bergendahl Marc; Waskiewicz Christopher J.; Wynne Jean; Demarest James |
11263060 | Dynamic distribution of loads across heterogeneous computing structures in computational rendering | O'Donncha Fearghal; Ragnoli Emanuele; Akhriev Albert |
11263068 | Proximity correction in three-dimensional manufacturing | Briggs Benjamin D.; Clevenger Lawrence A.; Clevenger Leigh Anne H.; Penny Christopher J.; Rizzolo Michael; Sipolins Aldis G. |
11263071 | Enabling symptom verification | Aoyama Nozomu; Arima Toshimichi; Murakami Yukihiro; Tsumura Tadashi |
11263091 | Using inode entries to mirror data operations across data storage sites | Puvvada Venkateswara Rao; Gopalakrishnan Karrthik Kalaga; Kumar Saket; Komanduri Ravi Kumar |
11263094 | Recovering dynamic system data | Choi Patricia Driscoll |
11263097 | Using a track format code in a cache control block for a track in a cache to process read and write requests to the track in the cache | Anderson Kyler A.; Ash Kevin J.; Gupta Lokesh M.; Kalos Matthew J.; Peterson Beth A. |
11263103 | Efficient real-time data quality analysis | Iyengar Arun Kwangil; Bhamidipaty Anuradha; Patel Dhavalkumar C.; Shrivastava Shrey; Zhou Nianjun |
11263113 | Cloud application to automatically detect and solve issues in a set of code base changes using reinforcement learning and rule-based learning | Quemy Alexandre |
11263114 | Method and technique to find timing window problems | Makineedi Sreenivas; Griffith Douglas; Matta Emmanuelle Samir Hanna; Yeung Evelyn Tingmay; Muppala Srinivasa Rao |
11263115 | Problem diagnosis technique of memory corruption based on regular expression generated during application compiling | Liu Guan Jun; Liu Niao Qing; Mi Ai Lian; Wen Jing Jing; Zhou Bei Chun |
11263116 | Champion test case generation | Hicks Andrew; Blue Dale E.; Rawlins Ryan |
11263130 | Data processing for allocating memory to application containers | Hellyer Howard; Pilkington Adam John; Chamberlain Richard |
11263150 | Testing address translation cache | Mendelson Hillel; Kolan Tom; Sokhin Vitali |
11263151 | Dynamic translation lookaside buffer (TLB) invalidation using virtually tagged cache for load/store operations | Campbell David; Lloyd Bryan; Hrusecky David A.; Fernsler Kimberly M.; Stuecheli Jeffrey A.; Guthrie Guy L.; Kirchhoff Samuel David; Cordes Robert A.; Mack Michael J.; Chen Brian |
11263172 | Modifying a particular physical system according to future operational states | Chang Yuan-Chi; Pavuluri Venkata Nagaraju; Subramanian Dharmashankar; Vu Long; Bhattacharjya Debarun; Dinger Timothy Rea |
11263174 | Reducing resource consumption in container image management | Li Guang Cheng; Zheng Yong; Teng Qi Ming; Shen Lin Feng |
11263188 | Generation and management of an artificial intelligence (AI) model documentation throughout its life cycle | Arnold Matthew R.; Bellamy Rachel K. E.; El Maghraoui Kaoutar; Hind Michael; Houde Stephanie; Kannan Kalapriya; Mehta Sameep; Mojsilovic Aleksandra; Raghavendra Ramya; Reimer Darrell C.; Richards John T.; Piorkowski David J.; Tsay Jason; Varshney Kush R.; Kesarwani Manish |
11263192 | Hyper-folding information in a uniform interaction feed | Chang Chiwen; Chang Hsiang; Hsieh Ming Jyh |
11263208 | Context-sensitive cross-lingual searches | Liu Su; Li Li; Li Zhichao; Gibbs Ben |
11263213 | Database statistical histogram forecasting | Bortoletto Felipe G.; Katahira Reinaldo T.; Trim Craig M. |
11263216 | Decentralized aggregation and collection of data from multiple sources | Coleman Andrew J.; Reeve John A.; Dolby Trevor C.; Golby-Kirk Matthew E. |
11263223 | Using machine learning to determine electronic document similarity | Jiang Jian Min; Xu En Liang; Hao Bibo; Xia Eryu; Li Jing; Wang Ke |
11263235 | Database management system and method of operation | Hrle Namik; Martin Daniel; Mohan Chandrasekaran; Spyker James D.; Yao Yongfeng |
11263269 | Expert-system translation of natural-language input into atomic requirements | Sundararajan Mukundan; Srikrishnan Priti; Nayak Kiran |
11263272 | Ranking candidate documents for human annotation task in real-time | Chang Yannan; Maeda Kumiko; Imai Ryo; Matsubara Hiroya; Fukai Takaaki |
11263278 | Triggering personalized search queries based on physiological and behavioral patterns | Cardonha Carlos H.; Netto Marco A. S.; Santana Vagner F. D. |
11263280 | Recalling digital content utilizing contextual data | Deluca Lisa Seacat; Li Jenny S.; Price Dana L.; Quirk Aaron J. |
11263281 | Dynamic web site display customization based on local weather events | Slachta Kyle; O'Young Keshine; Travis Amy; Liu Xinyue |
11263332 | Methods to discourage unauthorized register access | Fredrickson Mark; Albertson Chad; Frei Scott D.; Wheeler David G. |
11263333 | Multi-subject device access authorization | Youssef Alaa S.; Pacifici Giovanni; Pendarakis Dimitrios |
11263337 | Continuous engineering migration of digital twin files from private to open sourced | DeLuca Lisa Seacat; Berti Joseph G. |
11263346 | Detecting sensitive data exposure via logging | Malecki Bartlomiej Tomasz; Oleszkiewicz Maria Hanna; Rutkowski Blazej Rafal; Ryszka Daniel Jakub |
11263398 | Memory preserving parse tree based compression with entropy coding | Bradbury Jonathan D.; Helms Markus; Jacobi Christian; Puranik Aditya N.; Zoellin Christian |
11263402 | Facilitating detection of conversation threads in a messaging channel | Tan Ming; Wang Dakuo; Yu Mo; Gan Chuang; Wang Haoyu; Chang Shiyu |
11263481 | Automated contrast phase based medical image selection/exclusion | Takeuchi Yusuke; Graf Benedikt; Xie Yiting |
11263488 | System and method for augmenting few-shot object classification with semantic information from multiple sources | Schwartz Eliyahu; Karlinsky Leonid; Feris Rogerio Schmidt |
11263516 | Neural network based acoustic models for speech recognition by grouping context-dependent targets | Kurata Gakuto |
11263518 | Bi-scaled deep neural networks | Venkataramani Swagath; Jain Shubham; Srinivasan Vijayalakshmi; Chang Leland |
11263521 | Voltage control of learning rate for RPU devices for deep neural network training | Gokmen Tayfun; Vlasov Yurii A. |
11263524 | Hierarchical machine learning system for lifelong learning | Arel Itamar; Looks Joshua Benjamin |
11263550 | Audit machine learning models against bias | Vasconcelos Marisa Affonso; Cardonha Carlos Henrique |
11263552 | Preparing computing devices in anticipation of predicted user arrival | Garcia Delgado Carolina; Orozco Cervantes Humberto; Meneses Barragan Jorge Adrian; Llamas Virgen Paul |
11263553 | Learning commands and parameters for an interactive command line interface | Gunn Kerry R.; Robinson Keiran W.; Wang Rongxing |
11263589 | Generation of automated job interview questionnaires adapted to candidate experience | Monasor Miguel J.; Domínguez García Moisés |
11263590 | Cognitive assessment of permit approval | Verones John M.; Bender Michael; Hooda Aleem; Rositano Bruno; Gauvreau Samantha; Choudhury Tapan; Pariag Troy |
11263641 | Cognitive operational vehicle blockchain for privileges, licensing, evaluation, authorization, and training | Chantz Hyman |
11263642 | Ensuring compliance in on-premise compute models | Sica Andrew M.; Hackett Charles E.; Nieves Daniel; Chappell Christopher; King Edward A.; Schroeder Dominic |
11263663 | Intercepting inadvertent conversational disclosure of personal information | Gruen Daniel M.; Palmarini Nicola; Choudhury Olivia; Karampourniotis Panagiotis; Sylla Issa; Foreman Morgan |
11263715 | User operational space context map-actuated risk prediction and reduction cognitive suit | Ananthapur Bache Vijay Kumar; Ekambaram Vijay; Murali Srikanth K.; Shesadri Padmanabha Venkatagiri |
11263736 | Mapping and encoding gemological features | Balagurusamy Venkat K.; Dillenberger Donna N. Eng; Ligman Joseph W. |
11263815 | Adaptable VR and AR content for learning based on user's interests | Alam Nebula; Harris Simon; Pervin Shaila; Rawlinson Andrew; Sherchan Wanita |
11263841 | Data storage library with service mode | Gale Ernest S.; Iben Icko E. T.; Jesionowski Leonard G.; Karp James M.; McIntosh Michael P.; Nave Shawn M.; Randall Lee C. |
11263894 | 5G mobile device based regional patrolling over highways | Sivakumar Gandhi; Kwok Lynn; Patel Kushal S.; Patel Sarvesh S. |
11264077 | Redundant voltage regulator for memory devices | Connolly Brian J.; Kim Kyu-Hyoun; Maule Warren E. |
11264103 | Hybrid read voltage calibration in non-volatile random access memory | Papandreou Nikolaos; Pozidis Charalampos; Ioannou Nikolas; Pletka Roman Alexander; Stoica Radu Ioan; Tomic Sasa; Fisher Timothy; Fry Aaron Daniel; Walls Andrew D. |
11264276 | Interconnect integration scheme with fully self-aligned vias | Chen Shyng-Tsong; Spooner Terry A. |
11264306 | Hybrid TIMs for electronic package cooling | Sikka Kamal K.; Chowdhury Piyas Bal; Kelly James J.; Zitz Jeffrey Allen; Iruvanti Sushumna; Li Shidong |
11264314 | Interconnection with side connection to substrate | Miyazawa Risa; Watanabe Takahito; Mori Hiroyuki; Okamoto Keishi |
11264481 | Self-aligned source and drain contacts | Park Chanro; Cheng Kangguo; Xie Ruilong; Li Juntao |
11264554 | High-saturation power Josephson ring modulators | Abdo Baleegh |
11264559 | Multilayered magnetic free layer structure for spin-transfer torque (STT) MRAM | Worledge Daniel; Hu Guohan |
11264569 | Phase change memory device | Ok Injo; Brew Kevin W.; Philip Timothy M.; Sankarapandian Muthumanickam; Mehta Sanjay C.; Saulnier Nicole; Mcdermott Steven M. |
11264829 | Dynamically configuring batteries in a power system | Singer Noah; Mullady Robert K.; Ahladas Steven J. |
11265144 | Consistent ciphertext creation | Sofia Anthony Thomas; Katonica Jason |
11265160 | Virtual memory extension layer for hardware security modules | Schmatz Martin; Rameshan Navaneeth |
11265180 | Concurrent cluster nodes self start | Gass Jason; Thayib Kiswanto; Miller Robert |
11265238 | Bypassing routing stacks using mobile internet protocol | Brabson Roy F. |
11265264 | Systems and methods for controlling process priority for efficient resource allocation | Nakazawa Rina; Ogata Kazunori; Seelam Seetharami R. |
11265277 | Dynamic notification groups | Yegorin Anton; O'Donovan Stephen W. |
11265288 | Using network configuration analysis to improve server grouping in migration | Branch Joel W.; Nidd Michael Elton; Pfitzmann Birgit Monika |
11265303 | Stateless session synchronization between secure communication interceptors | Chen Kuo-Chun; Hsiung Wei-Hsiang; Lee Cheng-Ta; Suen Wei-Shiau; Wu Ming Hsun |
11265305 | Managing anonymous network connections | Linton Jeb R.; Altaf Naeem; Nadhavajhala Sanjay; Sreenivas Satya |
11265348 | Ongoing and on-demand secure verification of audit compliance | Strosaker Michael T.; Nava Sandra P.; Richter Eric; Weiser Jon P.; Hartenbower Matt; Wilson George C. |
11265352 | Artificial intelligence assisted rule generation | Bhatia Aankur; Tummalapenta Srinivas B.; Ngo Huyanh D.; Aguilera Carlos E. |
11266970 | Imaging multiple chemical reactions in a flow cell array | Colgan Evan; Lvov Alexey Y.; Polonsky Stanislav |
11266971 | Imaging multiple chemical reactions in a flow cell array | Colgan Evan; Lvov Alexey Y.; Polonsky Stanislav |
11266972 | Recording emission from multiple chemical reactions sites in a flow cell array | Colgan Evan; Lvov Alexey Y.; Polonsky Stanislav |
11266989 | Immunodetection and separation on nanoDLD | Gifford Stacey; Kim Sung-Cheol; Smith Joshua T.; Wunsch Benjamin Hardy |
11267128 | Online utility-driven spatially-referenced data collector for classification | Borges Oliveira Dario Augusto; Britto Mattos Lima Andrea; Avegliano Priscilla Barreira; Cardonha Carlos Henrique |
11267482 | Mitigating risk behaviors | Monteil Julien; Lassoued Yassine; Cabrero Barros Sergio; Ordonez-Hurtado Rodrigo Hernan; Mevissen Martin; Zhuk Sergiy; Hinds Nigel; Wen Bo; Rogers Jeffrey |
11267936 | Lactide copolymers and ring-opened lactide copolymers | Kobilka Brandon M.; Kuczynski Joseph; Porter Jacob T.; Wertz Jason T. |
11268809 | Detecting and correcting deficiencies in surface conditions for bonding applications | Bennett Jennifer; Bielick James D.; Braun David J.; Younger Timothy P.; Lewis Theron L.; Hugo Stephen M.; Dangler John R.; Bartsch Timothy A. |
11268867 | Strain gauge structure for a sensor | Knickerbocker John U.; Lu Minhua; Sakuma Katsuyuki |
11269302 | System for providing an access area for a data storage library | Miranda Gavillan Jose G.; Goodman Brian G.; Jesionowski Leonard G.; McIntosh Michael P.; Nave Shawn M.; Qiu Kenny Nian Gan |
11269312 | Three dimensional printing vehicle-based auto-correction in a structure | Rakshit Sarbajit K. |
11269351 | Modifying navigation commands | Fox Jeremy R.; Anders Kelley; DeLuca Lisa Seacat; Silverstein Zachary A. |
11269453 | Failed user-interface resolution | Silverstein Zachary A.; Grant Robert Huntington; Covell Jacob Thomas; Zhang Alvin |
11269519 | Queue management in storage systems | Patel Kushal; Srivastava Ankur; Roy Subhojit; Patel Sarvesh S. |
11269525 | Co-processing a plurality of dependent systems with a finite number of processing threads | Wilcock Glenn Randle; Redko Alexsey |
11269527 | Remote data storage | Mulholland Miles; Dicks Alex; Tomkins Dominic; Bartlett Eric John |
11269531 | Performance of dispersed location-based deduplication | Cohen Reut; Fischer-Toubol Jonathan; Halumi Afief; Harnik Danny; Khaitzin Ety; Marenkov Sergey; Porat-Stoler Asaf; Shatsky Yosef; Sivan Tom |
11269533 | Performing object consolidation within a storage system | Owen William W.; Rueger Erik; Schmitt Christof |
11269561 | Speculative bank activate dynamic random access memory (DRAM) scheduler | Zheng Jie; Carlough Steven R.; Starke William J.; Stuecheli Jeffrey A.; Powell Stephen J. |
11269591 | Artificial intelligence based response to a user based on engagement level | Shetty Shilpa; Das Mithun; Chanda Amitabha; Rakshit Sarbajit K. |
11269596 | Automated microservice creation based on user interaction | Appel Ana Paula; De Freitas Cunha Renato Luiz; Rodrigues Eduardo Rocha; Silva Bruno |
11269624 | Automated software application bundling | Szczepanik Grzegorz Piotr; Kalandyk Piotr; Palus Lukasz Jakub; Januszek Pawel Tadeusz; Kompanowski Hubert |
11269625 | Method and system to identify and prioritize re-factoring to improve micro-service identification | Lin Chen; Hwang Jinho; Bulut Muhammed Fatih; Kanso Ali; Nadgowda Shripad |
11269626 | Quality analysis of source code | Nakamura Hiroaki; Yasue Toshiaki; Ono Kohichi; Ooka Alisa; Hosokawa Nobuhiro; Hara Yukiko |
11269632 | Data conversion to/from selected data type with implied rounding mode | AlBarakat Laith M.; Bradbury Jonathan D.; Slegel Timothy; Lichtenau Cedric; von Buttlar Joachim |
11269647 | Finish status reporting for a simultaneous multithreading processor using an instruction completion table | Ward Kenneth L.; Eisen Susan E.; Nguyen Dung Q.; Kincaid Glenn O.; Mueller Christopher M.; Nguyen Tu-An T.; Mittal Gaurav; Singh Deepak K. |
11269651 | Reusing adjacent SIMD unit for fast wide result generation | Klein Michael; Hofmann Nicol; Lichtenau Cedric; Yifrach Osher |
11269685 | Managing memory buffer allocation in multi-processor system | Somasundaram Muruganandam; Kubala Jeffrey Paul; Moody Jerry A.; Kauffman Hunter J. |
11269687 | Map reduce using coordination namespace hardware acceleration | Jacob Philip; Strenski Philip Neil; Johns Charles |
11269689 | Distribution of components of displayed data between a server and a client based on server and client load factors | Gellai, Sr. Andrew Paul; Niemeyer Terry Wade; Sistrunk Mark Allen; Tang Jiandong; Manohar Navin; Simcox Lori Christine |
11269690 | Dynamic thread status retrieval using inter-thread communication | Kuesel Jamie R.; Kupferschmidt Mark G.; Schardt Paul E.; Shearer Robert A. |
11269714 | Performance anomaly detection | Zhang Xiao; Meng Fan Jing; Yang Lin; Xu Jing Min |
11269728 | Scalable multi-framework multi-tenant lifecycle management of deep learning applications | Radhakrishnan Jayaram Kallapalayam; Muthusamy Vinod; Isahagian Vatche; Boag Scott; Herta Benjamin; Sood Atin |
11269745 | Two-node high availability storage system | Lan Long Wen; Na Wen Wu; Liu Xiang Wen; Wang Xiao Yu |
11269751 | Hierarchical evaluation of multivariate anomaly level | Ohana David; Wassermann Bruno; Malka Michal |
11269761 | Correlating test results variations with business requirements | Bender Michael; Delia Wayne M.; Majumdar Gautam |
11269794 | Directed interrupt for multilevel virtualization with interrupt table | Raisch Christoph; Kraemer Marco; Schmidt Donald William; Nerz Bernd; Driever Peter Dana |
11269812 | Derived relationship for collaboration documents | Fox Jeremy R.; Anders Kelley; Harpur Liam S.; Dunne Jonathan D. |
11269834 | Detecting quasi-identifiers in datasets | Braghin Stefano; Gkoulalas-Divanis Aris; Wurst Michael |
11269835 | Customization and recommendation of tree-structured templates | Huang Lei; Ren Guangjie; Jiang Shun; Arar Raphael I.; Liu Eric Young |
11269837 | Data tree checkpoint and restoration system and method | Hatfield Brian; Brewer Scott |
11269844 | Automated data labeling | Jia Hong Wei; Zhang Guang Ming; Yan Wu; Liu Mo Chi; Wang Yun BJ; Tong Chu Yun |
11269848 | Preventing unnecessary upload | Gedliczka Tymoteusz; Kania Tomasz; Pitula Krzysztof; Brandys Szymon; Madej Maciej; Grzywna Piotr |
11269851 | Indoor survey data collection | Sajja Surya Shravan Kumar; Sree Prakash Ashok Pon Kumar; Singhee Amith; Dwivedi Satyam |
11269853 | User defined heuristic refresh of a materialized query table | Garcia Tellez Edgar M. |
11269858 | Information management in a decentralized database including a fast path service | Verma Dinesh C.; Dillenberger Donna N.; Oberhofer Martin; Hrle Namik |
11269860 | Importing external content into a content management system | Kussmaul Timo; Stay Andreas; Buehler Dieter |
11269863 | Index structure for blockchain ledger | Yoon Woong Ah; Casella Alex Xingqi; Ishiguro Bonnie; Zhang Jingzhan |
11269901 | Cognitive test advisor facility for identifying test repair actions | Madan Neeraj; Mesa Gersain; Okonji Kanayo George; Holbrooks Steven; Booth Mark C.; Gutierrez Valdes Rogelio Fernando; Saha Chanchal; de la Torre Oscar Alejandro; Nugraha Christian; Gorey Anthony George; Poh Teik Seng; Laviano Richard; Edge, Jr. Robert |
11269905 | Interaction between visualizations and other data controls in an information system by matching attributes in different datasets | Fitzpatrick Gregory; Khan Enam; Tsui Alban Pui Man; Kellett John; Pisters Ramon Leonard Hendrik; McLay Colin Anthony |
11269923 | Ability to vary on and vary off databases simultaneously across two systems | Helt Scott D.; Thayib Kiswanto; Whitney Kristopher C.; Albu Philip M. |
11269925 | Data synchronization in a data analysis system | Martin Daniel; Stolze Knut; Michel Christian; Dimov Vassil Radkov |
11269929 | Combining semantic relationship information with entities and non-entities for predictive analytics in a cognitive system | Spangler William S.; Martin Richard L.; Martinez Iraola David; Pierce Daniel; Bao Sheng Hua; Nagarajan Meenakshi; Pfeifer Michael D. |
11269942 | Automatic keyphrase extraction from text using the cross-entropy method | Boni Odellia; Cohen Doron; Feigenblat Guy; Konopnicki David; Roitman Haggai |
11269955 | Efficient graph optimization | Inoue Hiroshi |
11269959 | Categorically filtering search results | Dole Bryn R.; English Jennifer A.; Kernasovskiy Dmitriy; Kossarian Malous M.; Shyr Harmony Y. |
11269965 | Extractive query-focused multi-document summarization | Boni Odellia; Feigenblat Guy; Konopnicki David; Roitman Haggai |
11269971 | Providing reading insight on URLs with unfamiliar content | Li Jenny S.; Deng Yu; Chakra Al; Tai Theresa |
11269979 | System and method for virtual world biometric analytics through the use of a multimodal biometric analytic wallet | Baughman Aaron K.; Dawson Christopher J.; Graham Barry M.; Kamalsky David J. |
11269987 | Security credentials management for client applications | Green Sophie D.; Nice David James; Hido-Evans Ledina; Francis Stewart O. M.; Foyle Thomas |
11270017 | Selective exchange of transaction data | Ojha Varun; Jayachandran Praveen |
11270018 | System and method for providing data security in a hosted service system | Nagesha Rao Pallavi T. |
11270023 | Anonymity assessment system | Margalit Oded; Potashnik Dennis |
11270024 | Secure data monitoring utilizing secure private set intersection | Kramer Dennis; Linton Jeb R.; Amisano Michael; Wright David K.; Melchionne John; Behnken John |
11270039 | Road network generation | Hu Guoqiang; Ding Jiandong; Ji Peng; Duan Ning; Zhu Jun |
11270042 | Machine learning based fluid simulation | Zhao Kun; Osogami Takayuki |
11270061 | Automatic generation of training data for scientific paper summarization using videos | Herzig Jonathan; Jerbi Achiya; Konopnicki David; Lev Guy; Shmueli-Scheuer Michal |
11270065 | Extracting attributes from embedded table structures | Angst Debra L.; La Rocca Jennifer Lynn; Mcneil Kristin E.; Lorenzo Mario J.; Dahlman Rebecca Lynn |
11270075 | Generation of natural language expression variants | Liu Tong; Yin Kun Yan; Yuan Zhong Fang; Li He; Zhang Yuan |
11270076 | Adaptive evaluation of meta-relationships in semantic graphs | McAteer Seamus R.; McCloskey Daniel; Mohan Aditya; Sogrin Mikhail |
11270077 | Routing text classifications within a cross-domain conversational service | Tan Ming; Kunc Ladislav; Yu Yang; Wang Haoyu; Potdar Saloni |
11270080 | Unintended bias detection in conversational agent platforms with machine learning model | Rao Navneet N.; Tan Ming; Qi Haode; Yu Yang; Karagiannis Panos; Potdar Saloni |
11270105 | Extracting and analyzing information from engineering drawings | Ashek Mahmood Saajan; Ganti Raghu Kiran; Srirangamsridharan Shreeranjani; Srivatsa Mudhakar; Sharif Asif; Ghabros Ramey; Jha Somesh; Sayari Nejad Mojdeh; Siddiqui Mohammad; Mai Yusuf |
11270111 | Automated management of potentially hazardous objects near power lines | Klein Levente I.; Wamburu John; Hamann Hendrik F.; Sacks Bryan; Sudhakaran Mahesh |
11270147 | Action-object recognition in cluttered video scenes using text | Jagannathan Anupama; Gattiker Anne Elizabeth |
11270189 | Cognitive decision platform for honey value chain | Wachira Charles Muchiri; Bore Nelson Kibichii; Weldemariam Komminist; Ter-Minassian Lucile |
11270191 | On-chip Poisson spike generation | Okazawa Junka; Ishii Masatoshi; Okazaki Atsuya; Hosokawa Kohji |
11270192 | Producing spike-timing dependent plasticity in a neuromorphic network utilizing phase change synaptic devices | Friedman Daniel J.; Kim Seongwon; Lam Chung H.; Modha Dharmendra S.; Rajendran Bipin; Tierno Jose A. |
11270193 | Scalable stream synaptic supercomputer for extreme throughput neural networks | Modha Dharmendra |
11270194 | System and method for constructing synaptic weights for artificial neural networks from signed analog conductance-pairs of varying significance | Burr Geoffrey W |
11270195 | Neuromorphic computing in dynamic random access memory | Ozcan Ahmet S.; Waddington Daniel |
11270196 | Multi-mode low-precision inner-product computation circuits for massively parallel neural inference engine | Sawada Jun; Akopyan Filipp A.; Appuswamy Rathinakumar; Arthur John V.; Cassidy Andrew S.; Datta Pallab; Esser Steven K.; Flickner Myron D.; Modha Dharmendra S.; Nayak Tapan K.; Otero Carlos O. |
11270221 | Unsupervised clustering in quantum feature spaces using quantum similarity matrices | Phan Anna T.; Greenberg Don |
11270226 | Hybrid learning-based ticket classification and response | Meng Fan Jing; Yang Lin; Zhang Xiao; Zhang Shi Lei; Xu Jing Min; Ayachitula Naga A.; Su Zhuo |
11270242 | Identifying and evaluating risks across risk alert sources | Ward Thomas; Nahar Rahul; Saha Chanchai; Okonji Kanayo; Gupta Bhanwar |
11270250 | Intelligent service and customer matching using an information processing system | Lin Cheng-Fang; Hung Chih-Chiang; Yang Amanda PL; Tseng Joey H. Y.; Liu Ching-Chun; Chen Yu-Siang |
11270258 | Dynamic inventory segmentation with predicted prediction time window | Sar Sudhanshu Sekher; Rajenderan Balakrishnan; Panthula Brunda; Abraham Layana |
11270288 | System and method for automatic device connection following a contactless payment transaction | Bettesworth Gary; Martens Andreas; Rogers Sam; Thorpe Paul S. M. |
11270296 | Protection of data trading | Sui Guang Han; Jiang Peng Hui; Li Qin; Zhang Jian Hua; Wang Zhi Jun; Song Xiao Guang; Zhan Wu Gen; Wu Yong |
11270321 | Association analysis on noisy transaction data | Matsumoto Yusuke; Makino Yasue; Kobayashi Hirokazu; Kobayashi Hiromi |
11270338 | Optimizing a digital display | Ward James; Bender Michael; Rakshit Sarbajit K.; Hennessy Shawn D. |
11270353 | Visually and/or semantically integrating a digital advertisement within a digital composition | Pinel Florian; Redmond Robert N.; Seabolt Edward E.; Spann, III William S. |
11270401 | Generating a customized egress blueprint | Shrivastava Anshul; Sivaswamy Hemant Kumar; Nair Stuti; Mahajan Sachin K. |
11270583 | Traffic control for autonomous vehicles | Kumar Keeranoor G. |
11270686 | Deep language and acoustic modeling convergence and cross training | Baughman Aaron K.; Ganci, Jr. John M.; Hammer Stephen C.; Trim Craig M. |
11270722 | Method of making magnetically-shielded write transducers | Biskeborn Robert G.; Herget Philipp |
11270768 | Failure prevention of chip power network | Xu Zheng; Cheng Kangguo; Kong Dexin; Li Juntao |
11270786 | Context-based personalized activity schedule | Chien Yi-Chun; Doms Colin; Kervin Karina Elayne; von Marschall Elisa B. |
11270893 | Layer-by-layer etching of poly-granular metal-based materials for semiconductor structures | Papalia John M.; Miyazoe Hiroyuki; Marchack Nathan P.; Engelmann Sebastian Ulrich |
11270910 | Interconnect structure with partial sidewall liner | Reznicek Alexander; van der Straten Oscar |
11270913 | BEOL metallization formation | Park Chanro; Cheng Kenneth Chun Kuen; Motoyama Koichi; Anderson Brent; Ghosh Somnath |
11270919 | Customized module lid | Arvelo Amilcar R.; Ellsworth Michael J.; McKeever Eric J.; Nguyen Thong N.; Seminaro Edward J. |
11270935 | Metallization layer formation process | Cheng Kangguo; Xie Ruilong; Yang Chih-Chao; Guo Jing |
11270964 | Mixed UBM and mixed pitch on a single die | Arvin Charles L.; Muzzy Christopher D. |
11270966 | Combination polyimide decal with a rigid mold | Nah Jae-Woong; Buchwalter Stephen L.; Gruber Peter A.; Lauro Paul Alfred; Shih Da-Yuan |
11270999 | Capacitorless DRAM cell | Zota Cezar Bogdan; Convertino Clarissa; Czornomaz Lukas; Karg Siegfried Friedrich |
11271106 | Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts | Bao Ruqiang; Anderson Brent A.; Lee ChoongHyun; Jagannathan Hemanth |
11271107 | Reduction of bottom epitaxy parasitics for vertical transport field effect transistors | Li Tao; Kang Tsung-Sheng; Xie Ruilong; Reznicek Alexander |
11271108 | Low-noise gate-all-around junction field effect transistor | Hekmatshoartabari Bahman; Reznicek Alexander; Balakrishnan Karthik |
11271116 | Vertical thin film transistor | Leobandung Effendi |
11271151 | Phase change memory using multiple phase change layers and multiple heat conductors | Ok Injo; Pranatharthiharan Balasubramanian; Brew Kevin W.; Wang Wei |
11271155 | Suppressing oxidation of silicon germanium selenium arsenide material | Cheng Cheng-Wei; Cheng Huai-Yu; Kuo I-Ting; Lung Hsiang-Lan |
11271301 | Coordinated waveform generation, control, and associated beam steering | Tzadok Asaf; Valdes Garcia Alberto; Yeck Mark; Liu Huijuan |
11271550 | Synchronous divider based on cascaded retiming | Kossel Marcel A.; Francese Pier Andrea; Prathapan Mridula; Yonar Abdullah Serdar |
11271742 | Decentralized secure data sharing | Soroker Danny; Frankel Lisa |
11271752 | Automatic form completion from a set of federated data providers | Young Richard A.; Gritzman Ashley D.; Kurien Toby; Khan Naweed Aghmad; Akhalwaya Ismail Yunus |
11271820 | Proximal graphical event model of statistical learning and causal discovery with event datasets | Bhattacharjya Debarun; Gao Tian; Subramanian Dharmashankar |
11271826 | Prioritization of service restoration in microservices architecture | Brown Kyle Gene; O'Connell Brian M.; Fox Jeremy R.; Nasser Samir |
11271838 | Timing synchronization | Crabtree Donald; Errickson Richard K.; Houston John S.; Szwed Peter K. |
11271928 | Bioelectrical authentication | Seul Matthias; Sgobba Nicolo′; Rueger Erik |
11271957 | Contextual anomaly detection across assets | Kulkarni Kedar; Seshadri Padmanabha Venkatagiri; Mukherjee Sumanta; Dwivedi Satyam |
11271958 | Efficient unsupervised anomaly detection on homomorphically encrypted data | Sarpatwar Kanthi; Ganapavarapu Venkata Sitaramagiridharganesh; Sathe Saket; Vaculin Roman |
11271967 | Methods and systems for cyber-hacking detection | Borlick Matthew G.; Gupta Lokesh M. |
11271968 | Zero round trip time transmission for anticipatory request messages | Green Matthew; Madineni Narayana Aditya; Gray Michael W.; Burgess Luvita |
11271978 | Personalized meeting summaries | Ranalli Gina; Waldrop Emily Regina; Stockton Jaime Mae; Bastide Paul R. |
11271984 | Reduced bandwidth consumption via generative adversarial networks | Sivaswamy Hemant Kumar; Vyas Anvita; Ekambaram Vijay; Rakshit Sarbajit K. |
11272238 | Electronic messaging display optimization | Friedlander Robert R.; Katzen Erik H.; Kraemer James R. |
11272369 | Radio frequency radiation shield device | Reedman Ivan J. |
11273342 | Viewer feedback based motion video playback | Mao Xiao Xia; Lei Yidan; Xia Jing |
11273357 | Interactive exercise experience | Chowdhary Pawan; Virwani Kumar R.; Rettner Charles Thomas; Kurdi Bulent N. |
11273426 | Imaging multiple chemical reactions in a flow cell array | Colgan Evan; Lvov Alexey Y.; Polonsky Stanislav |
11274177 | Terminally-functionalized cashew nut shell liquid derivatives | Kobilka Brandon M.; Porter Jacob; Wertz Jason T.; King Scott B. |
11274778 | Couplings with engagement monitor | McMillan Khaalid P.; Porter Donald W.; Porter Jacob T.; Vandeventer Allan C.; Wertz Jason T. |
11274939 | Sensor data segmentation and virtualization | Basu Joydeep; Chan Yuk L.; Choudhary Badalkumar L.; Karuppiah Karunakaran; Lagares-Greenblatt Heidi L.; Ranjan Rakesh; Vargas Nicholas A.; Vatatmaja |
11274965 | Noise model-based converter with signal steps based on uncertainty | Suits Frank; Kiral Filiz Isabell |
11275113 | Measuring a control system response time | Arp Andreas H. A.; Malone Douglas J.; Makowski Thomas; Koch Michael V. |
11275488 | Augmenting user interface to convey a state of drilling operations | Bettles James W. H.; Mathew Monsu M.; Lerman Gordon; Arp Megan K.; Patterson Brandon K. |
11275514 | Expanding storage capacity for implementing logical corruption protection | Francisco Nedlaya Yazzie; Brown Theresa Mary; Clayton Nicolas Marc; Fei David; O'Connor Terry |
11275561 | Mixed precision floating-point multiply-add operation | Mueller Silvia Melitta; Wagner Andreas; Thompto Brian W. |
11275578 | Merit based inclusion of changes in a build of a software system | Craig Erik B.; McMahan Paul F.; Shumaker Spenser E.; Varga Daniel B. |
11275597 | Interaction-based visualization to augment user experience | Flores German H; Butler Eric Kevin; Engel Robert; Megahed Aly; Ong Yuya Jeremy; Ramchandani Nitin |
11275609 | Job distribution within a grid environment | Chen Chong; Liu Fang; Wang Qi; Yuan Shutao |
11275614 | Dynamic update of the number of architected registers assigned to software threads using spill counts | Cain, III Harold W.; Franke Hubertus; Johns Charles R.; Le Hung Q.; Nair Ravi; Kahle James A. |
11275619 | Opportunistic data analytics using memory bandwidth in disaggregated computing systems | Bivens John A.; Li Min; Mahindru Ruchi; Ramasamy HariGovind V.; Ruan Yaoping; Salapura Valentina; Schenfeld Eugen |
11275622 | Utilizing accelerators to accelerate data analytic workloads in disaggregated systems | Li Min; Bivens John A.; Mahindru Ruchi; Ramasamy HariGovind V.; Ruan Yaoping; Salapura Valentina; Schenfeld Eugen |
11275644 | Proactive voltage droop reduction and/or mitigation in a processor core | Biran Giora; Bose Pradip; Buyuktosunoglu Alper; Chuang Pierce I-Jen; Lobo Preetham M.; Bertran Monfort Ramon; Restle Phillip John; Vezyrtzis Christos; Webel Tobias |
11275675 | Validation of a subset of a plurality of responses during protocol testing | Shi Peng; Dickens Louie A.; Arvizu Karl David Joseph |
11275713 | Bit-serial linear algebra processor | Giefers Heiner; Polig Raphael; Van Lunteren Jan |
11275716 | Cognitive disparate log association | Silverstein Zachary A.; Camus Kelly; Suto Tiberiu; Jones Andrew R. |
11275720 | Multi-page splitting of a database index | Zhang Ying; Wang Xiaobo; Li Shuo; Wang Ping; Li Leilei; Hoshikawa Akiko |
11275724 | Automated feedback validation | Bell Denise; Errore Stefania; Batchellor Valory |
11275727 | Composite views in a master data management system | Oberhofer Martin; Woods Jason Allen; Davis Rin; Naganna Soma Shekar; Chachra Pankuj |
11275732 | Extracting data utility requirements | Antonatos Spyridon; Braghin Stefano; Sbodio Marco Luca |
11275749 | Enhanced query performance prediction for information retrieval systems | Roitman Haggai; Erera Shai; Weiner Bar |
11275755 | Automatically capturing lineage data in distributed systems | Palus Lukasz Jakub; Szczepanik Grzegorz Piotr; Rudek Krzysztof; Bodziony Michal |
11275761 | Distributed data storage | Mayol Ramis Guillem C.; Mujeriego Gomez Jose L. |
11275767 | Analyzing application behavior to determine relationships between data | Kaminsky David L.; Sundaram Girish |
11275777 | Methods and systems for generating timelines for entities | Ackermann Christopher; Beller Charles; Drzewucki Michael |
11275778 | Content marshaling using biometric data | Marvaniya Smitkumar Narotambhai; Chetlur Malolan; Sengupta Bikram; Sindhgatta Renuka; Mohania Mukesh Kumar |
11275786 | Implementing enhanced DevOps process for cognitive search solutions | Torres Javier Ricardo; Kozhaya Joseph; Freed Andrew R.; Allen Corville O.; Kwatra Shikhar |
11275788 | Controlling information stored in multiple service computing systems | Tortosa Andrea; Bianchini Paolo; Pinzauti Flavio; Di Domenico Carlo |
11275791 | Automatic construction and organization of knowledge graphs for problem diagnoses | Reddy Chandrasekhara K.; Kalagnanam Jayant R.; Kate Kiran A. |
11275796 | Dynamic faceted search on a document corpus | Kong Biying; Rajshree Nidhi; Gliozzo Alfio Massimiliano; Fauceglia Nicolas Rodolfo; Farrell Robert G.; Chowdhury Md Faisal Mahbub; Mathur Anish |
11275798 | Hybrid task assignment for web crawling | Von Bochmann Gregor; Jourdan Guy-Vincent R.; Onut Iosif V.; Taheri Seyed M. Mir |
11275800 | Gauging credibility of digital content items | Jalleh Sean G.; Maderic Amanda C.; Mankins Andrew P.; Schwartz David L.; Title Lila |
11275803 | Contextually related sharing of commentary for different portions of an information base | Birsan Dorian; Harris Michael J.; Jenkins Jana; Roberts James Henry |
11275805 | Dynamically tagging webpages based on critical words | Maldonado Cabrera Raquel; Mosqueda Mejia Jose R. |
11275816 | Selection of Pauli strings for Variational Quantum Eigensolver | Hamamura Ikko; Imamichi Takashi; Harry Putra Rudy R. |
11275838 | Code package processing | Cui Peng; Hui Dong Xiao; Jiang Tan; Kuang Da Hu; Ling Lan; Peng Xu; Wang Liang; Zhang Chun Xiao; Zhang Yu |
11275839 | Code package processing | Cui Peng; Hui Dong Xiao; Jiang Tan; Kuang Da Hu; Ling Lan; Peng Xu; Wang Liang; Zhang Chun Xiao; Zhang Yu |
11275859 | Preservation of privacy in large datasets | Shrinivasan Yedendra; Ratakonda Krishna Chaitanya; Choi Rak-Joon |
11275864 | Personal privacy protocols for sharing media on social media platforms | Chou Kuo-Liang; Lin Kate; Wu Peter; Lin I-Chien; Chang Chiwen; Lan Ci-Wei; Hsieh Tsai-Hsuan |
11275889 | Artificial intelligence for interactive preparation of electronic documents | Botea Adi I.; Kishimoto Akihiro; Buesser Beat; Chen Bei |
11275892 | Traversal-based sentence span judgements | Cason Joshua; Sekar Kandhan; Rogers Thomas Hay |
11275899 | Generating game environments from unstructured textual corpus for reinforcement learning | Sridharan Srihari |
11275901 | Context retrieval for chatbots | Shek Elinna; Ding Chengmin; Filoti Octavian Florin; Vernier Stanley John; Decker Renee F |
11275902 | Intelligent dialog re-elicitation of information | Botea Adi; Alkan Oznur; Daly Elizabeth; Mattetti Massimiliano; Pedemonte Pablo; Valente Abel Nicolas; Vejsbjerg Inge |
11275943 | Enabling intelligent disposal | Christian Susan Jachin; Panigrahi Pushpita; Lau Michael Anson; Flores Romelia H. |
11275946 | Generation of computer vision labels from remotely-assisted augmented reality sessions | Raz Goldfarb Adi; Bilgory Erez Lev Meir |
11275972 | Image classification masking | Sivakumar Gandhi; Trim Craig M.; Bender Michael; Keen Martin G. |
11275974 | Random feature transformation forests for automatic feature engineering | Sathe Saket; Turaga Deepak S.; Samulowitz Horst Cornelius; Aggarwal Charu C. |
11275990 | Incident prediction and response using deep learning techniques and multimodal data | Baughman Aaron K.; Eggenberger Christian; Martin Andrea I.; Stoessel Daniel S.; Trim Craig M. |
11275994 | Unstructured key definitions for optimal performance | Baughman Aaron K.; Hammer Stephen C.; Newell John C.; Trim Craig M. |
11276005 | Cognitive assessment based recommendations | Borges Gabriel Pereira; Silveira Fabio Luis Fujii; Chiarinelli Rafael Da Silva; de Lima Argemiro Jose |
11276011 | Self-managed adaptable models for prediction systems | Bouillet Eric P.; Chen Bei; Cogill Randall L.; Hoang Thanh L.; Laumanns Marco; Lynch William K.; Nair Rahul; Pompey Pascal; Sheehan John |
11276012 | Route prediction based on adaptive hybrid model | Dong Wei Shan; Duan Ning; Hu Guoqiang; Wang Zhi Hu; Yuan Ting; Zhu Jun |
11276021 | Detecting business anomalies utilizing information velocity and other parameters using statistical analysis | Adler Steven B.; Chantz Hyman D. |
11276032 | Intelligent classification for product pedigree identification | Mukherjee Maharaj; Abrahams Faried; Sivakumar Gandhi; Dhondse Amol; Pikle Anand |
11276040 | Identifying complaints from messages | Muthuswamy Sivaraman Srinivasan; Das Subhendu; Patnaik Yashodhara M. |
11276081 | Targeted communication using order fulfillment logic | Lamb Marshall Allen |
11276085 | Generating customization data configured to customize demonstration of a product to a target audience | Valecha Vinod A.; Rudek Krzysztof; Blohberger Markus |
11276099 | Multi-perceptual similarity detection and resolution | Sewak Mohit; Singh Sachchidanand |
11276126 | Focus-object-determined communities for augmented reality users | Bastide Paul R.; Broomhall Matthew E.; Harpur Liam S.; Sun Lin |
11276164 | Classifier trained with data of different granularity | Zhao Shiwan; Zhang Xiaolu; Qin Yong |
11276176 | Intelligent boundary delineation of regions of interest of an organism from multispectral video streams using perfusion models | Zhuk Sergiy; Epperlein Jonathan; Mac Aonghusa Pol; Nair Rahul |
11276221 | Creating an animated pictogram | Ewen Christian; Pinel Florian; Byron Donna K.; DiMascio Carmine M. |
11276249 | Method and system for video action classification by mixing 2D and 3D features | Na Han; Odaira Rei |
11276250 | Recognition for overlapped patterns | Deng Xue Mei; Yi Ang; Zhao Jun Hong; Huang Lu; Tong Ya Juan; Huang Hui |
11276307 | Optimized vehicle parking | Francis Corey; Moros Ortiz Jorge Andres; Tran Khoi-Nguyen Dao |
11276321 | Method and system to train users interacting with a search engine | DeLuca Lisa Seacat |
11276391 | Generation of matched corpus for language model training | Itoh Nobuyasu; Kurata Gakuto; Suzuki Masayuki |
11276394 | Method for re-aligning corpus and improving the consistency | Itoh Nobuyasu; Kurata Gakuto |
11276405 | Inferring sentiment to manage crowded spaces by using unstructured data | Pichetti Luigi; Donatelli Alessandro; Ciano Giuseppe |
11276418 | Acoustic signature generation by embedding user sentiments | Pandey Diwesh; Joseph Arun; Ghosh Shiladitya; Reddy Shashidhar |
11276419 | Synchronized sound generation from videos | Zhang Yang; Gan Chuang; Liu Sijia; Wang Dakuo |
11276485 | Medication adherence through personalized alerts | Park Yoonyoung; Sarker Hillol; Lu Fang; Kartoun Uri |
11276493 | Device configuration based on predicting a health affliction | Gomez Sanchez Francisco M.; Irazabal Jeronimo; Najimovich Pablo R.; Pedemonte Pablo J.; Petersen Hernan P. |
11276494 | Predicting interactions between drugs and diseases | Zhang Ping; Fokoue-Nkoutche Achille B; Dey Sanjoy; Shen Katherine |
11276576 | Gate metal patterning to avoid gate stack attack due to excessive wet etching | Wang Junli; Reznicek Alexander; Mochizuki Shogo; Rubin Joshua |
11276607 | Selective patterning of vias with hardmasks | Arnold John C.; Dutta Ashim; Metzler Dominik; Philip Timothy M.; Mukesh Sagarika |
11276611 | Top via on subtractively etched conductive line | Anderson Brent; Clevenger Lawrence A.; Choi Kisik; Lanzillo Nicholas Anthony; Penny Christopher J.; Robison Robert |
11276636 | Adjustable via dimension and chamfer angle | Clevenger Lawrence A.; Motoyama Koichi; Muthinti Gangadhara Raja; Peethala Cornelius Brown; Briggs Benjamin D.; Rizzolo Michael |
11276639 | Conductive lines with subtractive cuts | Anderson Brent; Clevenger Lawrence A.; Choi Kisik; Lanzillo Nicholas Anthony; Penny Christopher J.; Robison Robert |
11276732 | Semiconductor memory devices formed using selective barrier metal removal | Ando Takashi; Miyazoe Hiroyuki |
11276748 | Switchable metal insulator metal capacitor | Li Baozhen; Yang Chih-Chao; Kim Andrew Tae; Linder Barry |
11276767 | Additive core subtractive liner for metal cut etch processes | Bao Ruqiang; Chung Kisup; Greene Andrew M.; Kanakasabapathy Sivananda K.; Rath David L.; Seshadri Indira P. V.; Venigalla Rajasekhar |
11276781 | Bottom source/drain for fin field effect transistors | Wu Heng; Mochizuki Shogo; Tsutsui Gen; Cheng Kangguo |
11276796 | Photovoltaic structures having multiple absorber layers separated by a diffusion barrier | Antunez Priscilla D.; Ellis Arthur W.; Haight Richard A.; Hannon James B.; Oida Satoshi |
11276817 | Magnetic tunnel junction having tapered all-around structure | Nowak Janusz Jozef |
11276912 | Magnetic balun/transformer with post processing adjustments | Chakraborty Sudipto; Joshi Rajiv; Holmes Steven J.; Doris Bruce B. |
11277120 | Dynamic signal processing | Reedman Ivan James |
11277260 | Off-chain notification of updates from a private blockchain | Sen Sayandeep; Kodeswaran Palanivel Andiappan; Behl Dushyant K.; Ramakrishna Venkatraman |
11277262 | System generated data set encryption key | Powers Eysha Shirrine; Jordan Michael Joseph; Lewis Cecilia Carranza; Rossman Eric David |
11277267 | Fine-grained token based access control | Smolny Martin; Dürr Thomas; Beck Michael; Schaeck Juergen |
11277274 | Device ranking for secure collaboration | Moyal Shailendra; Dhoot Akash U.; Jadhav Nitin S. |
11277275 | Device ranking for secure collaboration | Moyal Shailendra; Dhoot Akash U.; Jadhav Nitin S. |
11277277 | Indoor environment personalization preferences | Trim Craig M.; Kwatra Shikhar; Griffin Adam Lee; Fox Jeremy R. |
11277310 | Systemic adaptive data management in an internet of things environment | El Harouni Ahmed; Tata Samir; Mohamed Mohamed; Megahed Aly |
11277317 | Machine learning to predict quality-of-service needs in an operational data management system | Snyder Jessica G.; Hanis Thomas T.; Seifert Paul J. |
11277327 | Predictive analytics of device performance | Hanusiak Tomasz; Komnata Konrad W.; Osinski Jaroslaw; Szczepanik Grzegorz P. |
11277356 | Network buffer credit allocation | Catalano Pasquale A.; Colonna Christopher J; Guendert Stephen Robert; Becht Michael James |
11277358 | Chatbot enhanced augmented reality device guidance | Rakshit Sarbajit K. |
11277425 | Anomaly and mode inference from time series data | Kulkarni Kedar; Seshadri Padmanabha V.; Dwivedi Satyam; Singhee Amith; Dayama Pankaj S.; Singh Nitin |
11277434 | Reducing attack surface by selectively collocating applications on host computers | Le Michael Vu; Jamjoom Hani Talal; Molloy Ian Michael |
11277443 | Detection of phishing internet link | Onut Iosif; Cui Qian; Jourdan Guy-Vincent |
11277453 | Media communication management | Fox Jeremy R.; Anders Kelley; Harpur Liam S.; Dunne Jonathan D. |
11277462 | Call management of 5G conference calls | Sivakumar Gandhi; Kwok Lynn; Patel Kushal S.; Patel Sarvesh S. |
11277928 | Interlocking device for shipment monitoring and acclimation | Kane Michael; Masterson Colin Edward; Khambati Suraush; Porter Jacob; Cole Marie |
11277944 | Energy efficiency based control for a cooling system | Chainer Timothy J.; Parida Pritish R.; Schultz Mark D. |
11278858 | Microcapsules for two-step anticounterfeiting | Czaplewski-Campbell Sarah K.; Wertz Jason T.; Campbell Eric J.; Kobilka Brandon M. |
11278977 | Liquid metal infiltration rework of electronic assembly | Hoffmeyer Mark K.; Younger Timothy P. |
11278978 | Pattern bonded finned cold plate | Schultz Mark D. |
11279344 | Preemptive mitigation of collision risk | Takasaki Kenichi; Fukuda Mari A. |
11279798 | Polymer technology for use in flow reactors | Park Nathaniel H.; Hedrick James L.; Arrechea Pedro Luis; Erdmann Tim; Lin Binhong; Waymouth Robert M.; Jadrich Caleb Nathaniel; Pane Vince Evan |
11279816 | Flame retardants derived from biobased dicarboxylic acids | Kobilka Brandon M.; Wertz Jason T.; Porter Jacob; King Scott B. |
11280621 | Navigation using a device without global positioning system assistance | Hu Yan Nan; Jing You; Wang Hui; Wang Jun Li; Fei Zhao |
11280968 | High-bandwidth embedded optical connector with latching mechanism | Janta-Polczynski Barnim Alexander; Cyr Elaine; Langlois Richard D.; Fortier Paul Francis |
11281249 | Voltage sensitive current circuit | English Erik; Sutton Akil Khamisi; Owczarczyk Pawel; Sperling Michael |
11281380 | Management of data written via a bus interface to a storage controller during consistent copying of data | Ward Matthew J.; Kalos Matthew J.; Crawford Joshua J.; Mellgren Carol S.; Craig Matthew R. |
11281418 | Electronic device smart cover with display capability | Hulugundi Jagadesh Ramaswamy; Rakshit Sarbajit K.; Nagar Raghuveer Prasad; Jose Reji |
11281469 | Saving and restoring machine state between multiple executions of an instruction | Giamei Bruce C.; Recktenwald Martin; Schmidt Donald W.; Slegel Timothy; Puranik Aditya N.; Farrell Mark S.; Jacobi Christian; Bradbury Jonathan D.; Zoellin Christian |
11281474 | Partial computer processor core shutoff | Maurer Thilo; Buehler Markus; Ingimundarson Arni; Steinmacher-Burow Burkhard |
11281475 | Reusable asset performance estimation | Grant Robert Huntington; Xu Weixin; Chavez Frank Eduardo; Silverstein Zachary A. |
11281483 | Preserving transient address translation information across a firmware assisted dump procedure | Wallis Christopher Brian; Ouren Wade Byron; Jacobs Stuart Zachary; Armstrong Troy David; Vossen Kenneth Charles |
11281486 | Bandwidth control in multi-tenant virtual networks | Barabash Katherine; Cohen Rami; Levin Anna; Lewin-Eytan Liane; Raichstein Eran |
11281488 | Management of computing environments with verification of command effects | Bassani Damiano; Di Cocco Antonio; D'Aniello Alfonso; Mecozzi Catia |
11281490 | Dynamic assignment of interrupts based on input/output metrics | Arroyo Jesse; Kommineni Prathima; Schimke Timothy M.; Venugopal Shyama |
11281497 | Determining an allocation of stage and destage tasks by training a machine learning module | Gupta Lokesh M.; Anderson Kyler A.; Borlick Matthew G.; Ash Kevin J. |
11281502 | Dispatching tasks on processors based on memory access efficiency | Gupta Lokesh M.; Kalos Matthew J.; Ash Kevin J.; Nguyen Trung N. |
11281513 | Managing heap metadata corruption | Makineedi Sreenivas; Muppala Srinivasa Rao; Tenjarla Rama Mothey; Makineedi Vidya; Griffith Douglas |
11281524 | Stretch factor error mitigation enabled quantum computers | Egger Daniel Josef; Greenberg Don; McClure, III Douglas Templeton; Sheldon Sarah Elizabeth; Kim Youngseok |
11281543 | Application-level recovery from an enterprise-level image copy | Wilcock Glenn Randle; Gallaher Shannon Lyn |
11281558 | Cognitive and deep learning-based software component distribution | Moyal Shailendra; Karri Venkata Vara Prasad |
11281582 | Completion logic performing early commitment of a store-conditional access based on a flag | Williams Derek E.; Guthrie Guy L.; Starke William J.; Shen Hugh |
11281593 | Using insertion points to determine locations in a cache list at which to indicate tracks in a shared cache accessed by a plurality of processors | Gupta Lokesh M.; Anderson Kyler A.; Ash Kevin J.; Kalos Matthew J. |
11281594 | Maintaining ghost cache statistics for demoted data elements | Gupta Lokesh M.; Anderson Kyler A.; Ash Kevin J.; Borlick Matthew G. |
11281621 | Clientless active remote archive | Boerner Kai; Kuhl Fabian; Mueller-Wicke Dominic; Schreiber Thomas |
11281629 | Using and training a machine learning module to determine actions to be taken in response to file system events in a file system | Balachandran Subashini; Olson John T. |
11281647 | Fine-grained scalable time-versioning support for large-scale property graph databases | Lee Jinho; Suzumura Toyotaro; Tanase Ilie Gabriel; Vijitbenjaronk Warut |
11281653 | Selectively sending updated data values | Iyengar Arun |
11281654 | Customized roll back strategy for databases in mixed workload environments | Antani Snehal S.; Barghouthi Soloman J.; Fakhar Mohammad N.; Sankaran Sajan; Shankar Hari |
11281666 | Interception of database queries for delegation to an in memory data grid | Antani Snehal S.; Bhogal Kulvir S.; Gaur Nitin; Johnson Christopher D. |
11281677 | Utilizing pseudo-relevance feedback in fusion-based retrieval | Roitman Haggai; Erera Shai; Weiner Bar |
11281679 | Scoring candidate evidence passages for criteria validation using historical evidence data | Agarwalla Lalit; Parikh Ankur; Polisetty Venkata Sai Avinesh |
11281680 | Scoring candidate evidence passages for criteria validation using historical evidence data | Agarwalla Lalit; Parikh Ankur; Polisetty Venkata Sai Avinesh |
11281681 | Message parser runtime choices | Geleji Geza; Ross Martin A. |
11281691 | Data replication based on compression ratio history | Araki Hiroshi; Islam Shah Mohammad R.; Miyoshi Hiroyuki |
11281704 | Merging search indexes of a search service | Prokoph Andreas; Kussmaul Timo; Stober Thomas; Hansmann Uwe |
11281721 | Augmenting relational database engines with graph query capability | Tong Sui Jun; Sun Wen; Yu Yi Qin; Xia Eryu; Qin Yong |
11281722 | Cognitively generating parameter settings for a graph database | Yuan Zhong Fang; Wang Yi Ming; Yin Kun Yan; Zhang Xue Ying; Liu Tong; Li He |
11281727 | Methods and systems for managing virtual assistants in multiple device environments based on user movements | Silverstein Zachary; Grant Robert; Bengani Ruchika; Rakshit Sarbajit |
11281728 | Data generalization for predictive models | Ezov Gilad; Farkash Ariel; Goldsteen Abigail; Shmelkin Ron; Moffie Micha Gideon |
11281734 | Personalized recommender with limited data availability | Zadorojniy Alexander; Voldman Sergey; Mashkif Nir |
11281737 | Unbiasing search results | Catalano Pasquale A.; Crimmins Andrew G.; Tsfasman Arkadiy O.; Werner John S. |
11281745 | Half-precision floating-point arrays at low overhead | Fleischer Bruce; Moreira Jose E.; Silberman Joel A. |
11281747 | Predicting variables where a portion are input by a user and a portion are predicted by a system | Kawahara Ryo; Osogami Takayuki |
11281767 | Secure system state extraction software extensibility via plugin sandboxing | Suneja Sahil; Nadgowda Shripad; Isci Canturk |
11281773 | Access card penetration testing | Podzunas Justin; Nikolai Jason A. |
11281801 | Decentralized privacy-preserving clinical data evaluation | Tong Sui Jun; Sun Wen; Yu Yi Qin; Xia Eryu; Qin Yong |
11281808 | Detection and repair of failed hardware components | Navarro Markus; Robison Micah; Borlick Matthew G.; Gupta Lokesh Mohan; Elliott John Charles |
11281867 | Performing multi-objective tasks via primal networks trained with dual networks | Kantor Amir; Uziel Guy; Anaby-Tavor Ateret |
11281912 | Attribute classifiers for image classification | Pinel Florian; Bobbitt Russell; Zhai Yun |
11281976 | Generative adversarial network based modeling of text for natural language processing | Dua Dheeru; Nogueira Dos Santos Cicero; Zhou Bowen |
11281983 | Multi-agent system for efficient decentralized information aggregation by modeling other agents' behavior | Vallam Rohith D.; Pimplikar Rakesh; Mukherjee Kushal; Narayanam Ramasuri; Chaudhuri Ritwik; Parija Gyana Ranjan |
11281992 | Predicting geofence performance for optimized location based services | DeLuca Lisa Seacat; Greenberger Jeremy A.; Greenberger Zachary |
11281994 | Method and system for time series representation learning via dynamic time warping | Lei Qi; Sun Wei; Vaculin Roman; Yi Jinfeng |
11281995 | Finding optimal surface for hierarchical classification task on an ontology | Farchi Eitan D.; Perera Pathirage; Raz Orna |
11282005 | Selecting a plurality of individuals and AI agents to accomplish a task | Kozloski James R.; Pickover Clifford A.; Vukovic Maja |
11282023 | Quality score for a food supply chain | Randhawa Sukanya; Guruprasad Ranjini Bangalore; Sankaran Anush; Jayachandran Praveen |
11282133 | Augmented reality product comparison | Greenberger Jeremy A.; DeLuca Lisa Seacat; Dey Kuntal; Nagar Seema |
11282186 | Anomaly detection using image-based physical characterization | Guo Dechao; Jiang Liying; Liu Derrick; Zhang Jingyun; Zhou Huimei |
11282196 | Automated patient complexity classification for artificial intelligence tools | Lindemer Emily; Richmond David; Sati Marwan; Sainz de Cea Maria V. |
11282206 | Image segmentation based on a shape-guided deformable model driven by a fully convolutional network prior | Veni Gopalkrishna; Moradi Mehdi |
11282249 | System and method for perspective preserving stitching and summarizing views | Lin Chung-Ching; Pankanti Sharathchandra U.; Ramamurthy Karthikeyan Natesan; Aravkin Aleksandr Y.; Smith John R. |
11282259 | Non-visual environment mapping | Baughman Aaron K.; Diamanti Gary F.; Marzorati Mauro; Benboubakeur Moncef |
11282285 | Real-world object location identification using 5G network technology | Trim Craig M.; Starks Kimberly Greene; Sivakumar Gandhi; Patel Kushal S.; Patel Sarvesh S. |
11282497 | Dynamic text reader for a text document, emotion, and speaker | Wang Der-Joung; Chen David Shao Chung; Tsai An-Ting; Chen Peng; Huang Chao Yuan |
11282537 | Active speaker detection in electronic meetings for providing video from one device to plurality of other devices | Chakra Al; Dunne Jonathan; Galvin, Jr. James P.; Harpur Liam |
11282601 | Automatic bounding region annotation for localization of abnormalities | Wu Joy Tzung-yu; Gur Yaniv; Karargyris Alexandros; Syeda-Mahmood Tanveer Fathima |
11282716 | Integration structure and planar joining | Farooq Mukta Ghate; Kelly James |
11282768 | Fully-aligned top-via structures with top-via trim | Cheng Kenneth C. K.; Motoyama Koichi; Anderson Brent A.; Maniscalco Joseph F. |
11282773 | Enlarged conductive pad structures for enhanced chip bond assembly yield | Tunga Krishna R.; Weiss Thomas; Arvin Charles Leon; Singh Bhupender; Quinlan Brian W. |
11282788 | Interconnect and memory structures formed in the BEOL | Yang Chih-Chao; Li Baozhen |
11282838 | Stacked gate structures | Zhang Chen; Guo Dechao; Wang Junli; Xie Ruilong; Cheng Kangguo; Li Juntao; Park Chanro; Bao Ruqiang; Suk Sung Dae; Yu Lan; Wu Heng |
11282947 | Heterojunction bipolar transistor with a silicon oxide layer on a silicon germanium base | Ok Injo; Reznicek Alexander; Lee Choonghyun; Seo Soon-Cheon |
11282961 | Enhanced bottom dielectric isolation in gate-all-around devices | Frougier Julien; Greene Andrew; Xie Ruilong; Cheng Kangguo |
11282962 | Threshold voltage adjustment from oxygen vacancy by scavenge metal filling at gate cut (CT) | Zhou Huimei; Bao Ruqiang; Belyansky Michael P.; Greene Andrew M.; Tsutsui Gen |
11283015 | Projected phase change memory devices | Philip Timothy Mathew; Saulnier Nicole; Clevenger Lawrence A. |
11283594 | Context data update in a blockchain network | Viale Joel; Szalai Zsolt |
11283597 | Blockchain technology | Yasumoto Chikafumi; Oishi Yutaka; Ohtani Munetaka; Zhu Jiayun; Maeda Kumiko |
11283630 | Server/server certificates exchange flow | Theodorou Erez Alexander; Avraham Amalia; Tzabari Eran |
11283649 | Multicast traffic across virtual networks (VNs) | Du Kai Hong; Ge Heng Guo; Singh Shashi Pratap; Wu Lin Wei; Zhang Le Od |
11283673 | Blockchain endorsement verification | Kawahara Ryo |
11283736 | Chatbot orchestration | Anderson Ryan; Govindjee Anita; Kozhaya Joseph; Torres Javier |
11283751 | Using speech and facial bio-metrics to deliver text messages at the appropriate time | Jaiswal Peeyush; Jaiswal Priyansh |
11283780 | Secure sharing and collaborative editing of documents in cloud based applications | Crosbie Mark; Flood Jason; Lara Juan Galiana; Marcos de Prado Javier |
11283787 | Computer resource provisioning | Jain Astha; Grossbart Zack Traube |
11283800 | Secure interface control secure storage hardware tagging | Heller Lisa Cranton; Busaba Fadi Y.; Bradbury Jonathan D. |
11283802 | Autonomous application programming interface claim requirements discovery | Exton Scott Anthony; Farrell Leo Michael; Robinson Keiran |
11283806 | Adaptive security system | Ciano Giuseppe; Salem Randa; Panniello Armando; Vesperini Damiano; Di Meco Davide |
11283828 | Cyber-attack vulnerability and propagation model | Riccetti Simone; Aboualy Tamer; Zunic Nevenko |
11283839 | Enforcement knowledge graph-based data security rule change analysis | Raphael Roger C.; Leong Iun Veng; Aghakiant Angineh; Chen Immalla Grace; Schumacher Scott |
11283869 | Data governance in a dispersed storage network using bucket templates | Lamba Amit; Rai Shibhani; Lange Nicholas Georg; Lyons Michael |
11283880 | Termination of database connection | Diamant Galia; Jerrell Richard O.; Lin Chun-Shuo; Lee Cheng-Ta |
11283894 | Edge caching for cognitive applications | Verma Dinesh; Srivatsa Mudhakar |
11284293 | Location-based telecommunication prioritization | Santhar Sathya; Rakshit Sarbajit K.; Sivaramalingam Balamurugaramanathan; Jawaharlal Samuel Mathew; Sundararajan Mukundan |
11285961 | Predictive car wash services for a vehicle based on anticipated routes of travel | Weldemariam Komminist; Bent Oliver; Gordon Michael S.; Kozloski James R. |
11286341 | Ring-opening polymerizations using a flow reactor | Park Nathaniel H.; Hedrick James L.; Piunova Victoria A.; Zubarev Dmitry; Jones Gavin O.; Waymouth Robert M.; Lin Binhong |
11287272 | Combined route planning and opportunistic searching in variable cost environments | McKenna Sean; Botea Adi |
11287404 | Analysis apparatus with spectrometer | Lu Minhua; Siu Vince; Budd Russell; Colgan Evan |
11287630 | Imaging integrated circuits using a single-point single-photon detector and a scanning system and calculating of a per-pixel value | Stellari Franco; Song Peilin |
11287876 | Managing user movement via machine learning | Trim Craig M.; Bender Michael; Keen Martin G.; Rakshit Sarbajit K. |
11287981 | Automatic pinning of units of memory | Gschwind Michael K.; Salapura Valentina |
11287982 | Associating data management policies to portions of data using connection information | Goodall Lourie; Swingler Joseph M.; Lin Edward Hsiu-Wei; Dawson Erika |
11288001 | Self-clearing data move assist (DMA) engine | Rider Scot; Schaal Marcel |
11288041 | Efficient defect location in new code versions | Liu Zhen; Zhong Jia Tian; Chang Le; Zhang Ming Lei; Zhan Ting Ting; Tian Xiao Yan |
11288046 | Methods and systems for program optimization utilizing intelligent space exploration | Chen Tong; Zhang Li; Sung Hyojin; Jin Tian |
11288047 | Heterogenous computer system optimization | Bean Chris R.; Brown Kevin C. |
11288055 | Model-based differencing to selectively generate and deploy images in a target computing environment | Jean-Louis Nerla; Sinha Saurabh; Astigarraga Tara; Hull Richard Baxter; Sreedhar Vugranam C. |
11288065 | Devops driven cognitive cost function for software defect prediction | Sobran Alexander; Rane Yogesh; Zhang Bo; Ferreira Guilherme |
11288070 | Optimization of low-level memory operations in a NUMA environment | Quinn William F.; Kalavakolanu Anil; Griffith Douglas; Makineedi Sreenivas; Accapadi Mathew |
11288104 | Automatic dynamic operating system provisioning | Carielli Thomas A.; Viccica Judith Ann; Bari Paola; Ong Eugene; Theos John; Van Wagner Christopher Brian |
11288105 | Preventing deadlocks in runtime | Lu Jing; Zhang Bao; Liu Ming Ran; Liu Tie; Tang Xiao Yan; Zu Xiang |
11288109 | Determination of timeout value of an application service in a microservice architecture | Shah Amar; Grama Sowmya S. |
11288115 | Error analysis of a predictive model | Katsis Ioannis; Wolf Christine T.; Ponceleon Dulce B.; Li Yunyao; Krishnamurthy Rajasekar; Vaithyanathan Shivakumar |
11288155 | Identifying anomalies in data during data outage | Ahmed Mansoor; Kundu Sattwati; Eledath Nair Raghunath; Adinarayan Geetha |
11288170 | Log analysis debugging without running on real production environment | Chen Xiao Ling; Wang Heng; Guan Tao; Huang Wen Ji; Han Wen Bin; Li Sheng Shuang; Wang Cheng Fang |
11288173 | Test case selection | Wang Jin; Gao Lei; Zhang A Peng; Han Si Er; Xu Jing James; Li Kai |
11288194 | Global virtual address space consistency model | Johns Charles R.; Kahle James A.; Ohmacht Martin; Kim Changhoan; Brunheroto Jose R.; Evangelinos Constantinos; Kayi Abdullah; Morari Alessandro; Sexton James C.; Siegl Patrick D. |
11288208 | Access of named data elements in coordination namespace | Nair Ravi; Johns Charles R.; Kahle James A.; Kim Changhoan; Evangelinos Constantinos; Siegl Patrick D. |
11288234 | Placement of data fragments generated by an erasure code in distributed computational devices based on a deduplication factor | Chennamsetty Ramamohan; Dolph Blaine H.; Patil Sandeep R.; Shiraguppi Riyazahamad M. |
11288242 | Similarity-based search engine | Yan Wei; Wang Jie; Chen Jian Hui; Xu Yu Zhu; Ren Xiao Bo; Lu Jian |
11288279 | Cognitive computer assisted attribute acquisition through iterative disclosure | Fischer Verlyn M.; Gordon John B.; Megerian Mark G.; Tate Adam M. |
11288291 | Method and system for relation discovery from operation data | Li Jia Qi; Meng Fan Jing; Xu Jing Min; Liu Pei Ni; Zhu Zi Xiao |
11288293 | Methods and systems for ensuring quality of unstructured user input content | Kochura Nadiya; Sgobba Nicolo′; Suto Tiberiu; Rueger Erik |
11288299 | Enhanced action fulfillment using classification valency | Chen Dennis Jinlone; Dunne Jonathan; Francis Vijay; Penrose Andrew T.; Vazquez-Rivera Rogelio |
11288311 | Interactive image cloud visualization of videos | Bak Peter; Burshtein Yevgeny; Rotman Daniel Nechemia |
11288320 | Methods and systems for providing suggestions to complete query sessions | Beller Charles; Ackermann Christopher; Summers Kristen; High Rob; McQueeney David |
11288322 | Conversational agents over domain structured knowledge | Feng Song; Gunasekara Chulaka; Fadnis Kshitij; Polymenakos Lazaros; Davangere Shashidhara Sunil |
11288323 | Processing database queries using data delivery queue | Bastide Paul R.; Loredo Robert E.; Broomhall Matthew E. |
11288330 | Categorized social opinions as answers to questions | Cox Susan M.; Janakiraman Janani; Kochura Nadiya; Lu Fang |
11288337 | Object selection in web page authoring | Horiuchi Yoshio |
11288344 | Protecting an application via an intra-application firewall | Hay Roee; Kaplan David N.; Kedmi Sagi; Tripp Omer |
11288355 | Detector for online user verification | Ding Chengmin; Vernier Stanley John; Shek Elinna; Decker Renee F. |
11288375 | Automatic detection of an incomplete static analysis security assessment | Hanner Michael; Ionescu Paul; Onut Iosif V.; Turnham Jeffrey C. |
11288376 | Identifying hard-coded secret vulnerability inside application source code | Tsai Ya-Hsuan; Lin Chun-Shuo; Hsin-Yu Chuang |
11288396 | Data security through physical separation of data | Li Li; Kadlecsik Barnabas; Goldberg Itzhack; Liu Su; Lev Ran Etai |
11288397 | Masking text data for secure multiparty computation | Krishnan Padmanabhan; Kulkarni Vaibhav Murlidhar; Varadarajulu Gopikrishnan; Arora Rakhi S.; Katti Samir |
11288408 | Providing adversarial protection for electronic screen displays | Buesser Beat; Nicolae Maria-Irina; Rawat Ambrish; Sinn Mathieu; Tran Ngoc Minh; Wistuba Martin |
11288425 | Path-based timing driven placement using iterative pseudo netlist changes | Trombley Benjamin Neil; Hieter Nathaniel Douglas; Gay Daniel Arthur |
11288429 | Electrical mask validation | Corliss Daniel; Dunn Derren N.; Guillorn Michael A.; Fetterolf Shawn P. |
11288443 | Summarization method for recorded audio | Grueneberg Keith William; Crawford Jason; Lenchner Jonathan; Nitta Satya V.; Makaya Christian; Sundararajan Sharad C. |
11288459 | Adapting conversation flow based on cognitive interaction | Perez Gonzalez Ignacio; Pedrazzoli Fernando; Delgado Sánchez Alejandro A.; Garcia Guerrero Ana Patricia; Alvarez Maria Jose |
11288551 | Edge-based adaptive machine learning for object recognition | Desai Nirmit V.; Li Dawei; Salonidis Theodoros |
11288566 | Building a gossip group of domain-specific chatbots | Liu Chih-Hsiung; Tseng Li-Feng |
11288578 | Context-aware conversation thread detection for communication sessions | Wang Dakuo; Tan Ming; Yu Mo; Wang Haoyu; Gao Yupeng; Gan Chuang |
11288587 | Modular, frequency-flexible, superconducting quantum processor architecture | Paik Hanhee; Nah Jae-Woong; Andry Paul S.; Sandberg Martin O. |
11288590 | Automatic generation of training sets using subject matter experts on social media | Zimmerman Thomas G |
11288600 | Determining an amount of data of a track to stage into cache using a machine learning module | Gupta Lokesh M.; Anderson Kyler A.; Borlick Matthew G.; Ash Kevin J. |
11288601 | Self-learning selection of information-analysis runtimes | Gupta Ritesh Kumar; Kabra Namit; Jacobson Eric Allen; Brokaw Scott Louis; Ramos Jo Arao |
11288658 | System and method for automatic device connection following a contactless payment transaction | Bettesworth Gary; Martens Andreas; Rogers Sam; Thorpe Paul S. M. |
11288720 | Invoice generation recommendation | Vasudevan Shrihari; Singh Sudhanshu Shekhar; Pimplikar Rakesh Rameshrao; Garg Shweta; Parija Gyana Ranjan; Mohorn Jasmina; Narayanan Magesh A; Denove Didier; Siddiqui Khalid |
11288797 | Similarity based per item model selection for medical imaging | Gilboa-Solomon Flora; Hexter Efrat; Levanony Dana; Zlotnick Aviad |
11288798 | Recognizing pathological images captured by alternate image capturing devices | Takeuchi Yusuke; Kabeya Yoshinori; Nakano Hiroki; Ozawa Issei; Yonezawa Sho |
11288852 | Cognitive leadspace choreography | Trim Craig M.; Cunico Hernan A; Keen Martin G.; Hoots Harry |
11289067 | Voice generation based on characteristics of an avatar | Brimijoin Kristina Marie; Boland Gregory; Schwarz Joseph |
11289092 | Text editing using speech recognition | Yang JunXing; Zhong XueJun; Sun Wei; Wang ZhiXia |
11289115 | Copying a storage tape | Itagaki Hiroshi; Mitsuma Shinsuke; Miyamura Tsuyoshi; Yamamoto Noriko; Hasegawa Tohru; Abe Atsushi |
11289178 | Identifying chemical substructures associated with adverse drug reactions | Dey Sanjoy; Fokoue-Nkoutche Achille Belly; Hu Jianying; Luo Heng; Zhang Ping |
11289203 | Customized aggregate scoring using machine learning | Megerian Mark Gregory; Eggebraaten Thomas J; Setnes Marie Louise; Petri John |
11289204 | Automatic determination of underlying reasons for patient failures to adhere to patient care plans | Brown Eric W.; Eleftheriou Maria; Sailer Anca; Tsou Ching-Huei |
11289371 | Top vias with selectively retained etch stops | Anderson Brent; Clevenger Lawrence A.; Penny Christopher J.; Lanzillo Nicholas Anthony; Choi Kisik; Robison Robert |
11289375 | Fully aligned interconnects with selective area deposition | Park Chanro; Cheng Kenneth Chun Kuen; Motoyama Koichi; Yang Chih-Chao |
11289484 | Forming source and drain regions for sheet transistors | Zhang Jingyun; Xie Ruilong; Miao Xin; Reznicek Alexander |
11289573 | Contact resistance reduction in nanosheet device structure | Wu Heng; Guo Dechao; Bao Ruqiang; Wang Junli; Yu Lan; Vega Reinaldo; Carr Adra |
11289637 | Transmon qubits with trenched capacitor structures | Adiga Vivekananda P.; Sandberg Martin O.; Chow Jerry M.; Paik Hanhee |
11289638 | Superconducting qubit lifetime and coherence improvement via backside etching | Gill Douglas Max; Sandberg Martin O.; Adiga Vivekananda P.; Martin Yves; Paik Hanhee |
11289644 | Magnetic tunnel junction having all-around structure | Jabeur Kotb; Worledge Daniel; Sun Jonathan Z.; Hashemi Pouya |
11289650 | Stacked access device and resistive memory | Miyazoe Hiroyuki; Fraczak Gloria W. Y.; Virwani Kumar R.; Ando Takashi |
11289704 | Thin cathode for micro-battery | Akca Esin; Akgun Cagla; Demirci Gokhan; Farmer Damon B.; Han Shu-Jen; Maune Hareem T.; Oh Dahyun |
11289740 | Rechargeable lead-acid battery with compound and sludge leveling and shedding capability | Coq Marc H.; Fishbune Richard John; Maresh Mark; Swenson Eric |
11289836 | Land grid array electrical contact coating | O'Connell Kevin; Hoffmeyer Mark K.; Doyle Matthew |
11289852 | Detecting cable movement in physical ports | Hicks Andrew C. M.; Rawlins Ryan Thomas; DeRobertis Christopher V.; McMillan Khaalid Persaud Juggan |
11289869 | Manufacturing a press-fit apparatus | Yu Qiuyi; Fan Na; Lin Zhao; Dong Xingquan; Zhang WeiFeng |
11290086 | Superconducting resonator definition based on one or more attributes of a superconducting circuit | Olivadese Salvatore Bernardo |
11290093 | Compact delay lines and associated circuitry useful for wideband phased-array system | Chakraborty Sudipto; Sadhu Bodhisatwa; Lee Wooram |
11290414 | Methods and systems for managing communications and responses thereto | Kwatra Shikhar; Pandit Sushain; Krystek Paul; Rakshit Sarbajit K. |
11290415 | Method and apparatus for unified message adaptation | Ge Yi; Wang Jian; Yu Qi; Zhao Guo T.; Zhou Yu C. |
11290432 | Secure data transfer architecture for benchmarking | Tav Doga; Tackabury Wayne F. |
11290472 | Threat intelligence information access via a DNS protocol | Ludwig Markus; Vogeley Volker; Noske Marc; Bartelt Matthias; Noll Johannes; Isenberg Marc-André; Küllmar Uwe |
11290523 | High-speed transfer of data from device to service | Puhoffa Hanna; Seul Matthias; Din Brian; Hopkins Keira Louise |
11290532 | Tape reconstruction from object storage | Dain Joseph W.; Swingler Joseph M. |
11290536 | Updating automated communication replies based on detected situations | Silverstein Zachary A.; Grant Robert Huntington; Fox Jeremy R.; Omsberg Heather |
11290541 | Synchronous client-side log service | Lin Zhi Gang; Xiang Li; Chang Peng; Li Chun Ling; Li Dan; Zhang Xiao Feng; Chen Mu |
11290575 | Connecting computer processing systems and transmitting data | Herne Jason J.; Church, IV Walter; Hollenbeck John Michael; Meeks Kevin; Galbraith Kenneth; Mason Scott |
11291077 | Internet of things sensor major and minor event blockchain decisioning | DeLuca Lisa Seacat; Berti Joseph G. |
11293766 | Cognitive journey companion system | Epperlein Jonathan; Gambella Claudio; Griggs Wynita M.; Lassoued Yassine; Marecek Jakub; Mevissen Martin; Monteil Julien; Ordonez-Hurtado Rodrigo H.; Russo Giovanni; Shorten Robert |
11293767 | Dynamic drop off and pick up of passengers via autonomous vehicles | Kline Eric V.; Rakshit Sarbajit K. |
11293773 | Methods and systems for event initiated navigational assistance | Hardee Christopher J.; Joroff Steven R.; Nesbitt Pamela A.; Schneider Scott E. |
11293896 | Biosensor electrode having three-dimensional structured sensing surfaces | Doris Bruce B.; O'Sullivan Eugene J.; Zafar Sufi |
11293980 | Customer-transparent logic redundancy for improved yield | Arsovski Igor; Goss John R.; Hunt-Schroeder Eric D.; Killorin Andrew K. |
11294257 | Intracavity grating to suppress single order of ring resonator | Orcutt Jason S. |
11294372 | Driving mode decision support | Rosati Leonardo; Guidotti Alice; Cerri Fabio; Ragusa Roberto |
11294432 | Dynamically aligning a digital display | Rakshit Sarbajit K. |
11294573 | Generating node access information for a transaction accessing nodes of a data set index | Erdmann Derek L.; Reed David C.; Reed Thomas C.; Smith Max D. |
11294575 | Tape library initiated media verification | Freitag Bernd; Krick Frank; Oswald Tim; Seipp Harald |
11294685 | Instruction fusion using dependence analysis | Tseng Jessica Hui-Chun; Kumar Manoj; Ekanadham Kattamuri; Moreira Jose E.; Pattnaik Pratap C. |
11294695 | Termination of programs associated with different addressing modes | Tang Xiao Yan; Li Naijie; Lu Jing; Liu Ming Ran; Mehta Kershaw S. |
11294727 | Resolving cryptographic bottlenecks for distributed multi-signature contracts shared with cryptographic accelerators by switching between local and accelerator cryptographic libraries | Ragnoli Emanuele; Rafique Mustafa; Sheehan John; Reilly Kevin |
11294737 | Self-managed lock access | Weishaupt Simon; Nerz Bernd; Fischer Wolfgang |
11294748 | Identification of constituent events in an event storm in operations management | Settle Jonathan Ian; Stewart Kristian Jon; Davis Haydn Richard |
11294759 | Detection of failure conditions and restoration of deployed models in a computing environment | Duesterwald Evelyn; Oum Punleuk; Fang Gaodan; Saha Debashish; Murthi Anupama; Hummer Waldemar |
11294802 | Identifying incorrect variable values in software testing and development environments | Anaya Francisco M.; Chen Tom C. L.; Fulton Michael S.; Truong Trong |
11294803 | Identifying incorrect variable values in software testing and development environments | Anaya Francisco M.; Chen Tom C. L.; Fulton Michael S.; Truong Trong |
11294804 | Test case failure with root cause isolation | Hicks Andrew C. M.; Stamboni Diane Marie; Steen Joshua David; Arquero Gregg; Conti Thomas William; Kasper Michael Page |
11294812 | Obtaining cache resources for expected writes to tracks in a write set after the cache resources were released for the tracks in the write set | Peterson Beth Ann; Fung Chung Man; Kalos Matthew J.; Craig Matthew Richard |
11294829 | Cache replacement with no additional memory space | Inoue Hiroshi |
11294831 | Optimizing time-dependent simulations of quantum computing architectures | Nation Paul; Kanazawa Naoki |
11294884 | Annotation assessment and adjudication | Banipal Indervir Singh; Freed Andrew R. |
11294886 | Fixing anomalies in a preserved data structure used to generate a temporary data structure during system initialization | Borlick Matthew G.; Gupta Lokesh M.; Hardy Clint A. |
11294892 | Virtual archiving of database records | Oliveira Lizardo Luis Eduardo; Beier Felix; Stolze Knut; Geiselhart Reinhold |
11294907 | Domain query execution using user-provided definition | Sen Jaydeep; Mittal Ashish; Saha Diptikalyan; Sankaranarayanan Karthik |
11294909 | Detection and utilization of attributes | Srivastava Dhanashree; van der Broek Arjen W. |
11294913 | Cognitive classification-based technical support system | Tiwari Awadesh; Mahindru Ruchi; Kowta Ramshanker; Kizhakoot Ramachandran Jayachandran |
11294938 | Generalized distributed framework for parallel search and retrieval of unstructured and structured patient data across zones with hierarchical ranking | Beymer David; Syeda-Mahmood Tanveer |
11294943 | Distributed match and association of entity key-value attribute pairs | Brunet Thomas A.; Hiremath Pushpalatha M.; Naganna Soma Shekar; Scott, II Willie L. |
11294945 | Unsupervised text summarization with reinforcement learning | Kohita Ryosuke; Wachi Akifumi |
11294967 | Navigation path metadata sentiment awareness | Fox Jeremy R.; Hewitt Trudy L.; Rice John; Harpur Liam S. |
11294983 | Inferred user identity in content distribution | Hepper Stefan |
11294986 | Iterative energy-scaled variational quantum eigensolver | Mezzacapo Antonio; Chen Richard; Pistoia Marco |
11295006 | Programming code execution management | Chauvet Philip R.; Malinowski Joseph V.; Reed David C.; Smith Max D. |
11295013 | Dimensionality reduction based on functionality | Copty Fady; Jarrous Ayman; Salman Tamer; Shudrak Maksim |
11295028 | Multi-key encrypted data deduplication | Hetzler Steven Robert; Hineman Wayne C.; Best John Stewart |
11295031 | Event log tamper resistance | Kuan Michael; Ballentine Scott; Sofia Anthony Thomas |
11295037 | Data scanning and removal for removable storage device | Cracraft Michael |
11295048 | Machine learning assisted reservoir simulation | Borrel Paul; Kormaksson Matthias; Paz Carmen Nilda Mena |
11295070 | Process-level metadata inference and mapping from document annotations | Avery Kenytt D.; Bader Edward L.; Gatewood Patricia V.; Trinh Kevin N. |
11295077 | Stratification of token types for domain-adaptable question answering systems | Boxwell Stephen A.; Frost Keith G.; Brake Kyle M.; Vernier Stanley J. |
11295080 | Automatic detection of context switch triggers | Allen Corville O.; Delima Roberto; Contreras David; Mahajan Krishna |
11295084 | Cognitively generating information from videos | Qin Wei; Zhang Jing Jing; Men Xi Juan; Duan Xiaoli; Chen Yue; Zong Dong Jun |
11295089 | Dynamically enhancing an instrument using multi-stem definitions | Raghupatruni Nagesh; Tippala Narendra Reddy; Perumalla Saraswathi Sailaja; Batchu Krishna Reddy Venkata; Bachu Sreedhar Rao |
11295175 | Automatic document separation | Adeniran Abisola; Aliyu Aisha; Xu Qingxue |
11295177 | Ensemble weak support vector machines | Sakamoto Masaharu; Makino Yasue; Kobayashi Hiromi; Kobayashi Hirokazu |
11295197 | Facilitating extraction of individual customer level rationales utilizing deep learning neural networks coupled with interpretability-oriented feature engineering and post-processing | Murali Pavankumar; Zhou Nianjun; Li Ta-Hsin; Mazzoleni Pietro; Gifford Wesley |
11295198 | Implementation model of self-organizing reservoir based on lorentzian nonlinearity | Kanazawa Naoki |
11295201 | Time-division multiplexed neurosynaptic module with implicit memory addressing for implementing a neural network | Arthur John V.; Brezzo Bernard V.; Chang Leland; Friedman Daniel J.; Merolla Paul A.; Modha Dharmendra S.; Montoye Robert K.; Seo Jae-sun; Tierno Jose A. |
11295203 | Optimizing neuron placement in a neuromorphic system | Alvarez-Icaza Rodrigo; Datta Pallab; Kusnitz Jeffrey A. |
11295204 | Area-efficient, reconfigurable, energy-efficient, speed-efficient neural network substrate | Modha Dharmendra S. |
11295208 | Robust gradient weight compression schemes for deep learning applications | Agrawal Ankur; Brand Daniel; Chen Chia-Yu; Choi Jungwook; Gopalakrishnan Kailash |
11295211 | Multi-scale object detection with a trained neural network | Wang Junsong; Gong Yan; Xu Chang; Li Yubo |
11295213 | Conversational system management | Mei Li Jun; Li Qi Cheng; Zhou Xin; Dang Ya Bin; Li Shao Chun |
11295219 | Answering questions based on semantic distances between subjects | English Jennifer Ann; Kossarian Malous Melissa; McManis, Jr. Charles E.; Smith Douglas A. |
11295223 | Quantum feature kernel estimation using an alternating two layer quantum circuit | Corcoles-Gonzalez Antonio; Temme Paul Kristan; Gambetta Jay M. |
11295230 | Learning personalized actionable domain models | Manikonda Lydia; Sohrabi Araghi Shirin; Srivastava Biplav; Talamadupula Kartik |
11295236 | Machine learning in heterogeneous processing systems | Duenner Celestine; Parnell Thomas; Pozidis Charalampos |
11295239 | Peer assisted distributed architecture for training machine learning models | Bhattacharjee Bishwaranjan; Castro Paul C.; Isahagian Vatche; Muthusamy Vinod; Slominski Aleksander |
11295242 | Automated data and label creation for supervised machine learning regression testing | Chang Yuan-Chi; Turaga Deepak Srinivas; Vu Long; Pavuluri Venkata Nagaraju; Sathe Saket; Ngueyep Tzoumpe Rodrigue |
11295243 | Cost-based migration waves planning | Devarakonda Murthy; Joukov Nikolai A.; Pfitzmann Birgit M.; Thompson Lawrence H. |
11295247 | Discovery and generation of organizational key performance indicators utilizing glossary repositories | Chandrasekaran Swaminathan; Krishnamurthy Lakshminarayanan; Walk Christopher L. |
11295251 | Intelligent opportunity recommendation | Chang Alice J.; Dubiel Benjamin; Tian Xiaoxi; Daly Elizabeth; Vejsbjerg Inge L.; Mattetti Massimiliano; Chen Bei; Alkan Oznur; Botea Adi I.; Abrol Sanjmeet; Li Weiwei; Zwiren Alan |
11295257 | Cognitive prioritization for report generation | Asthana Shubhi; Becker Valeria; Gajananan Kugamoorthy; Megahed Aly |
11295258 | Cross domain integration in product lifecycle management | Derebail Annap; Rajasekharan Amaresh; Singh Man Mohan |
11295302 | Network system and method for transferring cryptocurrencies between a user account and a receiving account | Androulaki Elli; Kind Andreas; Koltsidas Ioannis |
11295309 | Eye contact based financial transaction | Rakshit Sarbajit K. |
11295317 | Authentication of packaged products | Camenisch Jan L.; Delamarche Emmanuel; Freire-Stögbuchner Eduarda; Goekce Onur |
11295320 | Dynamic management of a customer life-cycle value | Chowdhary Pawan; Ettl Markus; Keefer Donald; Toma Gabriel; Xue Zhengliang |
11295355 | User feedback visualization | Lu Cindy Han; Tran Thai Quoc; Singh Animesh; Brahmaroutu Srinivas R. |
11295366 | Matching customer and product behavioral traits | Leong Alan M. E.; Maharaj Shaun N.; Pooni Parnit; Yau Chi Kam P. |
11295372 | Directing movement of a self-driving vehicle based on sales activity | Gordon Michael S.; Kozloski James R.; Kundu Ashish; Malkin Peter K.; Pickover Clifford A. |
11295401 | Predictive hotel arrival using keyless entry systems | Boss Gregory J.; Bostick James E.; Ganci, Jr. John M.; Keen Martin G. |
11295405 | Cognitive recommendations for first responders | Dejewski Donald P.; Flores Romelia H.; Jenkins David L.; Kim Hong S.; Tennenbaum Jeffrey L. |
11295439 | Image recovery | Li Fan; Hu Guo Qiang; Zhu Jun; Zhu Sheng Nan; Huang JingChang; Ding Yuan Yuan; Ji Peng |
11295497 | Dynamic subtitle enhancement | Castellucci Antonio; Morgantini Daniele; Girolami Carola; Anselmi Giorgio |
11295543 | Object detection in an image | Liu Wen; Zhang Shi Lei; Shi Qin; Huang Songfang |
11295708 | Two-dimensional indication in contents | Lei Chang; Mao Xiao Xia; Yang Wenwen; Zhu Jie |
11295726 | Synthetic narrowband data generation for narrowband automatic speech recognition systems | Thotempudi Vamshi Krishna; Arnoux Pierre-Hadrien; Sinha Vibha S. |
11295727 | Conversation-based chatbot training | Mei Lijun; Li Qi Cheng; Zhou Xin; Han Xue; Wang Yan Fei |
11295762 | Unsupervised speech decomposition | Qian Kaizhi; Zhang Yang; Chang Shiyu; Gan Chuang; Cox David |
11295829 | Built-in self-test (BIST) engine configured to store a per pattern based fail status in a pattern mask register | Busi Aravindan J.; Goss John R.; Grzymkowski Paul J.; Mondal Krishnendu; Narayan Kiran K.; Ouellette Michael R.; Ziegerhofer Michael A. |
11295834 | Report links | Reicher Murray A.; Fram Evan K. |
11295969 | Hybridization for characterization and metrology | Muthinti Gangadhara Raja; Sendelbach Matthew; Koret Roy; Cepler Aron; Lee Wei Ti |
11295978 | Interconnects having spacers for improved top via critical dimension and overlay tolerance | Anderson Brent; Clevenger Lawrence A.; Lanzillo Nicholas Anthony; Penny Christopher J.; Choi Kisik; Robison Robert |
11295982 | Forming ultra-thin chips for flexible electronics applications | Sakuma Katsuyuki; Hu Huan; Liu Xiao Hu |
11295983 | Transistor having source or drain formation assistance regions with improved bottom isolation | Xie Ruilong; Reznicek Alexander; Leobandung Effendi; Zhang Jingyun |
11295985 | Forming a backside ground or power plane in a stacked vertical transport field effect transistor | Zhang Chen; Yamashita Tenko; Cheng Kangguo; Clevenger Lawrence A. |
11295988 | Semiconductor FET device with bottom isolation and high-κ first | Xie Ruilong; Frougier Julien; Zhang Jingyun; Reznicek Alexander; Ando Takashi |
11295995 | Testing SRAM structures | Wong Robert; Bruno Alfred |
11296093 | Deep trench capacitor distribution | Regev Asaf; Berry Christopher; Geva Ofer; Atias Amit Amos; Schell Timothy A. |
11296226 | Transistor having wrap-around source/drain contacts and under-contact spacers | Song Yi; Joseph Praveen; Greene Andrew; Cheng Kangguo |
11296722 | Integrated physical coding sublayer and forward error correction in networking applications | Basso Claude; Song Cheng Wei; Verplanken Fabrice Jean |
11296771 | Base station beam management based on terminal transmit data indication | Verma Dinesh C.; Raghavendra Ramya; Ko Bong Jun; Srivatsa Mudhakar; Desai Nirmit V.; Ganti Raghu Kiran; Wang Shiqiang; Chakraborty Supriyo |
11296864 | Identifying faults in a blockchain ordering service | Deshpande Pralhad Dinesh; Sarkar Proshanta; Mitra Santanu; Dutta Sudip |
11296886 | Dynamic object passwords | Kline Eric V.; Maresh Mark E.; Nolan Colm; Vargas Juan F.; Vasudevan Cheranellore; Whitney Michael J. |
11296942 | Relative device placement configuration | Kabra Namit; Rakshit Sarbajit K.; Ekambaram Vijay |
11297054 | Authentication system(s) with multiple authentication modes using one-time passwords of increased security | Cooper Ross David; Onghena Michael |
11297064 | Blockchain authentication via hard/soft token verification | Bathen Luis Angel D.; Madl Gabor; Routray Ramani |
11297065 | Technology for computing resource liaison | Chandra Dusi Sarath; Vajipayajula Sulakshan; Iyer Sreekanth Ramakrishna |
11297066 | Constrained roles for access management | Wei Yi-hsiu; Chang David Yu; Chao Ching-Yun; Lin Hui-Ming |
11297090 | Security evaluation for computing workload relocation | dos Santos Silva Bruno; Williams Ron; Kaminsky David |
11297105 | Dynamically determining a trust level of an end-to-end link | Sofer Oded |
11297223 | Detecting conditions and alerting users during photography | Rakshit Sarbajit K.; Keen Martin G.; Bostick James E.; Ganci, Jr. John M. |
11297383 | Gap filling using personalized injectable media | Decrop Clement; Silverstein Zachary A.; Keen Martin G.; Trim Craig M. |
11297758 | Automated leveling system for maintaining level of a surface | Johnson Benjamin Luke; Beller Charles E.; Dimascio Carmine; Byron Donna K.; Pinel Florian |
11298459 | Wearable medical device for monitoring intravenous injection | Du Jing; Chao Tzu-Chen; Lan Ci-Wei; Yang Xiang Yu; Zhang Chao; Hao Xin Fang |
11298721 | Pre-treating polymer tubing or hose with a hydrophobic coating to reduce depletion of corrosion inhibitor | Buvid Daniel J.; Campbell Eric J.; Jandt Tyler; Kuczynski Joseph |
11298769 | Prevention of dripping of material for material injection | Aoki Toyohiro; Nakamura Eiji; Hisada Takashi |
11298820 | Corpus curation for action manifestation for cognitive robots | Rusu Laura Irina; Sharon Guy; Sivakumar Gandhi |
11299801 | Structure and method to fabricate highly reactive physical vapor deposition target | Brown Stephen L.; Doris Bruce B.; Reuter Mark C. |
11300418 | Customized trip grouping based on individualized user preferences | Liu Su; Liu Kai; Li Zhichao; Ravi Manjunath |
11300605 | Printed circuit board performance evaluation techniques | Berge Layne A.; Doyle Matthew S.; Orozco Manuel; Dangler John R.; Liang Thomas W.; Bjorgaard Jason J. |
11300707 | Solar forecasting using machine learned cloudiness classification | Hamann Hendrik F.; Khabibrakhmanov Ildar; Kim Younghun; Lu Siyuan |
11300708 | Tuning weather forecasts through hyper-localization | Bueno Buoro Alvaro; Figueredo de Santana Vagner |
11300734 | Interlacing boot for two-row ferrule ribbon for one dimensional photonic chip beach front | Janta-Polczynski Barnim Alexander |
11300881 | Line break repairing layer for extreme ultraviolet patterning stacks | Meli Thompson Luciana; Guo Jing; Felix Nelson; De Silva Ekmini Anuja |
11300945 | Automated prototype creation based on analytics and 3D printing | Cama Karl J.; Herman Norbert; Ray Shubhadip |
11301041 | Hand tremor accessible using augmented reality user interface | Patnaikuni Subha Kiran; Rakshit Sarbajit K. |
11301134 | Using attack trees to reduce memory consumption by rule engines | Hatsutori Yoichi; Mishina Takuya; Sato Naoto; Satoh Fumiko |
11301136 | Capacity forecasting based on capacity policies and transactions | Cheng Sonia; Hurwitch Pamela H.; Kisin Roman; Oswal Anirudh; Paknad Deidre; Simpson Irina |
11301165 | Accelerating shared file checkpoint with local burst buffers | Gooding Thomas; Lemarinier Pierre; Rosenburg Bryan S. |
11301170 | Performing sub-logical page write operations in non-volatile random access memory (NVRAM) using pre-populated read-modify-write (RMW) buffers | Sallese Kevin E.; Fisher Timothy; Walls Andrew D. |
11301211 | Differential mixed signal multiplier with three capacitors | Kim Seyoung; Kang Mingu; Kim Kyu-hyoun; Woo Seonghoon |
11301215 | Real time configuration of multiple true random number generator sources for optimized entropy generation | Lamb Kirk David; Hadzic Nihad |
11301223 | Artificial intelligence enabled function logic infusion | Baughman Aaron K.; Kwatra Shikhar; Marzorati Mauro; Trim Craig M. |
11301244 | Machine learning based tracking of derivaitive code | Trim Craig M.; Watkins Natalie; Sivaswamy Hemant Kumar; Silverstein Zachary A. |
11301245 | Detecting bias in artificial intelligence software by analysis of source code contributions | DeLuca Lisa Seacat; Anders Kelley; Silverstein Zachary A.; Fox Jeremy R. |
11301254 | Instruction streaming using state migration | Battle Steven J.; Bowman Joshua W.; Le Hung Q.; Nguyen Dung Q.; Thompto Brian W. |
11301277 | Channel identifier coupling with virtualized communications hardware | Szwed Peter Kenneth; Lyons Michael Peter |
11301279 | Associating virtual IP address of virtual server with appropriate operating system in server cluster | Brown Patrick Gerald; Stevens Jerry |
11301289 | Cognitive monitoring of data collection in real time | Chakra Al; Pan Tian Ming; Tian Peng Fei; Zhu Bo Chen Z; Cloud Tony Chu Yun |
11301301 | Workload offloading between computing environments | Tang Gang; Wang Yue; Rong Liang; Zhang Wen Tao |
11301306 | Apparatus, systems, and methods for remote system batch processing | Reed David C.; Boenig, II Ronald David; Shackelford David Michael |
11301313 | Collaborative application testing | Segal Ory; Saltzman Roi; Tripp Omer |
11301329 | Point-in-time copy on a remote system | Sipka Andrea; Wilkinson John P. |
11301335 | Database backup performance | Joshi Juilee A.; Kumar Kapish; Raut Rohit |
11301351 | Machine learning based data monitoring | Bigaj Rafal; Cmielowski Lukasz G.; Sobala Wojciech; Erazmus Maksymilian |
11301352 | Selecting metrics for system monitoring | Zhuo Ling; Dai Yi; Xia Yin; Cao Ying; Wang Enzhong |
11301358 | Using false positives to detect incorrectly updated code segments | Hicks Andrew C. M.; Gildein Michael E; Cohoon Michael Terrence |
11301359 | Remote debugging parallel regions in stream computing applications | Chiu Henry; Fawcett Bradley William; Sun Jingdong; Nikolai Jason A. |
11301364 | Facilitating debugging serverless applications via graph rewriting | Fink Stephen; Mitchell Nicholas Matthew |
11301386 | Dynamically adjusting prefetch depth | Karve Mohit; Britto Vivek; Rohrbaugh, III George W. |
11301392 | Address translation cache invalidation in a microprocessor | Chatterjee Debapriya; Cockcroft Bryant; Leitner Larry; Schumann John A.; Yokum Karen |
11301394 | Using a machine learning module to select one of multiple cache eviction algorithms to use to evict a track from the cache | Gupta Lokesh M.; Borlick Matthew G.; Anderson Kyler A.; Ash Kevin J. |
11301429 | Shared document editing in the blockchain | Bastide Paul R.; Dunne Jonathan; Harpur Liam; Loredo Robert E. |
11301439 | System and method for object migration using waves | Athey Richard K.; Baker John K.; Caswell Ronda L.; Lee Steve N.; Markley Matthew A.; Pfitzmann Birgit; Tacci Michael; Taylor Bruce G.; Willingham Tylon O. |
11301444 | Methods and systems for data traceability and provenance | Jacob Sushil George Thayyil; Kannan Kalapriya; Tummala Sumanth |
11301471 | Database join prefetcher | Zhang Hong Mei; Wang Xiaobo; Li Shuo; Sun Sheng Yan |
11301479 | Service provider selection for queries sent to a digital assistant | Rakshit Sarbajit K.; Keen Martin G.; Bostick James E.; Ganci, Jr. John M. |
11301504 | Post hoc bias compensation | Connell, II Jonathan Hudson; Ratha Nalini K.; Pankanti Sharathchandra |
11301563 | Recurrent neural network based anomaly detection | Huang Heqing; Lee Taesung; Molloy Ian M.; Gu Zhongshu; Zhang Jialong; Rao Josyula R. |
11301578 | Protecting data based on a sensitivity level for the data | Bhosale Nilesh P.; Dain Joseph W.; Kishi Gregory T.; Patil Sandeep R. |
11301590 | Unfalsifiable audit logs for a blockchain | Camenisch Jan L.; Dubovitskaya Maria; Drijvers Manu |
11301594 | Cognitive security system | Trim Craig M.; Rodriguez Bravo Cesar Augusto; Cespedes Corrales Yered Andres; Monge Nunez Roxana |
11301600 | Methods for generating a contributor-based power abstract for a device | Dhanwada Nagashyamala R.; Dungan William W.; Hathaway David J.; Joseph Arun; Mittal Gaurav; Nigaglioni Ricardo H. |
11301626 | Artificial intelligence based context dependent spellchecking | Karagiannis Panos; Kune Ladislav; Potdar Saloni; Wang Haoyu; Rao Navneet N. |
11301629 | Interleaved conversation concept flow enhancement | Bastide Paul R.; Lu Fang; Loredo Robert E.; Broomhall Matthew E. |
11301638 | Holistic knowledge representation for semantic modeling of structured data | Sun Changying; Luo Lin; Wills Graham |
11301640 | Cognitive assistant for co-generating creative content | Sankaran Anush; Lohia Pranay; Agrawal Priyanka; Shrivastava Disha; Laha Anirban; Jain Parag |
11301648 | Smart train | Arquero Gregg M.; Dow Eli M.; Hossain Syed F.; Schaeffer Joshua; Tang Yunli |
11301688 | Classifying a material inside a compartment at security checkpoints | Ahmed Mohamed Nooman; Woo Samuel H. |
11301714 | Detecting usage of copyrighted video content using object recognition | Hardee Christopher J.; Joroff Steven Robert; Nesbitt Pamela Ann; Schneider Scott Edward |
11301720 | Training set enrichment with insignificantly-abnormal medical images | Levanony Dana; Perek Shaked; Hexter Efrat |
11301748 | Automatic feature extraction from aerial images for test pattern sampling and pattern coverage inspection for lithography | Sha Jing; Burkhardt Martin; Burns Sean |
11301752 | Memory configuration for implementing a neural network | Leobandung Effendi |
11301757 | Fault-tolerant power-driven synthesis | Alpert Charles J.; Datta Pallab; Flickner Myron D.; Li Zhou; Modha Dharmendra S.; Nam Gi-Joon |
11301760 | Automated postulation thresholds in computer-based questioning | Rakshit Sarbajit K.; Trim Craig M.; Povar Victor; Wyatt Veronica |
11301772 | Measurement, analysis and application of patient engagement | Ito Marcia; Ribeiro Gabriel Do Nascimento |
11301773 | Method and system for time series representation learning via dynamic time warping | Lei Qi; Sun Wei; Vaculin Roman; Yi Jinfeng |
11301776 | Memory-based data selection scheme for machine learning training on limited memory resources | Duenner Celestine; Parnell Thomas P.; Pozidis Charalampos |
11301791 | Fulfilment machine for optimizing shipping | Zhu Yada; Liu Xuan; Quanz Brian Leo; Deshpande Ajay Ashok; Koc Ali; Cao Lei; Li Yingjie |
11301792 | Cross domain integration in product lifecycle management | Derebail Annap; Rajasekharan Amaresh; Singh Man Mohan |
11301794 | Machine for labor optimization for efficient shipping | Zhu Yada; Liu Xuan; Quanz Brian Leo; Deshpande Ajay Ashok; Koc Ali; Cao Lei; Li Yingjie |
11301798 | Cognitive analytics using group data | Malpani Ravi |
11301819 | Opportunistic multi-party reminders based on sensory data | Liu Su; Rozner Eric; Hwang Inseok; Lee Jinho |
11301820 | Bi-directional feed between electronic calendar and credit-card authorization unit | Gordon Michael S.; Kozloski James Robert; Kundu Ashish; Malkin Peter Kenneth; Pickover Clifford A. |
11301885 | Data clustering and user modeling for next-best-action decisions | Herman Norbert; Lambert Daniel T. |
11301909 | Assigning bias ratings to services | Srivastava Biplav; Rossi Francesca |
11301944 | Configuring classroom physical resources | Darnell Shelby S.; Pankanti Sharathchandra U.; Ratha Nalini K.; Weldemariam Komminist |
11301946 | Intelligent career monitoring and correction in a computing environment | Alkan Oznur; Botea Adi; Daly Elizabeth; Pedemonte Pablo; Valente Abel Nicolas |
11301948 | Parking continuity with unused duration between automated vehicles | Weldemariam Komminist; Markus Serfaty Isaac; Gordon Michael S.; Kozloski James R.; Kwatra Shikhar |
11301991 | Methods and systems for performing image analytics using graphical reporting associated with clinical images | Reicher Murray A.; DeVries Jon T.; Ferro, Jr. Michael W.; Sati Marwan |
11302027 | Methods and systems for managing virtual reality sessions | Keen Martin G.; Johnson Richard D.; Smye-Rumsby Adam; Starks Kimberly G. |
11302044 | Method of determining contrast phase of a computerized tomography image | Sitek Arkadiusz; Graf Benedikt; Xie Yiting; Katouzian Amin; Takeuchi Yusuke; Dufort Paul |
11302049 | Preventing transition shocks during transitions between realities | Bastide Paul R.; Broomhall Matthew E.; Harpur Liam S.; Sun Lin |
11302091 | Cloning a computing environment | Rodriguez Jean-Michel |
11302095 | Cognitive motion picture analysis | Challa Avinash; Salins Mary; Vadapalli Sunil Kumar V.; Ponnada Archana |
11302096 | Determining model-related bias associated with training data | Lohia Pranay Kumar; Saha Diptikalyan; Bhide Manish Anand; Mehta Sameep |
11302129 | Computer automated retrieval of previously known access code(s) for a security device controlling access | Whitsett Montrez; Rogalski Mark Daniel; Roberts Gregory Wayne; Cantu Pedro |
11302205 | Language learning and speech enhancement through natural language processing | Amin Mahmoud; Bi Zhenxing; Clevenger Lawrence A.; Clevenger Leigh Anne H.; Penny Christopher J.; Tunga Krishna R.; Vaishnav Loma |
11302208 | Dynamically providing video checkpoint questions to counter viewer distraction events | Rakshit Sarbajit K.; Keen Martin G.; Ganci, Jr. John M.; Bostick James E. |
11302308 | Synthetic narrowband data generation for narrowband automatic speech recognition systems | Thotempudi Vamshi Krishna; Arnoux Pierre-Hadrien; Sinha Vibha S. |
11302309 | Aligning spike timing of models for maching learning | Kurata Gakuto; Audhkhasi Kartik |
11302323 | Voice response delivery with acceptable interference and attention | Ray Shubhadip; Rakshit Sarbajit K.; Sanyal Avik; Trim Craig M. |
11302348 | Split band multichannel magnetic recording head having scaled reader widths | Biskeborn Robert |
11302372 | MTJ stack containing a top magnetic pinned layer having strong perpendicular magnetic anisotropy | Woo Seonghoon; Gottwald Matthias Georg |
11302378 | Semiconductor circuit including an initialization circuit for initializing memory cells and clearing of relatively large blocks of memory | Schmidt Martin Bernhard; Altevogt Peter; Gellerich Wolfgang; Pille Juergen; Raisch Christoph |
11302403 | Calculating corrective read voltage offsets in non-volatile random access memory | Papandreou Nikolaos; Pozidis Charalampos; Ioannou Nikolas; Pletka Roman Alexander; Stoica Radu Ioan; Tomic Sasa; Fisher Timothy; Fry Aaron Daniel |
11302423 | Predicting patients who can benefit from a clinical trial | Will Eric W; Clark Adam |
11302424 | Predicting clinical trial eligibility based on cohort trends | Will Eric W; Clark Adam |
11302434 | Nutrition-based management of health conditions using machine learning | Whalen Diane; Lu Fang |
11302440 | Accelerating human understanding of medical images by dynamic image alteration | Reicher Murray A.; Sati Marwan |
11302443 | Systems and methods for alerting on ambiguous advice of medical decision support systems | Kartoun Uri; Lu Fang; Campion Francis; Ng Kenney |
11302458 | Composite solid electrolytes for rechargeable energy storage devices | Aetukuri Naga Phani Babu; Miller Robert D.; Na Young-hye; Scott John Campbell; Yahyazadeh Sogol |
11302532 | Self-aligned double patterning with spacer-merge region | Topaloglu Rasit Onur; Lai Kafai; Shao Dongbing; Xu Zheng |
11302571 | Cut integration for subtractive first metal line with bottom up second metal line | Ghosh Somnath; Chen Hsueh-Chung; Xu, Jr. Yongan; Mignot Yann; Clevenger Lawrence A. |
11302573 | Semiconductor structure with fully aligned vias | De Silva Ekmini Anuja; Dutta Ashim; Joseph Praveen; Felix Nelson |
11302575 | Subtractive line with damascene second line type | Anderson Brent; Penny Christopher J; Clevenger Lawrence A.; Lanzillo Nicholas Anthony; Choi Kisik; Robison Robert |
11302630 | Electrode-via structure | Standaert Theodorus E.; Yang Chih-Chao; Edelstein Daniel Charles |
11302637 | Interconnects including dual-metal vias | Pranatharthi Haran Balasubramanian S.; Sil Devika; Nogami Takeshi |
11302639 | Footing flare pedestal structure | Yang Chih-Chao; Li Baozhen; Dutta Ashim |
11302651 | Laminated stiffener to control the warpage of electronic chip carriers | Sikka Kamal K.; Tunga Krishna R.; Toy Hilton T.; Weiss Thomas; Li Shidong; Iruvanti Sushumna |
11302794 | FinFET with dual work function metal | Xie Ruilong; Ando Takashi; Reznicek Alexander; Hashemi Pouya |
11302797 | Approach to bottom dielectric isolation for vertical transport fin field effect transistors | Bi Zhenxing; Devarajan Thamarai S.; Pranatharthiharan Balasubramanian; Mehta Sanjay C.; Sankarapandian Muthumanickam |
11302799 | Method and structure for forming a vertical field-effect transistor | Xu Peng; Lee Choonghyun; Cheng Kangguo; Li Juntao |
11302810 | Ferroelectric field effect transistor with nanowire core | Gong Nanbo; Ando Takashi; Cohen Guy M. |
11302813 | Wrap around contact for nanosheet source drain epitaxy | Reznicek Alexander; Miao Xin; Lee Choonghyun; Zhang Jingyun |
11302857 | Cryogenic refrigeration for low temperature devices | Holmes Steven J.; Sadana Devendra K.; Bedell Stephen W.; Li Ning |
11302863 | STT MRAM matertails with heavy metal insertion | Hu Guohan; Worledge Daniel |
11302967 | Low-voltage microbattery | Brew Kevin W.; Gunawan Oki; Singh Saurabh; Todorov Teodor K. |
11303245 | Phase noise reduction using triple-coil transformer coupling | Sadhu Bodhisatwa |
11303285 | Multi-mode design and operation for transistor mismatch immunity | Strom James; Unterborn Erik; Sperling Michael; Chidambarrao Dureseti; Kesselring Grant P. |
11303296 | Hardware accelerated compression of instrumentation data | Bergmann Tobias U.; Theurich Klaus |
11303441 | Reverting from a new security association to a previous security association in response to an error during a rekey operation | Hathorn Roger G.; Driever Patricia G.; Colonna Christopher J.; Zee Mooheng; Welsh Mikel William; Crasta Alol Antony; Flanagan John R. |
11303442 | Blockchain notification board storing blockchain resources | Iwama Futoshi; Tateishi Takaaki; Amano Shunichi; Yoshihama Sachiko |
11303446 | Prevention of majority attacks | Koorella Vijender; Pacheco Daniel; Gunjal Richard Daniel; Chao Ching-Yun |
11303454 | Producing and verifying computational determinations using a distributed ledger | Herrin Bradley C.; Zhu Xianjun; Zhang Bo; Sobran Alexander |
11303456 | Compute digital signature authentication sign instruction | Schwarz Eric M.; Bradbury Jonathan D.; Malley Edward T.; Jacobi Christian |
11303465 | Contextually aware conferencing system | Trim Craig M.; Griffin Adam Lee; Kwatra Shikhar; Chantz Hyman David |
11303517 | Software patch optimization | Grant Robert Huntington; Covell Jacob; Sandridge Thomas Jefferson; Huang Kevin |
11303562 | Dynamic high-availability architecture | Liu Guang Ya; Cao Long Long; Chen Hui; Zhao Xu; Hao Qing |
11303587 | Chatbot information processing | Huang Louis; Lin Chih-Yuan; Shi Jin; Chen Sharon; Lin Pei-Yi |
11303615 | Security information propagation in a network protection system | Rodniansky Leonid; Butovsky Tania |
11303618 | Encryption management | Gupta Lokesh Mohan; Borlick Matthew G.; Hack Mark Elliott; Robison Micah |
11303649 | Maintaining electronic communications session continuity during session inactivity | Hatfield Jennifer M.; Bender Michael; Seager Todd P.; Fox Jeremy R. |
11303659 | Detecting inappropriate activity in the presence of unauthenticated API requests using artificial intelligence | Yu Xuejie; Bartelt Matthias; Hauptmann Manuel; Williams Ronald; Tilahun Lidiya Mekbib; Kumari Archana |
11303672 | Detecting replay attacks using action windows | Finkelshtein Andrey; Hazan Itay |
11303674 | Detection of phishing campaigns based on deep learning network detection of phishing exfiltration communications | Onut Iosif; Cui Qian; Jourdan Guy-Vincent |
11303683 | Methods and systems for managing distribution of online content based on content maturity | Wilson John; Kwatra Shikhar; Krystek Paul; Rakshit Sarbajit |
11303712 | Service management in distributed system | Li Guan Chao; Yin Kun Yan; Zhan Sheng Hui; Xue Zhe; Ning Gang |
11304304 | Ionic contaminant cleaning | Cai Vincent Y C; Wang Hui Xing; He Djanie; Huang Joan |
11306211 | Porosity reduction by encapsulated polymerizing agents | Campbell Eric J.; Czaplewski-Campbell Sarah K.; Kobilka Brandon M.; Wertz Jason T. |
11307147 | Accurate colorimetric based test strip reader system | Lu Minhua; Siu Vince; Budd Russell; Colgan Evan; Knickerbocker John |
11307187 | Detection of area of abnormal air quality within a geographical area | Qu Junmei; Wang Lingyun; Bai Xin Xin; Xia Xi; Shao Jin Yan |
11307250 | Creating time-resolved emission images of integrated circuits using a single-point single-photon detector and a scanning system | Stellari Franco; Song Peilin |
11307477 | Anti-peep screen with dynamically adjustable optical screen | Xia Yin; Yin Ting; Chen Dong; Zhan Ting Ting Bj; Meng Xiang Juan |
11307496 | Metal brush layer for EUV patterning | De Silva Ekmini Anuja; Guo Jing; Church Jennifer; Goldfarb Dario |
11307770 | Capacity forecasting based on capacity policies and transactions | Cheng Sonia; Hurwitch Pamela H.; Kisin Roman; Oswal Anirudh; Paknad Deidre; Simpson Irina |
11307796 | Mapping memory allocation requests using various memory attributes | Khandual Anshuman; Sethuraman Saravanan; Tavva Venkata K.; Haridass Anand |
11307802 | NVMe queue management multi-tier storage systems | Patel Kushal; Patel Sarvesh S.; Roy Subhojit |
11307825 | Recording a separated sound from a sound stream mixture on a personal device | Rakshit Sarbajit K.; Bhide Manish Anand; Nagar Seema; Katari Madhavi; Dey Kuntal |
11307837 | Runtime type identification of an object utilizing class inheritance relationships | Wu Zixuan; Lin Ke Wen; Zhang Qing Shan; Zhang Kang |
11307847 | Contextual application programming interfaces in a development environment | Yim Peter; Srinivasan Lakshminarayanan; Nicol, II William Bruce; Chen David De-Hui |
11307886 | Secure access to a virtual machine | Ashok Rohith K.; Shook Aaron K.; Jemiolo Daniel E.; Kaplinger Todd E. |
11307889 | Schedule virtual machines | Hao Qing Feng; Cao Biao; Hao Li Ping; Ren Xiao Feng; Yang Dong Yan; Pan YaLian; Zhang Xue Yong; Qian Xi |
11307900 | Adjustment of the number of central processing units to meet performance requirements of an I/O resource | Davila Veronica S.; Nguyen Trung N.; Rasor Louis A. |
11307915 | Grouping anomalous components of a distributed application | Shwartz Larisa; Hwang Jinho; Aggarwal Pooja; Nagar Seema |
11307958 | Data collection in transaction problem diagnostic | Zheng Xin; Fan Si Bin; Zhang Xue Yong; Xiang Li; Li Li; Xie Ting; Zhang Chang Zhi GZ; Wang Yan; He Hai |
11307959 | Correlating logs from multiple sources based on log content | Hansmann Uwe K.; Rindtorff Klaus; Blum Daniel; Kussmaul Timo; Steinheber Thomas |
11307971 | Computer analysis of software resource load | Weldemariam Komminist; Marvaniya Smitkumar Narotambhai |
11307975 | Machine code analysis for identifying software defects | Mo Brian; Hicks Andrew C. M.; Rawlins Ryan Thomas; Blue Dale E. |
11308030 | Log-structured merge-tree with blockchain properties | Irazabal Jeronimo |
11308042 | Determining available remote storages in a network to use to replicate a file based on a geographical requirement with respect to the file | Avery Kenytt D.; Bader Edward L.; Gatewood Patricia V.; Trinh Kevin N. |
11308058 | Building and using combined multi-type sub-indices to search NoSQL databases | Jiang Peng Hui; Liu Xin Peng; Li Shuo; Sun Sheng Yan; Wang Xiaobo; Wang Yue |
11308066 | Optimized database partitioning | Li Shuo; Wang Xiaobo; Zhang Hong Mei; Sun Sheng Yan |
11308073 | Database node functional testing | Surampalli Murali Krishna; Ramachandra Anoop G. M. |
11308077 | Identifying source datasets that fit a transfer learning process for a target domain | Haim Bar; Finkelshtein Andrey; Menahem Eitan; Agmon Noga |
11308083 | Automatic transformation of complex tables in documents into computer understandable structured format and managing dependencies | Canim Mustafa; Cornelio Cristina; Iyengar Arun; Musa Ryan A.; Rodriguez Muro Mariano |
11308084 | Optimized search service | Kussmaul Timo; Prokoph Andreas; Hansmann Uwe; Stober Thomas |
11308087 | Cost distribution construction for pessimistic tree search | Iwaki Ryo; Osogami Takayuki |
11308109 | Transfer between different combinations of source and destination nodes | Leem Jin |
11308119 | Replicating large statements with low latency | Bourbonnais Serge; D'costa Austin; Zheng Roger; Zentgraf Christian; Mooney David |
11308128 | Refining classification results based on glossary relationships | Gerstl Peter; Kern Robert; Maier Albert; Schwarz Thomas; Suhre Oliver |
11308131 | Combining visualizations in a business analytic application | Kazem Firas; Hillis Linda; Tasca Anthony Nicola; Zhang Gongjin |
11308133 | Entity matching using visual information | Lee Sunhwan; Chowdhary Pawan; Ren Guangjie |
11308139 | Finding a resource in response to a query including unknown words | Oya Hiroki |
11308142 | Automatic resume processing system | Karri Venkata Vara Prasad; Moyal Shailendra; Senapaty Amit Kumar; Dhoot Akash U. |
11308143 | Discrepancy curator for documents in a corpus of a cognitive computing system | Byron Donna K.; Feirouz Elie; Kumar Ashok; O'Keeffe William G. |
11308210 | Automatic malware signature generation for threat detection systems | Copty Fady; Danos Matan; Edelstein Orit; Murik Dov; Zeltser Benjamin |
11308211 | Security incident disposition predictions based on cognitive evaluation of security knowledge graphs | Ringlein Burkhard; Ravindra Preeti; Harris Bradley E.; Khan Moazzam; Peterson James B. |
11308215 | Secure interface control high-level instruction interception for interruption enablement | Borntraeger Christian; Imbrenda Claudio; Busaba Fadi Y.; Bradbury Jonathan D.; Heller Lisa Cranton |
11308235 | Detection of sensitive personal information in a storage device | Desai Rajesh M.; Qiao Mu; Raphael Roger C.; Routray Ramani |
11308243 | Maintenance of access for security enablement in a storage device | Hathorn Roger G.; Driever Patricia G.; Flanagan John; Colonna Christopher J.; Rivera Evan |
11308252 | Fault-tolerant T-gates via quasiprobability decomposition | Piveteau Christophe; Sutter David; Temme Paul Kristan; Bravyi Sergey; Gambetta Jay Michael; Woerner Stefan |
11308257 | Stacked via rivets in chip hotspots | Chidambarrao Dureseti; Wolpert David; Ogino Atsushi; Guzowski Matthew T.; Ostrander Steven Paul; Sinha Tuhin; Gray Michael Stewart |
11308258 | Textbook content reorganization based on classroom analytics | Werner John S.; Gross Tyler; Tsfasman Arkadiy O.; Kobilka Brandon M. |
11308264 | Managing document annotations in a publish/subscribe system | Lieu Hanson |
11308268 | Semantic header detection using pre-trained embeddings | Nadim Hassan; Allen Joshua S.; Christianson Kyle G.; Freed Andrew R. |
11308273 | Prescan device activation prevention | Bender Michael; Fox Jeremy R.; Bhogal Kulvir Singh; Trim Craig M. |
11308274 | Word grouping using a plurality of models | Kohita Ryosuke; Yoshida Issei; Nasukawa Tetsuya; Kanayama Hiroshi |
11308275 | Management of content tailoring by services | Link Claudius; Seul Matthias |
11308277 | Memory preserving parse tree based compression with entropy coding | Bradbury Jonathan D.; Helms Markus; Jacobi Christian; Puranik Aditya N.; Zoellin Christian |
11308280 | Capture and search of virtual machine application properties using log analysis techniques | Gordon Itai; McNeil Kristin E.; De Radha Mohan; Nizri Miriam |
11308283 | Lightweight tagging for disjoint entities | Mahajan Diwakar; Poddar Ananya Aniruddha; Dandala Bharath; Tsou Ching-Huei |
11308285 | Triangulated natural language decoding from forecasted deep semantic representations | Baughman Aaron K.; Forster Micah; Newell John C.; Hammer Stephen C. |
11308287 | Background conversation analysis for providing a real-time feedback | Kochura Nadiya; Dunne Jonathan D.; Lu Fang |
11308289 | Normalization of medical terms with multi-lingual resources | Jiang Jian Min; Wang Jian; Huang Songfang; Li Jing; Wang Ke |
11308382 | Neuromorphic synapses | Koelmans Wabe W.; Moraitis Timoleon; Sebastian Abu |
11308390 | Methods and systems of neuron leaky integrate and fire circuits | Ritter Mark B.; Yasuda Takeo |
11308410 | Control system with optimized periodic adjustments of system control settings using MARS-based MILP optimization | Masin Michael; Wasserkrug Eliezer; Zadorojniy Alexander; Zeltyn Sergey |
11308412 | Estimation of similarity of items | Morimura Tetsuro |
11308414 | Multi-step ahead forecasting using complex-valued vector autoregregression | Kajino Hiroshi |
11308419 | Learning sentiment composition from sentiment lexicons | Aharonov Ranit; Bar-Haim Roy; Halfon Alon; Jochim Charles Arthur; Menczel Amir; Slonim Noam; Toledo-Ronen Orith |
11308428 | Machine learning-based resource customization to increase user satisfaction | Zhang Bo; Higgins ChunHui Y.; Ran Chuan; Schipon Nancy Anne; Cui Yongchang |
11308432 | Augmented reality virtual order assistant | Covell Jacob Thomas; Ho Clarissa; Grant Robert Huntington; Silverstein Zachary A. |
11308437 | Benchmark scalability for services | Asthana Shubhi; Becker Valeria; Megahed Aly; Rose Michael E.; Yost Brian D.; Nakamura Taiga; Strong, Jr. Hovey R. |
11308454 | Trusted crowd determined time management | Bastide Paul R.; DeLuca Lisa Seacat |
11308530 | Automated personalized customer service utilizing lighting | DeLuca Lisa Seacat; Pickover Clifford A. |
11308535 | On-line shopping assistant for in-store shopping | Bleakley Darrell O.; Demmler Louis M.; Desai Aditya A.; Etgen Michael P.; Kenna Stephen |
11308540 | Real time recommendation engine | Bhowmick Arindam; Chivardi Carlos; Hatfield Jennifer M.; Hurlebaus Gregory S.; Scherpa Josef |
11308603 | Detection and monitoring of waste disposal in an environmental location using artificial intelligence | Liu Na; Su Mei Rui; Liu Pei Jian; Zhao Bing Hua; Liu Yan; Yuan Zhong Fang; Wang Wen |
11308619 | Evaluating a mammogram using a plurality of prior mammograms and deep learning algorithms | Sainz de Cea Maria Victoria; Richmond David; Song Chao |
11308656 | Power line extraction using reference data | Chu Linsong; Srivatsa Mudhakar; Ganti Raghu Kiran |
11308665 | Automatic generation of user onboarding tours for business analytic applications | Kazem Firas; Djeneralovic Peter; Gemae Aly |
11308667 | Chart erratum and refactoring | Silverstein Zachary A.; Hewitt Trudy L.; Dana Saswati; Jaiswal Mayoore Selvarasa; Dunne Jonathan D. |
11308697 | Virtual reality based selective automation adoption | Rakshit Sarbajit K.; Koley Krishna; Maitra Disha; Pal Ananya |
11308944 | Intent boundary segmentation for multi-intent utterances | Tan Ming; Wang Haoyu; Potdar Saloni; Yu Yang; Rao Navneet N.; Qi Haode |
11308949 | Voice assistant response system based on a tone, keyword, language or etiquette behavioral rule | DeLuca Lisa Seacat; Fox Jeremy R.; Anders Kelley |
11308986 | Event based reconcile operation for hierarchical storage management | Araki Hiroshi; Ishimoto Takeshi; Miyoshi Hiroyuki; Abe Atsushi |
11308989 | Optimizing tape drives in a tape library | Miyamura Tsuyoshi; Yamamoto Noriko; Itagaki Hiroshi; Hasegawa Tohru; Mitsuma Shinsuke; Abe Atsushi |
11309063 | Identifying chemical substructures associated with adverse drug reactions | Dey Sanjoy; Fokoue-Nkoutche Achille Belly; Hu Jianying; Luo Heng; Zhang Ping |
11309216 | Large grain copper interconnect lines for MRAM | Reznicek Alexander; Gluschenkov Oleg; Sulehria Yasir; Sil Devika |
11309221 | Single metallization scheme for gate, source, and drain contact integration | Greene Andrew; Chan Victor W. C.; Muthinti Gangadhara Raja |
11309383 | Quad-layer high-k for metal-insulator-metal capacitors | Choi Kisik; Ando Takashi; Jamison Paul Charles; Massey John Greg; Cartier Eduard Albert |
11309397 | Contact over active gate employing a stacked spacer | Cheng Kangguo |
11309408 | Aspect ratio trapping in channel last process | Leobandung Effendi; Yeh Chun-chen |
11309479 | Computing devices containing magnetic Josephson Junctions with embedded magnetic field control element | Holmes Steven J.; Doris Bruce B.; Gottwald Matthias Georg; Joshi Rajiv; Chakraborty Sudipto |
11309488 | Double spin filter tunnel junction | Worledge Daniel C. |
11309585 | Molten ion conductive salt/silicon interface for decreased interfacial resistance | Collins John; Afzali-Ardakani Ali; Todorov Teodor K.; de Souza Joel P.; Sadana Devendra K. |
11310036 | Generation of a secure key exchange authentication request in a computing environment | Sczepczenski Richard Mark; Zee Mooheng |
11310126 | Automated operational data management dictated by quality of service criteria | Snyder Jessica G.; Hanis Thomas T.; Seifert Paul J. |
11310129 | Distributing tickets from different support systems | Szczepanik Grzegorz Piotr; Kalandyk Piotr; Januszek Pawel Tadeusz; Zalas Michał Maciej |
11310182 | Group communication organization | Liu Su; Liang Yang; Dev Arun; Xu Cheng |
11310187 | Cooperative messaging environments | Page Simon; Cawood Sean |
11310210 | Applying unified governance and integration platform to social media data | Bodziony Michal; Szczepanik Grzegorz Piotr; Palus Lukasz Jakub; Rudek Krzysztof |
11310234 | Securing permissioned blockchain network from pseudospoofing network attacks | Brakeville Sloane; Gaur Nitin |
11310236 | Deriving confidence scores based on device sharing | Rakshit Sarbajit K.; Trim Craig M.; Keen Martin G.; Kwatra Shikhar |
11310276 | Adjusting resiliency policies for cloud services based on a resiliency score | Hiebert Daniel L.; Varghese Sneha M.; Perry Raymond S. |
11310279 | Implementation of selected enterprise policies | Bombacino Vinicio; Tortosa Andrea; Oliverio Stefania; Boccia Rosario; D'Alfonso Fabio; Garasto Mario Rocco |
11310280 | Implementation of selected enterprise policies | Bombacino Vinicio; Tortosa Andrea; Oliverio Stefania; Boccia Rosario; D'Alfonso Fabio; Garasto Mario Rocco |
11310296 | Cognitive content multicasting based on user attentiveness | Rakshit Sarbajit K.; Keen Martin G.; Bostick James E; Ganci, Jr. John M. |
11310311 | Media obfuscation | Shrinivasan Yedendra |
11310433 | User-configurable, gestural zoom facility for an imaging device | Huffman Joel Russell; Van Der Stockt Stefan A. G. |
11310624 | Cognitive location and navigation services for custom applications | Mukherjee-Roy Moitreyee; Kwatra Shikhar |
11310660 | Identifying network risk | Vila Ashkan; Vogeley Volker; Solyakov Artemiy Aleksandrovich |
11310860 | Dynamic quality of service (QOS) based channel in wireless network | Karia Jigneshkumar K.; Sivakumar Gandhi; Yerra Rambabu |
11310921 | Buried via in a circuit board | Giesen Kyle Indukummar; Cocchini Matteo; Pharand Sylvain |
11310950 | Liquid metal infiltration rework of electronic assembly | Hoffmeyer Mark K.; Younger Timothy P. |
11311224 | Flexible silicon nanowire electrode | Chen Qianwen; Hu Huan; Xu Zheng; Zhang Xin |
11311233 | Intelligent and disposable device for selective electrical stimulation of apoptosis | Deligianni Hariklia; Doris Bruce B.; Holmes Steven J.; Kinser Emily R.; Lin Qinghuang; Yu Roy R. |
11311234 | Intelligent and disposable device for selective electrical stimulation of apoptosis | Deligianni Hariklia; Doris Bruce B.; Holmes Steven J.; Kinser Emily R.; Lin Qinghuang; Yu Roy R. |
11311722 | Cross-platform workload processing | Shazly Hassan A.; Van Dyken Douglas A. |
11311776 | Exercise monitoring and coaching using audio devices | Jain Mohit; Kumar Pratyush; Agarwal Anup |
11312298 | Modulating attention of responsible parties to predicted dangers of self-driving cars | Farrell Robert G.; Kozloski James R. |
11312374 | Prediction of accident risk based on anomaly detection | Zhao Kun; Katsuki Takayuki; Yoshizumi Takayuki |
11312820 | Solution-processable indenofluorenes and methods to generate solution-processable indenofluorenes | Kobilka Brandon M.; Porter Jacob T.; King Scott B.; Wertz Jason T. |
11313810 | Secure semiconductor wafer inspection utilizing film thickness | Leobandung Effendi |
11313811 | Dynamic determination of irrigation-related data using machine learning techniques | Das Kamal; Hazra Jagabondhu; Guruprasad Ranjini Bangalore; Goyal Aanchal; Gupta Sachin |
11313826 | Integrated chemical sensor | Subramanian Chitra; Zafar Sufi |
11314108 | Reconfigurable metasurface with tunable antennas formed from arrays of pixels of an optically tunable material | Falk Abram L.; Rosenberg Jessie Carrigan; Lai Kafai; Farmer Damon Brooks |
11314373 | Vigilant cognitive cursor based on clipboard buffer contents | Weldemariam Komminist; Gordon Michael S.; Pickover Clifford A. |
11314413 | Adjustable tape storage capacity | Brettell David A.; Fisher Alan J.; Lee Duke A.; Nieves Alexander |
11314435 | Converting small extent storage pools into large extent storage pools in place | Hardy Clint A.; Nielsen Karl Allen; Kalos Matthew Joseph |
11314439 | Copy from source medium to target medium | Hasegawa Tohru; Itagaki Hiroshi; Matsui Sosuke; Mitsuma Shinsuke; Miyamura Tsuyoshi; Yamamoto Noriko |
11314442 | Maintaining namespace health within a dispersed storage network | Kazi Asimuddin; Wozniak Ethan |
11314482 | Low latency floating-point division operations | Mueller Silvia Melitta; Fox Thomas Winters; Fleischer Bruce |
11314483 | Bit-serial computation with dynamic frequency modulation for error resiliency in neural network | Kang Mingu; Kim Seyoung; Kim Kyu-hyoun; Lee Eun Kyung |
11314510 | Tracking load and store instructions and addresses in an out-of-order processor | Lloyd Bryan; Kirchhoff Samuel David; Chen Brian; Fernsler Kimberly M.; Hrusecky David A. |
11314511 | Concurrent prediction of branch addresses and update of register contents | Gschwind Michael K.; Salapura Valentina |
11314512 | Efficient checking of a condition code anticipator for a floating point processor and/or unit | Leber Petra; Schelm Kerstin Claudia; Lichtenau Cedric; Klein Michael |
11314535 | Quantum data result streaming | Gambetta Jay M.; Faro Serfage Ismael; Mckay David C.; Martin Fernandez Francisco J. |
11314538 | Interrupt signaling for directed interrupt virtualization | Raisch Christoph; Kraemer Marco; Nerz Bernd; Schmidt Donald William; Driever Peter Dana |
11314545 | Predicting transaction outcome based on artifacts in a transaction processing environment | Gokavarapu Nageswararao V.; Kurian John; Moothoor Jithesh; Srinivasan Raghavendran |
11314552 | Dynamic determination of reverse logistics | Rao Siddharth R; Narasimha Sandeep; Chauhan Amit; Kowlani Pradyumnha Gopalkrishna |
11314555 | Synchronous re-execution of a data transformation operation to obtain further details regarding an exception | Klein Matthias; Siegel Timothy; Sofia Anthony T.; Weishaupt Simon; Giamei Bruce C.; Gomes Louis P.; Amin Mahmoud |
11314575 | Computing system event error corrective action recommendation | Wang Qing; Shwartz Larisa; Hwang Jinho; Parthasarathy Srinivasan; Nidd Michael Elton; Bagehorn Frank; Krchák Jakub; Ondrej Tomás; Orumbayev Altynbek; Mýlek Michal; Sandr Ota |
11314579 | Application protection from bit-flip effects | Ramasamy HariGovind Venkatraj; Carter John B. |
11314584 | Data quality-based confidence computations for KPIs derived from time-series data | Munigala Vitobha; Saha Diptikalyan; Kundu Sattwati; Adinarayan Geetha |
11314595 | Adaptive data and parity placement using compression ratios of storage devices | Pletka Roman Alexander; Tomic Sasa; Fisher Timothy; Papandreou Nikolaos; Ioannou Nikolas; Fry Aaron |
11314604 | Workload coordination on disaster recovery site | Shackelford David Michael; Reed David C.; Boenig, II Ronald David |
11314607 | Modifying aspects of a storage system associated with data mirroring | McBride Gregory E.; Miller Dash; Perez Miguel; Reed David C. |
11314621 | Software application validation | Trim Craig M.; Jenkins Jana H.; Lu Fang; Kartoun Uri; Fox Jeremy R. |
11314630 | Container configuration recommendations | Perumal Apparsamy; Kunavarapu Sagar Krupa Satya; Bobba Nikhil Baba; Ekambaram Vijay; Boopathy Murugesan |
11314639 | Protecting against data loss during garbage collection | Moore Roderick Guy Charles; Mulholland Miles; Passingham William John; Bordoli Richard Alan |
11314640 | Method, program, and system for reducing the cost of stack scanning | Kawachiya Kiyokuni; Onodera Tamiya |
11314649 | Using a machine learning module to perform destages of tracks with holes in a storage system | Gupta Lokesh M.; Anderson Kyler A.; Ash Kevin J.; Borlick Matthew G. |
11314659 | Using real segments and alternate segments in non-volatile storage | Anderson Kyler A.; Ash Kevin J.; Gupta Lokesh M.; Kalos Matthew J. |
11314691 | Reserved area to improve asynchronous data replication performance | Gupta Lokesh M.; Borlick Matthew G.; Ash Kevin J.; Anderson Kyler A. |
11314718 | Shared disk buffer pool update and modification | Young Wayne Jonleon; Sustr Pavel; Hoggard Zachary T. |
11314729 | Multi-candidate data structure for transaction validation | Saito Shin |
11314732 | Database migration technique | Mahadik Vineet P.; Silva Ashley Kristin; Isaacs Frank; Rendahl Randy A. |
11314739 | Dynamically slicing datastore query sizes | Birke Robert; Rameshan Navaneeth; Chen Yiyu; Schmatz Martin |
11314749 | Blockchain implementing reliability database | Kamijoh Kohichi |
11314771 | Splitting and merging of storages | Chakraborty Shreya; Saraf Adarsh; Govindarajan Chander |
11314775 | Distributed graph databases that facilitate streaming data insertion and low latency graph queries | Chen Chun-Fu; Crawford Jason L.; Lin Ching-Yung; Lu Jie; Nutter Mark R.; Suzumura Toyotaro; Tanase Ilie G.; Yeh Danny L. |
11314781 | Construction of reference database accurately representing complete set of data items for faster and tractable classification usage | Kaufman James H.; Davis Matthew A.; Weimer Bart C. |
11314784 | Data model proposals | Wang Shaobo; Lien Rebekah; Breedvelt-Schouten Ilse M |
11314785 | Automatic visualization and inquiry generation | Wadhwa Vinay; Wills Graham; Balteanu Valentin |
11314795 | User navigation in a target portal | Kussmaul Timo |
11314812 | Dynamic workflow with knowledge graphs | Wang Wen; Zhong Guang Qing; Wang Yi Ming; Yin Jian Dong; Cai Zhuo; Fu Rong; Zhang Kang; Sheng Hao |
11314839 | Apparatus for webpage scoring | Ikawa Yohei |
11314892 | Mitigating governance impact on machine learning | Nadler Sima; Raz Orna; Zalmanovici Marcel |
11314908 | Providing reusable quantum circuit components as a curated service | Gambetta Jay M.; Cross Andrew W.; Javadiabhari Ali; Maslov Dmitri |
11314916 | Capacitance extraction | Widiger David J.; Kurtz Steven Joseph; Dewey, III Lewis William; Cellier Susan Elizabeth; Rose Ronald Dennis |
11314920 | Time-driven placement and/or cloning of components for an integrated circuit | Chung Woohyun; Nam Gi-Joon; Reddy Lakshmi N. |
11314931 | Assistant dialog model generation | Dhoolia Pankaj; Joshi Sachindra; Raghu Dinesh; Yates Robert Leslie; Lastras-Montano Luis A. |
11314950 | Text style transfer using reinforcement learning | Wu Lingfei; Xiong Jinjun; Gong Hongyu; Bhat Suma; Hwu Wen-Mei |
11314984 | Intelligent generation of image-like representations of ordered and heterogenous data to enable explainability of artificial intelligence results | Sbodio Marco Luca; Mulligan Natalia; Bettencourt-Silva Joao |
11315020 | Optimized partitioning of multi-layer networks in core-based neurosynaptic architectures | Nayak Tapan K.; Amir Arnon |
11315035 | Machine learning in heterogeneous processing systems | Parnell Thomas; Duenner Celestine; Pozidis Charalampos; Sarigiannis Dimitrios |
11315038 | Method to measure similarity of datasets for given AI task | Cho Minsik; Liu Frank; Hwang Inseok |
11315056 | Resource planning having improved visualization | Almashor Mahathir Bin Ahmad; Anjomshoa Hamideh; Eberbach Adam; Smith Olivia Jayne; Swan Annalisa Jean |
11315066 | Simulating a return network | Deshpande Ajay Ashok; Koc Ali; Quanz Brian Leo; Park Jae-Eun; Zhu Yada; Li Yingjie; Milite Christopher Scott; Liu Xuan; Narayanaswami Chandrasekhar |
11315068 | Product, system, and method for determining secondary locations for fulfillment of items by a fulfillment system | Nagar Raghuveer P.; Honnavalli Satisha C. |
11315110 | Private resource discovery and subgroup formation on a blockchain | Jayachandran Praveen; Kumar Apurva; Ramakrishna Venkatraman |
11315120 | Implementing a marketplace for risk assessed smart contracts issuers and execution providers in a blockchain | Levacher Killian; Braghin Stefano; Ragnoli Emanuele; Sagirlar Gokhan |
11315124 | Analyzing temporal classes in user feedback | Wolf Christine T.; Arar Raphael I. |
11315132 | Customer journey prediction and customer segmentation | Ganti Raghu Kiran; Agrawal Dakshi; Srivatsa Mudhakar; Dewan Pranita |
11315149 | Brand personality inference and recommendation system | Akkiraju Rama Kalyani T.; Gou Liang; Liu Haibin; Mahmud Jalal U.; Sinha Vibha S.; Xu Anbang |
11315208 | Conceptual representation of business processes for cross-domain mapping | Adams Gregory; Berestetsky Vadim; Birsan Dorian; Chan Allen; Steinbacher John |
11315226 | Intelligent cabling and connection validation | Acevedo Paulina; Reeves-Voeltner Veronica A.; Utter Samantha A.; Peterson Beth A. |
11315242 | Automated fracture detection using machine learning models | Katouzian Amin; Graf Benedikt Werner; El Harouni Ahmed; Xie Yiting; Sitek Arkadiusz; Guo Vicky; Krishnan Arun |
11315291 | Shine visualization | Armand Cyril; Deleuze Ivan; Boehler Olivier; Menichetti Christophe |
11315342 | Automated explanation of machine learning predictions using classification score targeting | Shoshan Yoel; Ratner Vadim |
11315428 | Management of mobile objects | Akiyama Kazuhito; Fukuda Mari Abe; Furuichi Sanehiro; Ogihara Hiroya; Sasaki Taku; Unno Asuka; Yamamoto Gaku |
11315544 | Cognitive modification of verbal communications from an interactive computing device | Bender Michael; Childress Rhonda L.; Trim Craig M.; Keen Martin G. |
11315568 | Summarizing multi-modal conversations in a multi-user messaging application | Hewitt Trudy L.; Harpur Liam S.; Dunne Jonathan D.; Anders Kelley |
11315596 | Magnetic recording tape fabrication method having peek substrate | Bradshaw Richard |
11315600 | Dynamic generation of videos based on emotion and sentiment recognition | Vijil Enara C.; Nagar Seema; Tamilselvam Srikanth G.; Dey Kuntal |
11315613 | Mixed mode multiply and accumulate array | Chakraborty Sudipto; Joshi Rajiv; Holmes Steven J.; Doris Bruce B. |
11315634 | Device comprising tunable resistive elements | Karg Siegfried Friedrich; Meijer Gerhard Ingmar |
11315799 | Back end of line structures with metal lines with alternating patterning and metallization schemes | Xie Ruilong; Park Chanro; Yang Chih-Chao; Cheng Kangguo; Li Juntao |
11315803 | Stress mitigation in organic laminates | Sri-Jayantha Sri M.; Park Soojae |
11315827 | Skip via connection between metallization levels | Huang Huai; Clevenger Lawrence A.; Shobha Hosadurga; Penny Christopher J.; Lanzillo Nicholas Anthony |
11315830 | Metallic interconnect structures with wrap around capping layers | Peethala Cornelius Brown; Matam Kedari; Yang Chih-Chao; Standaert Theo |
11315831 | Dual redistribution layer structure | Farooq Mukta G.; Kelly James J. |
11315836 | Two-dimensional vertical fins | Cheng Kangguo |
11315872 | Self-aligned top via | Park Chanro; Motoyama Koichi; Cheng Kenneth Chun Kuen; Choi Kisik; Yang Chih-Chao |
11315902 | High bandwidth multichip module | Knickerbocker John |
11315922 | Fin cut to prevent replacement gate collapse on STI | Greene Andrew M.; Pranatharthiharan Balasubramanian; Kanakasabapathy Sivananda K.; Sporre John R. |
11315923 | Stacked nanosheet inverter | Reznicek Alexander; Balakrishnan Karthik; Hekmatshoartabari Bahman |
11315938 | Stacked nanosheet rom | Reznicek Alexander; Xie Ruilong; Balakrishnan Karthik; Hekmatshoartabari Bahman |
11316015 | Silicon germanium FinFET with low gate induced drain leakage current | Mochizuki Shogo; Cheng Kangguo; Lee Choonghyun; Li Juntao |
11316022 | Ion implant defined nanorod in a suspended Majorana fermion device | Holmes Steven J.; Sadana Devendra K.; Hart Sean; Gumann Patryk; Bedell Stephen W.; Li Ning |
11316029 | Sacrificial fin for contact self-alignment | Mignot Yann; Seshadri Indira; Fan Su Chen; Waskiewicz Christopher J.; Miller Eric |
11316104 | Inverted wide base double magnetic tunnel junction device | Hashemi Pouya; Doris Bruce B.; Nowak Janusz Jozef; Sun Jonathan Zanhong |
11316105 | Phase change material switch and method of fabricating same | Shen Tian; Xie Ruilong; Brew Kevin W.; Wu Heng; Zhang Jingyun |
11316143 | Stacked device structure | Horibe Akihiro; Sueoka Kuniaki |
11316154 | High throughput insulation of 3D in-silicon high volumetric energy and power dense energy storage devices | Collins John; Papalia John M.; Rath David L.; Sadana Devendra K. |
11316164 | Microbattery with through-silicon via electrodes | Andry Paul S.; Webb Bucknell C. |
11316199 | Rechargeable metal halide battery | Kim Jangwoo; Na Young-Hye; Kim Ho-Cheol |
11316385 | Wireless energy transfer | Will, IV Henry C.; Anderson Jarrod R.; Hahn Timothy J. |
11316530 | Adaptive compression for data services | Iyengar Arun |
11316663 | One-time password with unpredictable moving factor | Madineni Narayana Aditya; McLean Leigh Stuart; Gray Michael Wallace; Evans James |
11316684 | Restricting security key transfer from a key management server in an enterprise | Agrawal Mohit Niranjan; Valecha Vinod A.; Panchal Sanjay B. |
11316713 | Virtual drawers in a server | Steinmacher-Burow Burkhard; Huels Harald |
11316731 | Determine valid drop targets for nodes from mapping | Balanescu Vlad; Klinger Doina Liliana; Harrison Ashley Donald |
11316807 | Microservice deployment in multi-tenant environments | Tagra Ankur; Ekambaram Vijay; Marvaniya Smitkumar Narotambhai; Perumal Apparsamy; Zacharias Shinoj |
11316810 | Messaging system for automatically generating semantic contextual messages | Andres Josh; Pervin Shaila |
11316818 | Context-based consolidation of communications across different communication platforms | Nagar Raghuveer Prasad; Ramesh Lakshmi Bellave; Sharma Harshit; Hulugundi Jagadesh Ramaswamy |
11316886 | Preventing vulnerable configurations in sensor-based devices | Stelmar Netto Marco Aurelio; Figueredo de Santana Vagner; Silva Bruno; de Freitas Cunha Renato Luiz; Koch Fernando Luiz |
11316896 | Privacy-preserving user-experience monitoring | Ligman Joseph W.; Pistoia Marco; Thomas Gegi; Wood Stephen P. |
11316899 | Determining a number of users allowed to access message based on message objective | Brunn Jonathan F.; Heins Jennifer E.; Labrecque Marc D.; Widmer Amy |
11316913 | Sending and requesting feed | Zhang Ling L. |
11316947 | Multi-level cache-mesh-system for multi-tenant serverless environments | Sterbling Sven; Habermann Christian; Vittal Sachin Lingadahalli |
11316980 | Agent to bot transfer | Richards John Thomas; Bellamy Rachel Katherine Emma; Farrell Robert G.; Liao Qingzi; Piorkowski David John |
11316982 | Call transfer support system | Takeuchi Emiko; Kabeya Yoshinori; Takuma Daisuke |
11317268 | Safe status message delivery | Bostick James E.; Ganci, Jr. John M.; Keen Martin G.; Rakshit Sarbajit |
11317424 | Prioritizing requested network resource delivery | Wodrich Aaron T.; Valecha Vinod A.; Ngo HuyAnh Dinh; Blackman John |
11317519 | Fabrication of superconducting devices that control direct currents and microwave signals | Abdo Baleegh; Brink Markus |
11318464 | Automated machine for sorting of biological fluids | Hu Huan; Pereira Michael A.; Smith Joshua T.; Wunsch Benjamin H. |
11320278 | Time-based multiple automobile travel coordination | Huang Lei; Strong Hovey Raymond |
11320387 | Structure facilitating optically checking via formation | Wunsch Benjamin; Kim Sung-Cheol; Gifford Stacey; Smith Joshua T. |
11320394 | Biosensor electrode having three-dimensional structured sensing surfaces | Doris Bruce B.; O'Sullivan Eugene J.; Zafar Sufi |
11320419 | Sampling of breath gas | Horibe Akihiro; Sueoka Kuniaki; Aihara Toru |
11321007 | Deletion of volumes in data storage systems | Cashman Paul Nicholas; Izen Gemma; Sasson Ben |
11321015 | Aggressive intent write request cancellation | Borich Franco Vincent |
11321059 | Personalized design layout for application software | Lee Sunhwan; Huang Lei |
11321068 | Utilizing memory coherency to improve bandwidth performance | LePera William P.; Lauria Austen William; Miller Scott; Sharkawi Sameh Sherif |
11321081 | Affinity recommendation in software lifecycle management | Liang Lu; Sun Zhi Qiang; Schimpf Brian C.; Yin Yuhong |
11321084 | Application function consolidation recommendation | Trim Craig M.; Bostick James E.; Ganci, Jr. John M.; Keen Martin G. |
11321088 | Tracking load and store instructions and addresses in an out-of-order processor | Lloyd Bryan; Kirchhoff Samuel David; Chen Brian; Fernsler Kimberly M.; Hrusecky David A. |
11321106 | Using binaries of container images as operating system commands | Babol Adam; Dyszy Adam; Wawrzyczek Joanna; Moor Jakub Blazej; Kmak Michal |
11321112 | Discovery and recreation of communication endpoints in virtual machine migration | Wu Ching-Farn E.; Dubey Niteesh K.; Burugula Ramanjaneya S.; Yu Hao; Jann Joefon |
11321121 | Smart reduce task scheduler | Gui HaoChen; Liu Yang; Sui Guang Han; Wang Ting; Yang Zhizhi |
11321123 | Determining an optimum number of threads to make available per core in a multi-core processor complex to executive tasks | Rinaldi Brian Anthony; Gupta Lokesh M.; Ash Kevin J.; Kalos Matthew J.; Nguyen Trung N.; Hardy Clint A.; Rasor Louis A. |
11321133 | Determining an allocation of stage and destage tasks by using a machine learning module | Gupta Lokesh M.; Anderson Kyler A.; Borlick Matthew G.; Ash Kevin J. |
11321145 | Ordering execution of an interrupt handler | Williams Derek E.; Shen Hugh; Guthrie Guy L. |
11321146 | Executing an atomic primitive in a multi-core processor system | Winkelmann Ralf; Fee Michael; Klein Matthias; Otte Carsten; Chencinski Edward W.; Eichelberger Hanno |
11321147 | Determining when it is safe to use scheduler lock-acquiring wakeups to defer quiescent states in real-time preemptible read-copy update | McKenney Paul E. |
11321153 | Contextual copy and paste across multiple devices | Kwatra Shikhar; Nagar Raghuveer Prasad; Kundu Amitava; Novotny Petr |
11321164 | Anomaly recognition in information technology environments | Moss Chris; Kofkin-Hansen Simon J.; Shamir Jordan; Conley Devin; Hoff James Patrick; Mccown Iain; Moonen Scott; Buckland Bryan M. |
11321165 | Data selection and sampling system for log parsing and anomaly detection in cloud microservices | Liu Xiaotong; Zhao Jiayun; Xu Anbang; Akkiraju Rama Kalyani T. |
11321194 | Recovery from a clustered file system queue failure event using a modified extended attribute of a file | Puvvada Venkateswara Rao; Gopalakrishnan Karrthik Kalaga; Kumar Saket; Pandey Ashish |
11321201 | Using a mirroring cache list to mirror modified tracks | Gupta Lokesh Mohan; Ash Kevin J.; Anderson Kyler A.; Kalos Matthew J. |
11321202 | Recovering storage devices in a storage array having errors | Cagno Brian J.; Elliott John C.; Borlick Matthew G.; Wright Will A.; Gupta Lokesh M. |
11321205 | Enterprise-scale time series graphite backend infrastructure | O'Farrell William; Huynh Kien Kim; van Run Paul; Adamiak Bogdan |
11321225 | Reducing the memory load time for logic simulator by leveraging architecture simulator | Pindicura Tharunachalam; Srivatsan Shricharan; Britto Vivek; Xia Yan; Dhandapani Aishwarya |
11321234 | Using a mirroring cache list to demote modified tracks from cache | Gupta Lokesh Mohan; Ash Kevin J.; Anderson Kyler A.; Kalos Matthew J. |
11321239 | Dynamically joining and splitting dynamic address translation (DAT) tables based on operational context | Morgenstern Harris M.; Tzortzatos Elpida; Compton Scott B.; Partlow Steven M. |
11321240 | MMIO addressing using a translation lookaside buffer | Raisch Christoph; Kraemer Marco; Otte Carsten; Bradbury Jonathan D.; Craddock David |
11321252 | Selecting a priority queue from which to process an input/output (I/O) request using a machine learning module | Nielsen Karl A.; Hardy Clint A.; Gupta Lokesh M.; Borlick Matthew G. |
11321274 | Software discovery with variable scan frequency | Majka Grzegorz; Obrzut Artur; Olkusnik Ryszard; Stezowski Jacek |
11321298 | Automated merge of DLT networks | Ramakrishna Venkatraman; Jayachandran Praveen; Behl Dushyant K.; Pandit Vinayaka; Karunamoorthy Dileban; Abebe Ermyas |
11321304 | Domain aware explainable anomaly and drift detection for multi-variate raw data using a constraint repository | Hans Sandeep; Shaikh Samiulla Zakir Hussain; Ananthanarayanan Rema; Saha Diptikalyan; Aggarwal Aniya; Singh Gagandeep; Lohia Pranay Kumar; Bhide Manish Anand; Mehta Sameep |
11321314 | Query content-based data generation | Li Shuo; Wang Xiaobo; Sun ShengYan; Zhang Hong Mei |
11321318 | Dynamic access paths | Jiang Peng Hui; Chen Xiao Xiao; Li Shuo; Sun ShengYan; Wang Xiaobo |
11321322 | Lightweight DBMS based on functional microservices | Liu Xin Peng; Wan Meng; Li Shuo; Wang Xiaobo |
11321327 | Intelligence situational awareness | Stennett Steve Marshall; Talley Jeffrey W. |
11321351 | Adaptable legacy stateful workload | Sreedharan Thupale; Paka Rajkumar; E P Swathi; Kaktwan Amit; Seshadri Padmanabha Venkatagiri |
11321353 | Dynamic roll-over of source and target latency in a replication environment | Kedia Pravin Kailashnath; Kumar Nirmal; Pang Elaine; Spyker James |
11321371 | Query expansion using a graph of question and answer vocabulary | McAteer Seamus R.; Salem Ahmed M. M. R.; McCloskey Daniel J.; Sogrin Mikhail |
11321382 | Secure matching and identification of patterns | Pankanti Sharathchandra; Nandakumar Karthik; Ratha Nalini K.; Halevi Shai |
11321392 | Light weight index for querying low-frequency data in a big data environment | Zhong Jia Tian; Yang Bin; Wang Shuang H.; Shen Xing Xing; Guo Qi |
11321393 | Distributed graph databases that facilitate streaming data insertion and queries by reducing number of messages required to add a new edge by employing asynchronous communication | Chen Chun-Fu; Crawford Jason L.; Lin Ching-Yung; Lu Jie; Nutter Mark R.; Suzumura Toyotaro; Tanase Ilie G.; Yeh Danny L. |
11321397 | Composition engine for analytical models | Pinel Florian; Bobbitt Russell P.; Shu Chiao-Fe |
11321409 | Performing a search based on position information | Watanabe Kenta; Ishikawa Shunsuke; Ono Asako; Uetsuki Hiroaki; Tominaga Yasuyuki; Hasegawa Tohru |
11321424 | Predicting variables where a portion are input by a user and a portion are predicted by a system | Kawahara Ryo; Osogami Takayuki |
11321479 | Dynamic enforcement of data protection policies for arbitrary tabular data access to a corpus of rectangular data sets | Raphael Roger C.; Khaitzin Ety; Schumacher Scott; Natarajan Arjun |
11321487 | Contextual privacy policy implementation via digital blurring system | Kwatra Shikhar; Griffin Adam Lee; Jeffery Eric; Ali Mariya |
11321488 | Policy driven data movement | Gkoulalas-Divanis Aris; Allen Corville O. |
11321495 | Anomalous cache coherence transaction detection in a heterogeneous system | Buyuktosunoglu Alper; Sung Hyojin |
11321526 | Demonstrating textual dissimilarity in response to apparent or asserted similarity | Bean Rob; Liakas Alexis Nicole Hatzis; Pallone Anthony Mauricio; Sarbakhsh Reza; Kohli Kriteshwar Kaur; Walker Charles Christopher; Nagesh Raj |
11321527 | Effective classification of data based on curated features | Gopalarao Maithreyi; Sandhu Manveer Singh; Shetty Rohit Athradi; Meel Amit |
11321528 | Chat discourse convolution | Fox Jeremy R.; Anders Kelley; Hewitt Trudy L.; Hoffler Wesley A.; Leech Sonya; Dunne Jonathan |
11321533 | Cognitive copy and paste | Hewitt Trudy L.; Dunne Jonathan; Anders Kelley; Grant Robert |
11321534 | Conversation space artifact generation using natural language processing, machine learning, and ontology-based techniques | Quamar Abdul; Ozcan Fatma; Miller Dorian Boris; Kreulen Jeffrey Thomas; Runkel Christina |
11321541 | Rich descriptor framework to text generation using graphs and structural neural encoders | Wu Lingfei; Wang Chen |
11321608 | Synapse memory cell driver | Yasuda Takeo; Ishii Masatoshi |
11321611 | Deployment verification of authenticity of machine learning results | Liu Frank; Brock Bishop; Hubregtsen Thomas S. |
11321616 | Computer-based extraction of complex building operation rules for products and services | Chen Bei; Ploennigs Joern; Schumann Anika; Sinn Mathieu |
11321619 | State dependent calibration of qubit measurements | Mezzacapo Antonio; Chen Richard; Pistoia Marco; Gambetta Jay M. |
11321625 | Quantum circuit optimization using machine learning | Gambetta Jay M.; Faro Sertage Ismael; Javadiabhari Ali; Martin Fernandez Francisco Jose; Liu Peng; Pistoia Marco |
11321634 | Minimizing risk using machine learning techniques | Lee Sunhwan; Chowdhary Pawan; Ren Guangjie; Arar Raphael I. |
11321641 | Managing seat occupancy details of one or more passengers | DeLuca Lisa Seacat |
11321644 | Software developer assignment utilizing contribution based mastery metrics | Hicks Andrew C. M.; Cohoon Michael Terrence; Rawlins Ryan Thomas; Gildein Michael E. |
11321646 | Transparent scalable access to active and completed process and task information | Claussen Ryan T.; Herness Eric N. |
11321654 | Skew-mitigated evolving prediction model | Yu Zhiyang; Trim Craig M.; van Der Stockt Stefan A. G. |
11321667 | System and method to extract and enrich slide presentations from multimodal content through cognitive computing | Cerqueira Renato Fontoura de Gusmão; Ferreira Moreno Marcio; Rossi de Mello Brandao Rafael; Santos Rodrigo Costa Mesquita |
11321675 | Cognitive scribe and meeting moderator assistant | Allen Ira; Dolph Blaine H. |
11321676 | Automatically rescheduling overlapping flexible meeting events in an electronic calendar | Bender Michael; Greenlee Gordan G.; Shute Michael P.; Sood Siddhartha |
11321704 | Secure management of transactions using a smart/virtual card | Friedlander Robert R.; Kraemer James R.; Linton Jeb R. |
11321758 | Synchronizing online and retail shopping experiences for limited stock products | Kwatra Shikhar; Rakshit Sarbajit K.; Fox Jeremy R.; Pandit Sushain; Silverstein Zachary A. |
11321759 | Method, computer program product and system for enabling personalized recommendations using intelligent dialog | Adams Trevor S.; Bilgikar Chaitanya; Guldman Andrew; Kerr Andrew J.; Mahajan Ridhima |
11321762 | Automated hybrid propensity decision vector generation using artificial intelligence | Subramanian Shivaram; Harsha Pavithra; Sun Wei; Ettl Markus |
11321792 | Enforceable contract generation | Nadler Sima; Nevo Ziv; Yorav Karen Frida; Shlomo Roee; Solomon Tomer |
11321822 | Determining image defects using image comparisons | Xin Chao; Cai Zhuo; Zhang Hong Bing; Zhang Dan; Zhong Guang Qing |
11321909 | Tracking and rendering physical volumetric substances in virtual reality | McGuire Michael James; Hardie Karen; Pervin Shaila; Valencic Ana |
11322031 | Method of optimized path planning for UAVs for the purpose of ground coverage | Boland Gregory F.; Ma Yu; Manweiler Justin G.; Siemonsen Kevin E.; Topkara Umut; Vogt Katherine; Weisz Justin |
11322033 | Remote surface condition assessment | Dow Eli M.; Watson Campbell D.; Auger Guillaume A. R.; Henderson Michael E. |
11322128 | Virtual ambient zone creation in co-working spaces | Duggal Anita; Sundararajan Mukundan |
11322135 | Generating acoustic sequences via neural networks using combined prosody info | Shechtman Vyacheslav |
11322175 | Protective layer for mitigating protruding defects in magnetic tape recording media | Biskeborn Robert G.; Lo Calvin S. |
11322202 | Semiconductor logic circuits including a non-volatile memory cell | Gong Nanbo; Ando Takashi; Cohen Guy M. |
11322234 | Automated content avoidance based on medical conditions | McNeil Kristin E.; Sizemore Robert C.; Werts David B.; Smith Sterling R. |
11322256 | Automated labeling of images to train machine learning | Sati Marwan; Richmond David |
11322361 | Selective etching of silicon wafer | Song Da; Upham Allan Ward; Peethala Cornelius Brown; Winstel Kevin; Skordas Spyridon |
11322402 | Self-aligned top via scheme | Xie Ruilong; Yang Chih-Chao; Radens Carl; Li Juntao; Cheng Kangguo |
11322408 | Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer | Loubet Nicolas; Conti Richard A.; Lee ChoongHyun |
11322439 | FEOL interconnect used as capacitance over fins instead of gates | Sperling Michael; English Erik; Sutton Akil Khamisi; Owczarczyk Pawel |
11322473 | Interconnect and tuning thereof | Audette David; Wagner Grant; Knox Marc; Conti Dennis |
11322588 | Contact source/drain resistance | Lie Fee Li; Lee Choonghyun; Cheng Kangguo; Jagannathan Hemanth; Gluschenkov Oleg |
11322684 | Electrically rotatable antennas formed from an optically tunable material | Falk Abram L.; Rosenberg Jessie Carrigan; Farmer Damon Brooks; Lai Kafai |
11322787 | Encapsulating in-situ energy storage device with cathode contact | Collins John; Papalia John M.; Sadana Devendra K.; Sagianis Matthew |
11322988 | Low power transmitter for sensor arrays | Chakraborty Sudipto; Joshi Rajiv |
11323243 | Zero-knowledge proof for blockchain endorsement | Hu Yanyan; Yuan Yuan; Cao Shengjiao; De Caro Angelo |
11323263 | Sharing of secret information for accessing a wireless computing network | Gargaro Gianluca; Rogante Matteo; Ottaviano Paolo; Ragusa Roberto |
11323264 | Validating tracked portions of received sensor data using computer cryptographic processing | Chakra Al; Chakra Lama; Frey Bryce; Freeman Latrell D. |
11323269 | Preserving privacy of linked cross-network transactions | Cao Shengjiao; Yuan Yuan; Zhang Qi |
11323287 | Link layer method of configuring a bare-metal server in a virtual network | Barabash Katherine; Recio Renato |
11323322 | Non-disruptively merging coordinated timing networks | Crabtree Donald; Houston John S. |
11323332 | Intelligent social IoT work plan | Baksi Salmali; Ghosal Baishali; De Radha Mohan |
11323379 | Adaptive monitoring of computing systems | Iyengar Arun |
11323402 | Spatial topic representation of messages | Mao Xiao Xia; Feng Xin; Chen Ya Qing; Zheng Wei Jun; Ding Zhen Hong; Zheng Chuan Le; Liu Su |
11323405 | Host state-sensing for message interruption | Muller Michael; Gruen Daniel M.; Chen Daniel Q.; Li Chuang; Raven Mary E.; Gu Xu Guang; Zhou Yu Chen |
11323452 | Hiearchical access groups for controlling data access, especially patient data access | Panarello Dominic James |
11323530 | Proxy agents and proxy ledgers on a blockchain | Krishnaswamy Dilip |
11323534 | Concurrency reduction through publish-subscribe patterns | Burns Timothy M.; Graham Ryan M.; Huffman Mark A.; Ji Hong; Kaplinger Todd E. |
11323615 | Enhancing images using environmental context | Tkac Vincent; Allen Mark Timothy; Guminy Scott |
11324552 | Laser-assisted transdermal delivery of nanoparticulates and hydrogels | Felsenstein Jerome M.; Hedrick James L.; Wynne James J.; Zupanski-Nielsen Donna S. |
11324915 | Alleviating movement disorder conditions using unmanned aerial vehicles | Kozloski James R.; Pickover Clifford A.; Rice John J. |
11325166 | Apparatus for axial disentanglement of debris from a mechanical agitator | Kane Michael; Khambati Suraush; Porter Jacob; Masterson Colin Edward; Cole Marie |
11326621 | Implementing electronic enclosure cooling containment for concurrent maintenance actions | Anderl William James; Mann Phillip V. |
11327148 | Location detection using a single beacon | Smith Samuel; Naylor Alexander J.; Gashi Aaron T. R.; Masters Oliver |
11327171 | Crop classification and growth tracking with synthetic aperture radar | Klein Levente; Lu Siyuan; Marianno Fernando Jimenez; Hinds Nigel |
11327646 | Modifying illumination characteristics of an input device to identify characters associated with predicted words | Tran Richard V.; Lagares-Greenblatt Heidi; Hite Kevin David |
11327665 | Managing data on volumes | Gkoulalas-Divanis Aris; Allen Corville O. |
11327670 | Reducing power consumption in a dispersed storage network | Kazi Asimuddin; Wozniak Ethan |
11327742 | Affinity recommendation in software lifecycle management | Liang Lu; Sun Zhi Qiang; Schimpf Brian C.; Yin Yuhong |
11327747 | Sentiment based offline version modification | Kwatra Shikhar; Silverstein Zachary A.; Fox Jeremy R.; Boss Gregory J. |
11327751 | Resilient distributed garbage collection | Kawachiya Kiyokuni |
11327757 | Processor providing intelligent management of values buffered in overlaid architected and non-architected register files | Battle Steven J.; Feiste Kurt A.; Eisen Susan E.; Nguyen Dung Q.; Zoellin Christian Gerhard; Li Kent; Thompto Brian W.; Jeganathan Dhivya; Ward Kenneth L.; Barrick Brian D. |
11327766 | Instruction dispatch routing | Schwarz Eric Mark; Thompto Brian W.; Feiste Kurt A.; Genden Michael Joseph; Nguyen Dung Q.; Eisen Susan E. |
11327767 | Increasing resources for partition to compensate for input/output (I/O) recovery event | Compton Scott B.; Sutton Peter; Yudenfriend Harry M; Riedy Dale F |
11327785 | Computing system including enhanced application performance based on last completed operation sequence value | Driever Peter D.; Josten Jeffrey W.; Kurdt Georgette L.; Surman David H. |
11327793 | Garbage collection work stealing mechanism | Horie Michihiro; Ogata Kazunori; Takeuchi Mikio; Horii Hiroshi |
11327809 | Virtual machine memory removal increment selection | Church, IV Walter; Pierson Ronald C. |
11327814 | Semaphores for serverless computing | Floes Jørgen Egbert; Zolotow Clea Anne; Schrøder-Hansen Claus; Kopp Julian; Kopp Petra |
11327816 | Monitoring components in a service framework | MacLellan Scot; Mechelli Marco; Perrone Antonio; Romeo Antonio |
11327825 | Predictive analytics for failure detection | Acar Emrah; Janssen Gradus; Joshi Rajiv V.; Li Tong |
11327843 | Apparatus and method for managing data storage | Butterworth Henry Esmond; Judd Ian David |
11327849 | Catalog restoration | Harris Eric; Reed David C.; McCune Franklin E.; Sanchez Issak |
11327868 | Read diagnostic information command | Guendert Stephen Robert; Riedy Dale F |
11327883 | Solid-state drive performance and lifespan based on data affinity | Huang Zhi Zhi; Gong Yongjie; Jiang Xu Chu; Zhang Yao Dong; Ding Ning; Yao Zhen Nyu; Chen Jing Lan |
11327924 | Archiving data sets in a volume in a primary storage in a volume image copy of the volume in a secondary storage | Wilcock Glenn R. |
11327933 | Migrating a multi-level secured database | Gruszecki Artur M.; Kazalski Tomasz; Sekman Tomasz; Bodziony Michal; Korczyk Rafal |
11327940 | Interlinked tuples in coordination namespace | Jacob Philip; Strenski Philip Neil; Johns Charles |
11327973 | Critical path analysis of activity trace files | Chung I-Hsin; Xiong Jinjun; Pearson Carl |
11327976 | Autonomic fusion changes based off data rates | Barsness Eric L.; Branson Michael J.; Santosuosso John M. |
11327978 | Content authoring | Franceschini Michele M.; Ho Tin Kam; Lastras-Montano Luis A.; Shmueli Oded; Soares Livio |
11327980 | Cached updatable top-k index | Yoshida Issei |
11327982 | Column-based query expansion for table retrieval | Roitman Haggai; Feigenblat Guy; Shraga Roee; Weiner Bar |
11327986 | Retrieving and presenting data in a structured view from a non-relational database | Keller Nicholas Joseph; Bhatnagar Arvin; Cornpropst Jason Howard |
11327988 | Dynamically self-indexing database-management system | Mehrotra Gaurav; Kumar Nirmal; Kumar Hrishikesh S.; Paingankar Pratik P. |
11328007 | Generating a domain-specific phrasal dictionary | Mansjur Dwi Sianto; Carrier Scott Robert; Bull Brendan; Hicks Andrew |
11328012 | Visualization of dynamic relationships in a storyline | Li Shi Kun; Zhou Jun Qian; Li Ying; Qian Yin |
11328019 | Providing causality augmented information responses in a computing environment | Bonin Francesca; Ganguly Debasis; Jochim Charles Arthur; Tommasi Pierpaolo |
11328031 | Automatically generated timestamps based on comment | Decrop Clement; Keen Martin G.; Silverstein Zachary A.; Fox Jeremy R. |
11328064 | Automatic ransomware detection with an on-demand file system lock down and automatic repair function | Mueller-Wicke Dominic; Bender Stefan; Schreiber Thomas; Boerner Kai |
11328089 | Built-in legal framework file management | Gkoulalas-Divanis Aris; Allen Corville O. |
11328110 | Integrated circuit including logic circuitry | Pille Juergen; Werner Tobias; Kalyanasundaram Shankar; Sautter Rolf |
11328117 | Automated content modification based on a user-specified context | Santos-Greiner Stefany; Binotto Alecio Pedro Delazari |
11328123 | Dynamic text correction based upon a second communication containing a correction command | Deleuze Ivan |
11328126 | Determining and discerning items with multiple meanings | Shmueli Oded |
11328132 | Translation engine suggestion via targeted probes | Remy Sekou Lionel; Wachira Charles Muchiri; Matu Fiona Mugure; Osebe Samuel; Akinwande Victor Abayomi; Ogallo William |
11328181 | Knowledge graph-based query in artificial intelligence chatbot with base query element detection and graph path generation | Wang Wen; Yin Jian Dong; Cai Zhuo; Fu Rong; Sheng Hao; Zhang Kang |
11328221 | Hybrid model for short text classification with imbalanced data | Yu Yang; Tan Ming; Nair Ravi; Wang Haoyu; Potdar Saloni |
11328228 | Location allocation planning | Yu Ying-Chen; Lin June-Ray; Tsai Yi-Chun; Kuo Tzu-Ching |
11328346 | Method, system, and computer program product for product identification using sensory input | Ramos Igor S.; Taft Kimberly J.; Mensching Devon E. |
11328712 | Domain specific correction of output from automatic speech recognition | Ponniah Anbumunee; Singh Abhishek; Mathew Nithin; Gurumurthy Balasubramaniam; Mayanna Sunil |
11328714 | Processing audio data | Freed Andrew R.; Noel Marco; Povar Victor |
11328715 | Automatic assignment of cooperative platform tasks | Quemy Alexandre; Bektas Umit; Nowotarski Adam |
11328732 | Generating summary text compositions | Hou Yufang; Buesser Beat; Chen Bei; Kishimoto Akihiro |
11328793 | Accelerating genomic data parsing on field programmable gate arrays | Messaoud Safa; Ogasawara Takeshi |
11328954 | Bi metal subtractive etch for trench and via formation | Mignot Yann; Park Chanro; Yang Chih-Chao; Ok Injo; Chen Hsueh-Chung |
11329001 | Embedded chip identification formed by directed self-assembly | Cheng Kangguo; Liu Chi-Chun |
11329018 | Forming of bump structure | Hisada Takashi; Aoki Toyohiro; Nakamura Eiji |
11329035 | Tetherless chip module | Libsch Frank Robert; Shahidi Ghavam G. |
11329136 | Enabling anneal for reliability improvement and multi-Vt with interfacial layer regrowth suppression | Bao Ruqiang; Bu Huiming |
11329142 | Vertical transistor with body contact | Reznicek Alexander; Ning Tak H.; Hekmatshoartabari Bahman; Yau Jeng-Bang |
11329143 | Nanosheet transistors with thin inner spacers and tight pitch gate | Cheng Kangguo; Lee Choonghyun; Li Juntao; Xu Peng |
11329167 | Fishbone long channel nanosheet device | Zhang Jingyun; Miao Xin; Xie Ruilong; Reznicek Alexander |
11329171 | User-preference driven control of electrical and thermal output from a photonic energy device | Chandan Vikas; Kalyanaraman Shivkumar; Kumar Pratyush; Randhawa Sukanya |
11329332 | Battery structure with internal thermal control | Singer Noah; Werner John S.; Notohardjono Budy; Tsfasman Arkadiy O.; Torok John |
11329356 | Cryogenic-stripline microwave attenuator | Olivadese Salvatore Bernardo; Gumann Patryk; Gambetta Jay M.; Chow Jerry M. |
11329638 | Multi-resonant coupling architectures for ZZ interaction reduction | Mckay David C.; Kandala Abhinav; Srinivasan Srikanth |
11329838 | Managing bystander effects in electronic communications | Fox Jeremy R.; Dunne Jonathan D.; Harpur Liam S.; Anders Kelley |
11329885 | Cluster creation using self-aware, self-joining cluster nodes | Kulkarni Shrinivas; Arora Rachit; Jain Dharmesh; Ganatra Sunil R. |
11329939 | Spatial topic representation of messages | Mao Xiao Xia; Feng Xin; Chen Ya Qing; Zheng Wei Jun; Ding Zhen Hong; Zheng Chuan Le; Liu Su |
11329964 | Policy based message cryptographic expiry | Rumsey Jonathan L. |
11330007 | Graphical temporal graph pattern editor | Fong Alexander; Shu Xiaokui; Stoecklin Marc Philippe |
11330042 | Optimizing dynamic resource allocations for storage-dependent workloads in disaggregated data centers | Bivens John A.; Schenfeld Eugen; Salapura Valentina; Mahindru Ruchi; Li Min |
11330047 | Work-load management in a client-server infrastructure | Falkenberg Matthias; Nauerz Andreas; Sambale Sascha; Stueven Sven Ole |
11330251 | Defining a holographic object allowance area and movement path | Rakshit Sarbajit K.; Bostick James E.; Keen Martin G.; Ganci, Jr. John M. |
11330347 | Dynamically optimized stoppage time media content | Thomas Donald; Zapotoski Nicholas; Dangler John R. |
11331341 | Combination therapies that enhance antimicrobial/anticancer activities | Hedrick James L.; Yang Yi Yan; Park Nathaniel H.; Leong Jiayu; Yang Chuan; Ding Xin; Zhen Yiran; Alexander Cherylette Anne; Teo Jye Yng |
11332772 | Cell culturing structure including growth medium and non-growth medium | Cahan Amos; Cohen Guy M.; van Kessel Theodore G.; Zafar Sufi |
11333520 | Visually guiding a vehicle | Pandit Sushain; Marvaniya Smitkumar Narotambhai; Ekambaram Vijay; Nisar Kovit |
11333794 | Multimedia derived wind map | Milman Ivan M.; Pandit Sushain; Wolfson Charles D.; Liu Su; Wang Fang |
11333975 | Polymer, photosensitive resin composition, patterning method, method of forming cured film, interlayer insulating film, surface protective film, and electronic component | Zubarev Dmitry; Urano Hiroyuki; Takemura Katsuya; Iio Masashi; Honda Kazuya; Kawai Yoshio |
11334166 | Multi-sensory notifications | Chukura Rachel; Mondragon Sandoval Juan Jose; Feng Yu; Pannunzio Kaitlyn |
11334268 | Data lineage and data provenance enhancement | Bastide Paul R.; Gkoulalas-Divanis Aris; Ranchal Rohit |
11334269 | Content driven storage and retrieval of files | Hasegawa Tohru; Kikuchi Hiroaki; Goto Takuya; Ono Asako; Liu Xiangning |
11334275 | Reducing a rate at which data is mirrored from a primary server to a secondary server | Hardy Clint A.; Borlick Matthew G.; Gerhard Adrian C.; Gupta Lokesh M. |
11334323 | Intelligent auto-generated web design style guidelines | Hatfield Jennifer M.; Degnen Chris; Craig Randall Avery; Brugler Tom; Sonier Corey |
11334331 | Loop lock reservation | Craik Andrew James |
11334333 | Generation of adaptive configuration files to satisfy compliance | Malvankar Abhishek; Kwatra Shikhar; Beller Charles E.; Fonseca Carlos A. |
11334347 | Cognitive build recovery from inter-code commit issues | Kabra Namit; Ekambaram Vijay; Tater Tarun; Tripathy Saranga Dhar |
11334383 | Digital assistant response system to overlapping requests using prioritization and providing combined responses based on combinability | Rakshit Sarbajit K.; Keen Martin G.; Bostick James E.; Ganci, Jr. John M. |
11334398 | Learning-based thermal estimation in multicore architecture | Lee Eun Kyung; Acun Bilge; Park Yoonho; Coteus Paul W. |
11334439 | Checkpointing for increasing efficiency of a blockchain | Natarajan Senthilnathan; Govindarajan Chander; Sethi Manish; Saraf Adarsh |
11334452 | Performing remote part reseat actions | Vaughn Kelly; Kane Michael; Warren Dane; Mathias Thomas |
11334466 | Remedying bad service fixes having subsequent dependent fixes | Mehta Payal; Evans Stephen; Hodges Ian M.; Hurst Stephen; Vrakopoulos Michael; Wright Andrew; Jeffrey Daniel |
11334467 | Representing source code in vector space to detect errors | Wehr David; Pence Eleanor; Fede Halley; Yamin Isabella; Sobran Alexander; Zhang Bo |
11334492 | Calibrating pages of memory using partial page read operations | Papandreou Nikolaos; Pozidis Charalampos; Pletka Roman Alexander; Tomic Sasa; Ioannou Nikolas; Stoica Radu Ioan |
11334503 | Handling an input/output store instruction | Raisch Christoph; Kraemer Marco; Lehnert Frank Siegfried; Klein Matthias; Bradbury Jonathan D.; Jacobi Christian; Belmar Brenton; Driever Peter Dana |
11334532 | Dynamic selection of data apply strategy during database replication | Beier Felix; Butterstein Dennis; Lueck Einar; Perathoner-Tschaffler Sabine; Martin Daniel |
11334569 | Unique SQL query transfer for anomaly detection | Lee Cheng-Ta; Lin Chun-Shuo; Diamant Galia; Jerrell Richard Ory; Rodniansky Leonid |
11334575 | Integrating relational database temporal tables with a distributed programming environment | Beuch Daniel; Branson Michael J.; Santosuosso John M.; Barsness Eric L. |
11334593 | Automated ETL workflow generation | Zorin Anton; Labbi Abderrahim |
11334600 | Partial reloading in data synchronization | Guo Meng; Xiaowei Zhang; Zhang Hao; Lu Liang; Fan Jing F; Huang Jing; Zhao Deng Ke; Martin Daniel |
11334603 | Efficiently finding potential duplicate values in data | Kabra Namit; Saillet Yannick |
11334606 | Managing content creation of data sources | Diaz De Arcaya Josu; Byrne Patrick; Welp Richard A.; Wormwell James |
11334607 | Identifying key words within a plurality of documents | Smith Douglas A. |
11334634 | Conversation based dynamic functional settings | Baughman Aaron K.; Keen Martin G. |
11334640 | Computerized location-based visualization of weather events | Thompson Rodney; Dempster Douglas George; Simkhovich Tanya; Harris Ronald; Politis James John |
11334663 | Determining whether two programs are identical | Eells John R.; Nelson Mark A. |
11334664 | Determining whether two programs are identical | Eells John R.; Nelson Mark A. |
11334671 | Adding adversarial robustness to trained machine learning models | Buesser Beat; Nicolae Maria-Irina; Rawat Ambrish; Sinn Mathieu; Tran Ngoc Minh; Wistuba Martin |
11334672 | Cluster security based on virtual machine content | Mallya Shailaja |
11334686 | Comprehensive system wide cross-reference mechanism using runtime data collection | Anderson Mark J.; Forstie Scott; Uehling Jeffrey M. |
11334692 | Extracting a knowledge graph from program source code | Farrell Robert G.; Hamedani Mohammad S. |
11334709 | Contextually adjusting device notifications | Baracaldo-Angel Nathalie; Szymanski Margaret H.; Butler Eric K.; Ludwig Heiko H. |
11334716 | Document anonymization including selective token modification | Beller Charles E.; Ackermann Christopher F.; Summers Kristen Maria; McQuenney David; High Rob |
11334720 | Machine learned sentence span inclusion judgments | Cason Joshua; Sekar Kandhan; Rogers Thomas Hay |
11334721 | System, method, and recording medium for corpus pattern paraphrasing | Popescu Octavian; Sheinin Vadim |
11334725 | Sensor data collection control based on natural language interaction | Hewitt Trudy L.; Fox Jeremy R.; Harpur Liam S.; Dunne Jonathan D. |
11334757 | Suspect duplicate processing through a feedback-driven learning process | Pandit Sushain; Oberhofer Martin; Rehr Joerg; Milman Ivan M. |
11334769 | Mixup image captioning | Zhao Shiwan; Wu Yi Ke; Zhang Hao Kai; Su Zhong |
11334786 | System and method for an error-aware runtime configurable memory hierarchy for improved energy efficiency | Buyuktosunoglu Alper; Chandramoorthy Nandhini; Nair Prashant Jayaprakash; Swaminathan Karthik V. |
11334800 | Altering input search terms | Allen Corville O.; Kossarian Malous M. |
11334804 | Cognitive music selection system and method | Apokatanidis Dimitri; Barajas Gonzalez Emmanuel; Harrington Shaun E. |
11334806 | Registration, composition, and execution of analytics in a distributed environment | Abutbul Amram; Cao Yu; Cohen Simona; El Harouni Ahmed; Kakrania Deepika; Syeda-Mahmood Tanveer F. |
11334810 | Adiabatic progression with intermediate re-optimization to solve hard variational quantum problems in quantum computing | Greenberg Don; Pistoia Marco; Chen Richard; Nannicini Giacomo |
11334816 | Finding optimal surface for hierarchical classification task on an ontology | Farchi Eitan D.; Perera Pathirage; Raz Orna |
11334853 | Accessibility based calendar management | DeLuca Lisa Seacat; Kochura Nadiya; Lu Fang |
11334864 | Mobile wallet cognitive rewards recognition system and method | Bloys John E.; Bordash Michael J.; DeLuca Lisa Seacat |
11334887 | Payment card authentication management | Kochura Nadiya; Goldberg Itzhack; Liu Su; Lu Fang |
11334914 | Mapping mobile device interactions and location zones in a venue for use in sending notifications | Greenberger Jeremy A.; Greenberger Zachary; Margolis Matthew J.; Hannigan Ciaran |
11334935 | Method, system, and manufacture for light hypergraph based recommendation | Chen Chao; Li Dong Sheng; Chu Stephen My; Zhu Jun |
11335076 | Virtual reality-based device configuration | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Kundu Amitava; P G Kantharaju |
11335080 | System for suggesting search terms | Bosko Christopher M.; Osenbach Bryan D.; Sloyer Jeffrey B. |
11335084 | Image object anomaly detection | Ansari Ghulam Ahmed; Saha Amrita; Tamilselvam Srikanth Govindaraj |
11335111 | Optical character recognition (OCR) induction for multi-page changes | Allen Corville O.; Kozhaya Joseph; Kwatra Shikhar; Freed Andrew R. |
11335131 | Unmanned aerial vehicle maintenance and utility plan | Baughman Aaron K.; Kwatra Shikhar; Cannon Gray; Reiss Gary William |
11335330 | Updating a voice template | Miki Yukari; Noguchi Masami |
11335335 | Disambiguation of generic commands for controlling objects | DeLuca Lisa Seacat; Silverstein Zachary A.; Anders Kelley; Fox Jeremy R. |
11335342 | Voice assistance system | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Hulugundi Jagadesh Ramaswamy; Jose Reji |
11335364 | Secure data erase for tape storage | Matsui Sosuke; Nohta Takeshi; Tanaka Jun; Tatsumi Erina |
11335377 | Blocking mechanism for a storage cartridge to prevent insertion into a storage drive | Harper David; Childers Edwin Ralph |
11335433 | Feature selection for efficient epistasis modeling for phenotype prediction | Haws David C.; He Dan; Parida Laxmi P. |
11335434 | Feature selection for efficient epistasis modeling for phenotype prediction | Haws David C.; He Dan; Parida Laxmi P. |
11335442 | Generation of concept scores based on analysis of clinical data | Chhabra Swapnil; Madan Piyush; Beers Jeffrey B; Jaskolowski Joern; Taerum Terry Verne |
11335460 | Neural network based selection of representative patients | Guo Shi Jing; Li Xiang; Liu Hai Feng; Qiao Zhi; Xie Guo Tong; Zhao Shi Wan |
11335621 | Composite thermal interface objects | Czaplewski Sarah K.; Kuczynski Joseph; Miller Melissa K.; Morones Rebecca Nadeau |
11335657 | Wafer scale supercomputer | Colgan Evan; Chainer Timothy J.; Denneau Monty Montague; Schleupen Kai; Anzola Diego; Schultz Mark D.; Berge Layne A. |
11335730 | Vertical resistive memory device with embedded selectors | Ando Takashi; Adusumilli Praneet; Vega Reinaldo; Chi Cheng |
11335773 | Trench contact resistance reduction | Bi Zhenxing; Cheng Kangguo; Li Juntao; Xu Peng |
11335804 | Scalable vertical transistor bottom source-drain epitaxy | Yeh Chun-Chen; Xie Ruilong; Reznicek Alexander |
11335850 | Magnetoresistive random-access memory device including magnetic tunnel junctions | Yogendra Karthik; Robison Robert; Evarts Eric Raymond |
11335899 | Lithium ion energy storage device containing a vapor deposited iodine interfacial layer | Collins John; Afzali-Ardakani Ali; de Souza Joel P.; Todorov Teodor K.; Sadana Devendra K. |
11335908 | Rechargeable metal halide battery | Kim Jangwoo; Na Young-Hye; Allen Robert D. |
11336455 | Consensus protocol for blockchain DAG structure | Ford Daniel |
11336585 | Dynamic allocation of edge network resources | Wang Yue; Tang Gang; Liu Xin Peng; Wu Wei; Li Zheng |
11336596 | Personalized low latency communication | Abali Bulent; Franceschini Michele M.; Jagmohan Ashish; Lastras-Montano Luis A.; Soares Livio |
11336643 | Anonymizing biometric data for use in a security system | Connell, II Jonathan H; Maymir-Ducharme Fred A; Ratha Nalini K |
11336679 | Combinatorial test design for optimizing parameter list testing | Hicks Andrew C. M.; Conti Thomas William; Childs Bryan; Kasper Michael Page |
11336706 | Providing cognition of multiple ongoing meetings in an online conference system | Saito Akira; Watanabe Takeshi; Uenohara Hayato; Shimizu Seiya |
11338285 | Fluidic cell designs for interfacing microfluidic chips and nanofluidic chips | Pereira Michael A.; Smith Joshua T.; Wunsch Benjamin H. |
11340110 | System and method for assessing spectroscopic sensor accuracy | Green William; Zhang Eric |
11340763 | Non-linear navigation of videos | Chetlur Malolan; Contractor Danish; Sengupta Bikram |
11340864 | Communication device audio transmission modification | Silverstein Zachary A.; Reynolds Spencer Thomas; Fox Jeremy R.; Jepperson Jacob Ryan |
11340865 | Communication device audio transmission modification | Silverstein Zachary A.; Reynolds Spencer Thomas; Fox Jeremy R.; Jepperson Jacob Ryan |
11340895 | Automatic generation of logically consistent application code change groups | Tommasi Pierpaolo; Rho Valentina; Brisimi Theodora; Stephenson Martin |
11340897 | Subject matter expert identification for computer software | Hicks Andrew C. M.; Catalano Robert Peter; Rimaldi Tyler Vezio; Gildein Michael E. |
11340924 | Machine-learning based heap memory tuning | Zhang Hong Bing; Wang Huan Da; Huang Wei Peng; Yao Yi; Chen Hong |
11340938 | Increasing the performance of cross the frame live updates | Gaonkar Chetan L.; Sinha Sanchita |
11340955 | Thread pool management for multiple applications | Mitra Suman; Punathil Gireesh; M V Vipin |
11340964 | Systems and methods for efficient management of advanced functions in software defined storage systems | Schmitt Christof; Rueger Erik |
11340977 | Predictive analytics for failure detection | Acar Emrah; Janssen Gradus; Joshi Rajiv V.; Li Tong |
11341006 | Dynamic replacement of degrading processing elements in streaming applications | Beuch Daniel; Branson Michael J.; Stallman Adam Thomas; Cradick Ryan K. |
11341028 | Structured query language runtime access path generation for deep test in a database | Chen Xiao Xiao; Sun ShengYan; Wang Xiaobo; Li Shuo; Zhang Hong Mei; Wang Xiao Hui Xh; Tao Ye |
11341032 | Testing in a disaster recovery computer system | Abrams Robert M.; Coq Marc Henri; Fitzpatrick Desmond; Sale Eugene |
11341034 | Analysis of verification parameters for training reduction | Albertson Chad; Borkenhagen John; Frei Scott D.; Wheeler David G.; Fredrickson Mark S. |
11341060 | Multifunction communication interface supporting memory sharing among data processing systems | Siegel Michael S.; Starke William J.; Stuecheli Jeffrey A.; Arimilli Lakshminarayana; Valk Kenneth M.; Mikos James; Krolak David |
11341103 | Replicating and migrating files to secondary storage sites | Basham Robert B.; Mani Anbazhagan; Kedia Rishika; Roberson, II Harold J. |
11341121 | Peer partitioning | Deng Zhi Guo; Li Qi; Wu Huan; Wu Shun Xian; Chen Xiao Ling; Pei Qing Yu |
11341133 | Method and system for collaborative and dynamic query optimization in a DBMS network | Sidoti Stefano; Bellizia Valerio; Milanese Nicola |
11341135 | Optimizing JSON document usage | Chamarthy Ravi Chandra; Patel Kishore |
11341138 | Method and system for query performance prediction | Cohen Doron; Erera Shai; Roitman Haggai; Weiner Bar |
11341139 | Incremental and collocated redistribution for expansion of online shared nothing database | Cialini Enzo; Clifford Austin; Fitzsimons Garrett |
11341159 | In-stream data load in a replication environment | Willoughby Austin; Upton Gregg Andrew; Cadarette Paul M. |
11341176 | Question answering | O'Dwyer Ivan; O'Doherty Simon Peter |
11341186 | Cognitive video and audio search aggregation | Bender Michael; Shute Michael P.; Sood Siddhartha; Anderson Evelyn R. |
11341188 | Expert stance classification using computerized text analytics | Bar-Haim Roy; Slonim Noam; Toledo-Ronen Orith |
11341190 | Name matching using enhanced name keys | Whaley Frank Everett; Williams Charles Kinston |
11341241 | Enhancing memory safe programming using a page frame tag mechanism | Candido De Lima Junior Juscelino; Seo Carlos Eduardo |
11341270 | Automatically redacting logs | Reeve John Anthony |
11341278 | Management of securable computing resources | Hicks Andrew C. M.; Rawlins Ryan Thomas; DeRobertis Christopher V.; McMillan Khaalid Persaud Juggan |
11341279 | Management of securable computing resources | Hicks Andrew C. M.; Rawlins Ryan Thomas; DeRobertis Christopher V.; McMillan Khaalid Persaud Juggan |
11341281 | Providing differential privacy in an untrusted environment | Skourtis Dimitrios; Bhagwat Deepavali M. |
11341311 | Generation and selection of universally routable via mesh specifications in an integrated circuit | Koone Joseph; Reddy Smitha; Tellez Gustavo Enrique; Bowen Michael Alexander; Matheny Adam P. |
11341358 | Multiclassification approach for enhancing natural language classifiers | Scriven Gerhardt Jacobus; Ferreira Marcos Paulo Vieira |
11341370 | Classifying images in overlapping groups of images using convolutional neural networks | Ji Peng; Hu Guo Qiang; Ding Yuan Yuan; Zhu Jun; Huang Jing Chang; Zhu Sheng Nan |
11341394 | Diagnosis of neural network | Ludwig Heiko H.; Park Hogun; Qiao Mu; Yin Peifeng; Asthana Shubhi; Jiang Shun; Lee Sunhwan |
11341401 | Hardware architecture for simulating a neural network of neurons | Alvarez-Icaza Rivera Rodrigo; Arthur John V.; Cassidy Andrew S.; Datta Pallab; Merolla Paul A.; Modha Dharmendra S. |
11341407 | Selecting a disconnect from different types of channel disconnects by training a machine learning module | Peterson Beth A.; Gupta Lokesh M.; Craig Matthew R.; Ash Kevin J. |
11341413 | Leveraging class information to initialize a neural network language model | Kurata Gakuto |
11341427 | Adiabatic progression with intermediate re-optimization to solve hard variational quantum problems in quantum computing | Greenberg Don; Pistoia Marco; Chen Richard; Nannicini Giacomo |
11341433 | Routing and navigation system | Lakshminarayanan Mahalakshmi; Krishnamurthy Rajaram B. |
11341446 | Personalized behavior-driven dynamic risk management with constrained service capacity | Li Hongfei; Sun Wei |
11341457 | Upstream visibility in supply-chain | Paulson Elisabeth Claire; Jagmohan Ashish; Deshpande Ajay Ashok; Harsha Pavithra; Koc Ali; Ratakonda Krishna Chaitanya; Gopinath Ramesh |
11341463 | Blockchain ledger entry upon maintenance of asset and anomaly detection correction | DeLuca Lisa Seacat; Berti Joseph G. |
11341490 | Carbon footprint blockchain network | Jawaharlal Samuel M.; Rakshit Sarbajit K.; Santhar Sathya; Sivaramalingam Balamurugaramanathan |
11341598 | Interpretation maps with guaranteed robustness | Liu Ao; Liu Sijia; Bhandwaldar Abhishek; Gan Chuang; Xia Lirong; Li Qi Cheng |
11341689 | Dynamic virtual audience generation | Baughman Aaron K; Gudimetla Sai Krishna Reddy; Hammer Stephen C; Amsterdam Jeffrey D.; Goma Sherif A. |
11342050 | Monitoring users to capture contextual and environmental data for managing adverse events | Bastide Paul R.; Lu Fang; Madan Piyush; Gopalakrishnan Vishrawas |
11342064 | Triage of patient medical condition based on cognitive classification of medical images | Stoval, III William Murray; Sati Marwan; Azabagic Andjela; Covell Grant |
11342108 | Stackable near-field communications antennas | Wen Bo; Dang Bing; Narayanan Rajeev |
11342115 | Planar solenoid inductors with antiferromagnetic pinned cores | Hu Guohan; Wang Naigang |
11342149 | Integrated electro-mechanical actuator | Despont Michel |
11342697 | Dual-level pad card edge self-guide and alignment of connector | Coteus Paul W; Cipolla Thomas; Kim Kyu-hyoun; Blackshear Edmund |
11343068 | Secure multi-party learning and inferring insights based on encrypted data | Nandakumar Karthik; Ratha Nalini; Halevi Shai; Pankanti Sharathchandra |
11343085 | Threshold encryption for broadcast content | Irazabal Jeronimo; Bathen Luis Angel; Ponceleon Dulce B. |
11343313 | Fault tolerant periodic leader rotation for blockchain | Manevich Yacov; Meir Hagar; Barger Artem |
11343315 | Spatio-temporal social network based mobile kube-edge auto-configuration | Sivakumar Gandhi; Ekambaram Vijay; Seshadri Padmanabha Venkatagiri; Borse Anand Shantilal |
11343340 | IOT device identification | Bender Michael; Childress Rhonda; Kumhyr David |
11343341 | Push notifications from multiple tenant servers | Moore David P.; Pearson Craig A.; Weeden Shane B. |
11343415 | Multi-camera alignment in a rollable display device | Karri Venkata Vara Prasad; Rakshit Sarbajit K. |
11343545 | Computer-implemented event detection using sonification | Pinel Florian; Gross Brent; Wolfson Charles Daniel |
11343569 | System and method for context aware detection of objectionable speech in video | Rechner Istvan; Toth Attila; Balogh Andras; Kotra Eszter |
11344075 | Smart prediction of neurological injury | Greenblatt Michael L.; Lagares-Greenblatt Heidi |
11345790 | Reducing resin squeeze-out | Czaplewski-Campbell Sarah K.; Campbell Eric J. |
11346672 | Multi-mode route selection | Kline Eric V.; Rakshit Sarbajit K. |
11346680 | Driver experience-based vehicle routing and insurance adjustment | Jackson Jonathan; Adderly Darryl; Libow Eric; Jariwala Ajit |
11346830 | Predictive water condition monitoring | Hicks Andrew C. M.; Conti Thomas William; Stamboni Diane Marie; Mikijanic Christine D.; Brooker Christopher G.; Evans Andrew |
11346937 | Remote tracking of progress at construction sites | Klein Levente; Ohanian Roobina |
11347193 | Automating reactive impedance measurements | Prisco Joseph; Petrich Michael Paul |
11347381 | Dynamic synchronized image text localization | Liu Su; Liang Yang; Anglin Debbie; Xu Cheng |
11347410 | Automatically recreating lost storage volumes | Long James; Vance Michael James; King Justin; Stanton David A. Larson |
11347426 | Point in time copy of time locked data in a storage controller | Borlick Matthew G.; Gupta Lokesh M.; Mellgren Carol S. |
11347501 | Shape-based code comparisons | Li Xiao-Yu; Fan Hua Wei; Zhao Xin; Sun Hong Wei; An Zheng Lei; Deng Jiangang; Wen Ya Zhou |
11347505 | Processor performance monitor that logs reasons for reservation loss | Kapoor Shakti; Yokum Karen E.; Schumann John A. |
11347512 | Substitution through protocol to protocol translation | Soong Christine; Becht Michael James; Wong Raymond; Saleheen Mushfiq Us |
11347513 | Suppressing branch prediction updates until forward progress is made in execution of a previously aborted transaction | Gschwind Michael K.; Salapura Valentina; Shum Chung-Lung |
11347517 | Reduced precision based programmable and SIMD dataflow architecture | Gopalakrishnan Kailash; Shukla Sunil; Choi Jungwook; Mueller Silvia; Fleischer Bruce; Srinivasan Vijayalakshmi; Agrawal Ankur; Oh Jinwook |
11347523 | Updated shared library reloading without stopping the execution of an application | Chen Xiao Ling; Huo Zhan Peng; Yin Yong; Liu Dong Hui; Li Qi; Yu Jia; Liu Jiang Yi; Fu Xiao Xuan; Wang Cheng Fang |
11347529 | Inject interrupts and exceptions into secure virtual machine | Imbrenda Claudio; Busaba Fadi Y.; Heller Lisa Cranton; Bradbury Jonathan D. |
11347545 | Adaptive state management for stateless services | Agrawal Sugandha; Kussmaul Timo; Daur Harald; Teich Torsten |
11347594 | Inter-processor communications fault handling in high performance computing networks | LePera William P.; Sharkawi Sameh Sherif |
11347604 | Point-in-time snap copy withdrawal management | Brown Theresa M.; Clayton Nicolas M.; Crawford Joshua J.; Francisco Nedlaya Y.; Harris, Jr. Theodore T.; McBride Gregory E.; Mellgren Carol S.; Somoza Edgar X.; Trujillo Damian |
11347609 | Failed media channel recovery throttling | Szekely Matthew; Galbraith Robert Edward |
11347614 | Modifying compiled applications to monitor performance | Shi Yanfeng; Zhang Guanqin; Wang Yue; Gao Hui; Chen Yue; Zhou Huai Nan; Xu Hai Jun; He Wei ming |
11347623 | Automated defect type based logging integration within source code | Yang Bo; Zhao Shiwan; Guo HongLei; Su Zhong; Laredo Jim Alain |
11347712 | Preventing long running transactions from holding record locks | Menendez Terri A.; Prieto Perez Roity |
11347723 | Automated suspension and rebuilding of database indices | Bender Michael; Delia Wayne M. |
11347755 | Determining causes of events in data | Yu Jia; Zhong Jia Tian; Shen Xing Xing; Huo Zhan Peng; Guan Zhi Li; Jiang Peng Hui |
11347771 | Content engine asynchronous upgrade framework | Pi Wan-Chang; Seaman Michael Roy Clive; Waters Shawn Michael |
11347777 | Identifying key words within a plurality of documents | Smith Douglas A. |
11347800 | Pseudo parse trees for mixed records | Boxwell Steven A; Brake Kyle M; Frost Keith G; Vernier Stanley J |
11347810 | Methods of automatically and self-consistently correcting genome databases | Kaufman James H.; Davis Matthew A.; Kunitomi Mark; Clarkson Kenneth L. |
11347822 | Query processing to retrieve credible search results | Zolotow Clea Anne; Taggart James; Northway Tedrick N.; Van Essche Arvid; Shah Nikhilkumar V.; Adamiak Leszek |
11347849 | Ransomware detection and prevention | Hicks Andrew C. M.; Lyons Michael Peter; Pedrone Miles C.; Garrett Tynan J. |
11347869 | Secure interface control high-level page management | Schwidefsky Martin; Carstens Heiko; Bradbury Jonathan D.; Heller Lisa Cranton |
11347871 | Dynamic cybersecurity protection mechanism for data storage devices | Dodor Dmitri; Rodniansky Leonid |
11347872 | Dynamic cybersecurity protection mechanism for data storage devices | Dodor Dmitri; Rodniansky Leonid |
11347891 | Detecting and obfuscating sensitive data in unstructured text | Raphael Roger C.; Desai Rajesh M.; Leong Iun Veng; Samal Ramakanta; Blume Ansel |
11347900 | Automated web session timeout enforcement | Godowski Piotr P.; Paluch Michal; Hanusiak Tomasz; Kowalczyk Szymon |
11347928 | Detecting and processing sections spanning processed document partitions | Lavery Andrew J; Ramos Igor S.; Hake Paul Joseph; Carrier Scott |
11347936 | Spreadsheet search functionality | Leem Jin |
11347970 | Optimizing machine learning-based, edge computing networks | Anghel Andreea; Kathareios Georgios; Gusat Mitch |
11347999 | Closed loop programming of phase-change memory | Ambrogio Stefano; Burr Geoffrey; Mackin Charles; Tsai HsinYu; Narayanan Pritish |
11348002 | Training of artificial neural networks | Boybat Kara Irem; Stavros Eleftheriou Evangelos; Le Gallo-Bourdeau Manuel; Sasidharan Rajalekshmi Nandakumar; Sebastian Abu |
11348020 | Intelligent crowd-sourced instability detection in a computing environment | Restrepo Conde Melissa; Dunne Jonathan |
11348021 | Assisting prospect evaluation in oil and gas exploration | Zadrozny Bianca; de Gusmao Cerqueira Renato Fontoura; da Costa Flach Bruno; Mello Ulisses T. |
11348031 | Modeling mastery in distributed cognitive systems and storing the results in a ledger | Watson Patrick; Chang Maria; Ahn Jae-Wook; Sundararajan Sharad Chandra; Dey Prasenjit |
11348043 | Collective-aware task distribution manager using a computer | Fox Jeremy R.; Anders Kelley; Liang Chen; Whiriskey Andrew Charles |
11348060 | Increasing cost benefit and energy efficiency with modular delivery drones in inclement weather | Briggs Benjamin D.; Clevenger Leigh Anne H.; Sipolins Aldis; Rizzolo Michael; Clevenger Lawrence A.; Penny Christopher J. |
11348062 | E-commerce delivery address upon checkout based on trusted contacts and estimated delivery times | DeLuca Lisa Seacat; Sivaswamy Hemant Kumar |
11348101 | Post-settlement processes | Irazabal Jeronimo; Garagiola Andres |
11348120 | Digital agreement management on digital twin ownership change | Berti Joseph G.; DeLuca Lisa Seacat |
11348145 | Preference-based re-evaluation and personalization of reviewed subjects | D'Alfonso Fabio; Sturaro Agostino; Lupini Francesco; Sessa Valentina |
11348213 | Multistage process model training | Cao Li; Zhao Ze Ming; Fang Wu Song; Wang Cheng Fang |
11348336 | Systems and approaches for learning efficient representations for video understanding | Fan Quanfu; Chen Richard; Liu Sijia; Kuehne Hildegard |
11348416 | Group persona based machine replenishment prediction | Krishnarao Sharath Kumar Rudrapatna; Dhondse Amol; Hosurmath Manjula Golla |
11348589 | Automatic contextual selection of a smart device | Rakshit Sarbajit K. |
11348665 | Diagnosing and treating neurological impairments | Anderson Ryan R.; Kozhaya Joseph |
11348680 | System for assignment of assessment tasks based on task criteria and reviewer credentials | Kartoun Uri; Rudakevych Tanya; Stavropoulos Charalambos; Batchelder Sophie; Aldous Veronica; LaScaleia Michael J.; Campion Francis; Ng Kenney |
11348695 | Machine logic for recommending specialized first aid services | Bell Denise; Bonanni Cristina; Panda Anupam; Bose Tathagato |
11348832 | Self-aligned via interconnect structures | Backes Benjamin C.; Cohen Brian A.; Nag Joyeeta; Radens Carl J. |
11348833 | IR assisted fan-out wafer level packaging using silicon handler | Dang Bing; Gelorme Jeffrey D.; Knickerbocker John U. |
11348872 | Hybrid dielectric scheme for varying liner thickness and manganese concentration | Briggs Benjamin D.; Clevenger Lawrence A.; Lanzillo Nicholas A.; Nogami Takeshi; Penny Christopher J.; Rizzolo Michael |
11348999 | Nanosheet semiconductor devices with sigma shaped inner spacer | Reznicek Alexander; Yeh Chun-Chen; Basker Veeraraghavan S.; Wang Junli |
11349001 | Replacement gate cross-couple for static random-access memory scaling | Xie Ruilong; Radens Carl; Cheng Kangguo; Basker Veeraraghavan; Li Juntao |
11349029 | Structure to enable titanium contact liner on pFET source/drain regions | Basker Veeraraghavan S.; Fogel Keith E.; Munro Nicole S.; Reznicek Alexander |
11349060 | Dielectric holder for quantum devices | Gumann Patryk; Olivadese Salvatore Bernardo; Chow Jerry M. |
11349061 | Glassy carbon mask for immersion implant and selective laser anneal | Holmes Steven J.; Sadana Devendra K.; Wacaser Brent A.; Farmer Damon Brooks |
11349637 | Random node selection for permissioned blockchain | Manevich Yacov; Barger Artem; Meir Hagar |
11349643 | Techniques for using local key management in a data storage system | Rahamim Eyal; Snast Alexander |
11349663 | Secure workload configuration | Banerjee Pradipta; Patil Harshal |
11349790 | System, method and computer program product to extract information from email communications | Cordes Steven; Leach Riell Debra; Loussedes Debra A.; Varekamp Patrick |
11349813 | Preemptive determination of reserved IP conflicts on VPNs | Hicks Lester R. |
11349841 | Managing user access to restricted content through intelligent content redaction | Bowie Dale; Smith Jasmine Anne |
11349856 | Exploit kit detection | Harris Bradley E.; Khan Moazzam; Ravindra Preeti |
11349859 | Method for privacy preserving anomaly detection in IoT | Adir Allon; Aharoni Ehud; Greenberg Lev; Soceanu Omri |
11349875 | Dynamic balancing of security rules execution in a database protection system | Rodniansky Leonid; Butovsky Tania |
11349964 | Selective TCP/IP stack reconfiguration | Herr David Anthony; Porter Joyce Anne; Meyer Christopher |
11350163 | Digital display viewer based on location | Greenberger Jeremy A.; Greenberger Zachary M. |
11350544 | Flexible cold plate with parallel fluid flow paths | Tian Shurong; Takken Todd Edward |
11351540 | Covert codes based on electrical sensing of patterned materials in microfluidic devices | Temiz Yuksel; Gökçe Onur; Hemmig Elisa; Delamarche Emmanuel |
11351577 | Protecting the display of a device when cleaning with a cloth/material | Karri Venkata Vara Prasad; Rakshit Sarbajit K. |
11351682 | Environment monitoring and associated monitoring device | Wang Qia; Yuan Quan; Zhong Guang Qing; Yin Kun Yan |
11351719 | Additive manufacturing of wireframe objects | Rakshit Sarbajit K.; Jenkins Jana H. |
11351811 | Optically-passive magnetic signature and identification feature with electromagnetic tamper detection | Rizzolo Michael; Bergendahl Marc A.; Waskiewicz Christopher J.; Penny Christopher J. |
11351913 | Adaptive headlights for the trajectory of a vehicle | Epperlein Jonathan P.; Gambella Claudio; Lassoued Yassine; Marecek Jakub; Mevissen Martin; Monteil Julien; Russo Giovanni; Shorten Robert; Griggs Wynita M.; Ordonez-Hurtado Rodrigo H. |
11354016 | Dynamic user interface pagination operation | Ono Asako; Hasegawa Tohru; Uetsuki Hiroaki; Ishikawa Shunsuke; Watanabe Kenta; Tominaga Yasuyuki; Yoshida Issei |
11354038 | Providing random access to variable-length data | Lee Jinho; Liu Frank |
11354044 | Identifying an availability of a system | Kass Ariel; Avraham Amalia; Theodorou Erez A.; Tamary Lior |
11354094 | Hierarchical sort/merge structure using a request pipe | Hagspiel Norbert; Vogt Jörg-Stephan; Fuchs Thomas; St. Pierre Thomas |
11354108 | Assisting dependency migration | Sridhara Giriprasad; Desai Utkarsh Milind; Tamilselvam Srikanth Govindaraj; Kumarasamy Mani Senthil Kumar |
11354145 | Creating process fingerprints based on virtualized containers for determining software product usage | Babol Adam; Midura Jacek; Galda Jan; Jeda Lukasz T. |
11354151 | Hardware memory encryption and protection for containers | Patil Harshal; Banerjee Pradipta; Konkar Nitesh; Kumatagi Manjunath |
11354198 | Snapshot for world state recovery | Novotny Petr; Zhang Qi; Kundu Ashish |
11354204 | Host multipath layer notification and path switchover following node failure | Shah Komal Shailendra; Soni Bharti; Bhagwat Shrirang Shrikant; Gupta Sourab |
11354208 | Adjustment of safe data commit scan based on operational verification of non-volatile memory | Borlick Matthew G.; Riley Sean Patrick; Rinaldi Brian Anthony; Nguyen Trung N.; Gupta Lokesh M. |
11354212 | Component installation verification | Mondragon Ayrton Didhier; Perez Pena Salvador; Sanchez Orozco Pablo; Padilla Gabriel |
11354230 | Allocation of distributed data structures | Almasi Gheorghe; Dalton Barnaby; Tanase Ilie G.; Tiotto Ettore |
11354243 | Accelerated processing of streams of load-reserve requests | Williams Derek E.; Guthrie Guy L.; Shen Hugh; Ghai Sanjeev; Murray Luke |
11354278 | Linking of tokens | Sen Sayandeep; Behl Dushyant K.; Kodeswaran Palanivel A.; Hari Jaikrishnan |
11354297 | Detecting positivity violations in multidimensional data | Bak Peter; Karavani Ehud; Shimoni Yishai |
11354311 | Database-agnostic parallel reads | Stanley Paul |
11354312 | Access-plan-based querying for federated database-management systems | Liu Chang Sheng; Xu Yan Li; Guo Hui; Wang Yao M.; Shen Hai Jun; Liu Ping |
11354320 | Determining causes of events in data | Yu Jia; Zhong Jia Tian; Shen Xing Xing; Huo Zhan Peng; Guan Zhi Li; Jiang Peng Hui |
11354321 | Search results ranking based on a personal medical condition | McNeil Kristin E.; Sizemore Robert C.; Werts David B.; Smith Sterling R. |
11354337 | Online reorganization of database tables with concurrent updates using virtual partitions | Geiselhart Reinhold; Beier Felix; Stolze Knut; Oliveira Lizardo Luis Eduardo |
11354338 | Cognitive classification of workload behaviors in multi-tenant cloud computing environments | Routray Ramani; Megahed Aly; Tata Samir |
11354340 | Time-based optimization of answer generation in a question and answer system | Allen Corville O.; Chung Albert A.; Freed Andrew R.; Miller Dorian B. |
11354359 | Ordering archived search results | Hasegawa Tohru; Uetsuki Hiroaki; Ishikawa Shunsuke; Tominaga Yasuyuki; Watanabe Kenta; Ono Asako |
11354361 | Document discrepancy determination and mitigation | Bastide Paul R.; Broomhall Matthew E.; Loredo Robert E. |
11354418 | Incremental decryption and integrity verification of a secure operating system image | Buendgen Reinhard T.; Borntraeger Christian; Bradbury Jonathan D.; Busaba Fadi Y.; Heller Lisa C.; Mihajlovski Viktor |
11354421 | Secure execution guest owner controls for secure interface control | Buendgen Reinhard T.; Bradbury Jonathan D. |
11354425 | Privacy-preserving document sharing | Shrinivasan Yedendra; Ratakonda Krishna Chaitanya; Tummalapalli Teja Chiranjeevi; Wade Mari M.; Hezbor Atallah |
11354439 | Content control through third-party data aggregation services | Sofia Anthony Thomas; Jordan Michael Joseph |
11354455 | Maintenance of access for security enablement on a host system | Hathorn Roger G.; Driever Patricia G.; Colonna Christopher J.; Rivera Evan; Flanagan John R. |
11354478 | Semiconductor circuit design and unit pin placement | Daellenbach Lukas; Richter Ralf |
11354486 | Presenting a link label for multiple hyperlinks | Chakra Al; Feller John A.; Hewitt Trudy L.; Schembari Francesco C. |
11354502 | Automated constraint extraction and testing | Kenkre Sreyash; Penubothula Santosh R. K.; Shrivastava Disha; Ramaswamy Harish Guruprasad; Pandit Vinayaka |
11354504 | Multi-lingual action identification | Motahari Nezhad Hamid Reza; Mahajan Pravar Dilip |
11354507 | Compared sentiment queues | Fox Jeremy R.; Boss Gregory J.; Harpur Liam S.; Rakshit Sarbajit K. |
11354514 | Real-time on-demand auction based content clarification | Dey Kuntal; Joshi Anil U.; Ramachandran Puthukode G.; Scott, II Willie L. |
11354534 | Object detection and identification | Mathada Prasanna Alur; Gopalakrishna Shwetha; Seshagiri Sudhakar T. |
11354539 | Encrypted data model verification | Halevi Shai; Pankanti Sharathchandra; Nandakumar Karthik; Ratha Nalini K. |
11354540 | Feedback prediction in a social media application | Li Qin; Dunne Jonathan D.; Sui Guang Han; Xiao Ping; Jiang Peng Hui; Liu Niao Qing; Zhou Xiang |
11354572 | Multi-variables processing neurons and unsupervised multi-timescale learning for spiking neural networks | Moraitis Timoleon; Sebastian Abu |
11354573 | Dynamically resizing minibatch in neural network execution | Venkataramani Swagath; Srinivasan Vijayalakshmi; Choi Jungwook |
11354585 | Cognitive detection of cloud service forecast | Tagra Ankur; Nayak Harish |
11354588 | Detecting deviations between event log and process model | Li Jing; Li Xiang; Liu Haifeng; Xie Guo Tong; Yu Yi Qin; Zhang Shi Lei |
11354591 | Identifying gene signatures and corresponding biological pathways based on an automatically curated genomic database | Dey Sanjoy; Fokoue-Nkoutche Achille B.; Spangler William S.; Zhang Ping |
11354595 | Similarity-based hierarchical data loading for machine learning training | Lee Eun Kyung; Cong Guojing; Yang Chih-Chieh |
11354607 | Iterative cognitive assessment of generated work products | Byron Donna K.; DiMascio Carmine; Ewen Christian; Pinel Florian |
11354609 | Dynamic prioritization of action items | Javaid Azka; Bastide Paul R.; Krishnan Jayanth; Lu Fang |
11354615 | Blockchain-implemented digital agreement management for digital twin assets | Berti Joseph G.; DeLuca Lisa Seacat |
11354669 | Collaborative analytics for fraud detection through a shared public ledger | Snyder Jessica G.; Ma Yi-Hui; Hanis Thomas T. |
11354739 | Detection of market abuse patterns by artificial intelligence | Sundarajan Balaji Rishi; Athrey Sharath C; Dani Mohan Nagraj |
11354793 | Object detection with missing annotations in visual inspection | Xu Jian; Hu Guo Qiang; Li Fan; Zhu Sheng Nan; Li Jinfeng; Zhu Jun |
11354904 | Spatial-temporal graph-to-sequence learning based grounded video descriptions | Wu Lingfei; Fong Liana |
11354909 | Adaptive queue management system | Xu Chang; Wang Junsong; Liu Hang; Gong Yan |
11354920 | Updating and implementing a document from an audio proceeding | Beller Charles E.; Joshi Akanksha; Sivaswamy Hemant Kumar; Nambiar Sunil |
11355003 | Incident location reporting using isodistance | Bauchot Frederic; Szalai Zsolt; Viale Joel |
11355108 | Distinguishing voice commands | Lee Eunjin; Cunnington Daniel Thomas; Chiarella Giacomo Giuseppe; Wood John Jesse |
11355123 | Network data aligning | Trim Craig M.; Hall Lakisha R.; Sivakumar Gandhi; Patel Kushal; Patel Sarvesh S. |
11355139 | Real-time vs non-real time audio streaming | Bolanos Daniel; Diamond Susan L.; Borse Santosh Subhashrao |
11355231 | Scalable and traceable healthcare analytics management | Curbera Francisco P.; Mahatma Shilpa N.; Wang Yajuan; Williams Rose M.; Yuen-Reed Gigi Y. C. |
11355241 | Intelligent health recommendation service | Lassoued Yassine; Monteil Julien; Bettencourt-Silva Joao H.; Russo Giovanni |
11355242 | Medical treatment management | Sailer Anca; Julier Kevin Paul; Mohindra Ajay |
11355245 | Identifying and ranking risk factors using trained predictive models | Krause Josua; Ng Kenney; Perer Adam |
11355246 | Identifying and ranking risk factors using trained predictive models | Krause Josua; Ng Kenney; Perer Adam |
11355379 | Oxide-bonded wafer pair separation using laser debonding | Farooq Mukta Ghate; McHerron Dale Curtis; Skordas Spyridon |
11355401 | Field effect transistor | Leobandung Effendi; Basker Veeraraghavan S.; Wang Junli; Chu Albert |
11355442 | Forming self-aligned multi-metal interconnects | Dutta Ashim; De Silva Ekmini Anuja |
11355553 | Resistive random access memory integrated under a vertical field effect transistor | Reznicek Alexander; Hekmatshoartabari Bahman; Lee Choonghyun; Ning Tak H. |
11355588 | Strained and unstrained semiconductor device features formed on the same substrate | Cheng Kangguo; Li Juntao; Xu Peng |
11355633 | Vertical field effect transistor with bottom source-drain region | Reznicek Alexander; Xie Ruilong; Yeh Chun-Chen; Pranatharthi Haran Balasubramanian S |
11355644 | Vertical field effect transistors with self aligned contacts | Song Yi; Li Juntao; Cheng Kangguo |
11355661 | Hybrid CZTSSe photovoltaic device | Gokmen Tayfun; Gunawan Oki; Haight Richard A.; Kim Jeehwan; Mitzi David B.; Winkler Mark T. |
11355703 | Phase change device with interfacing first and second semiconductor layers | Li Ning; Sadana Devendra K. |
11355706 | Single-sided liner PCM cell for 3D crossbar PCM memory | Bruce Robert L.; BrightSky Matthew Joseph; Kim SangBum |
11356260 | Decentralized secure data sharing | Soroker Danny; Frankel Lisa |
11356275 | Electronically verifying a process flow | Valdez Enriquillo; Boivie Richard H.; Ganapavarapu Venkata Sitaramagiridharganesh; Jung Jinwook; Nam Gi-Joon; Vaculin Roman; Rayfield James Thomas |
11356341 | Discovery of IoT devices | Harat Szymon; Malczewski Michal; Szczotka Artur; Anderson Eric M. |
11356355 | Extension to software defined networking to manage storage area networks | Fisher Gary Allen |
11356393 | Sharing personalized data in an electronic online group user session | Bender Michael; Kelley John F.; Dhillon Jill; Atkin Steven Edward; Daley Stan Kevin |
11356395 | Generating a rich hyperlink within a messaging environment | Deleuze Ivan; Bonvalet Frederic |
11356398 | Lens-focused channel presentation | Bastide Paul R.; Harpur Liam S.; Loredo Robert E.; Broomhall Matthew E. |
11356420 | Internet of things (IoT) gateway flow engine optimizer and configuration distribution | Shih Hao-Ting; Liu Chih-Hsiung; Tseng Joey H. Y.; Wang Yi-Hong |
11356440 | Automated IoT device registration | Mangalvedkar Amit Mohan; Niblett Peter David; Gothe Mats; Boad Jack Philip; Sinha Swati |
11356485 | Pre-signed URLs with custom policies for data access in an object storage system | Hegde Harsha; Lange Nicholas G. |
11356524 | Coordinating requests actioned at a scalable application | Ross Martin A.; Evans Jack William Donato; Stevenson Jack Richard William; Powlett Luke James |
11356541 | Managing network sockets | Ellison Timothy P.; Gupta Ankur; Kodali Sreedhar B.; Meng Xiaoqiao; Richards Neil G. M.; Thirugnanapandi Sivasakthi |
11356738 | Automatic modification of user guidance sequence recordings | Xiao Ping; Wang Shi Yu; Zhang Xue Yong; Dong Ya Peng; Pei Xiao Xiao |
11356792 | Selecting a primary source of text to speech based on posture | Zhang Da Wei; Chen Ke; Sun Yu Ping; Jia Hou Ping; Mo Xiaoguang |
11356794 | Audio input source identification | Decrop Clement; Reynolds Spencer Thomas; Fox Jeremy R.; Silverstein Zachary A.; Jepperson Jacob Ryan |
11357020 | Connecting computer processing systems and transmitting data | Church, IV Walter; Herne Jason J.; Hollenbeck John Michael; Meeks Kevin; Galbraith Kenneth; Mason Scott |
11357435 | Automatic extraction of disease-specific features from doppler images | Beymer David J.; Moradi Mehdi; Negahdar Mohammadreza; Parajuli Nripesh; Syeda-Mahmood Tanveer F. |
11357573 | Optimum treatment planning during coronary intervention by simultaneous simulation of a continuum of outcomes | Rawlinson Andrew; Halupka Kerry; Moore Stephen Michael; Zhuk Sergiy |
11358031 | Safety device for assisting a user to traverse a structure | Dickenson Marc; Ramos Igor S.; Lavery Andrew J. |
11358063 | Generation of audience appropriate content | Ashoori Maryam; Singh Anamika Dayaram; Shah Priti Ashvin |
11359975 | Using ionic liquids in a programmable sensor | Czaplewski-Campbell Sarah K.; Kuczynski Joseph; Campbell Eric J.; Tofil Timothy J. |
11360488 | Dynamic relative position reassignment of vehicle in autonomous vehicles ecosystem | Kline Eric V.; Rakshit Sarbajit K. |
11360576 | Movement pattern-based mobile device user interfaces | Rakshit Sarbajit K.; Weisz Justin David |
11360756 | Processing unit subtype configuration | Kulmann Fabian; Nunez Mencias Angel; Schuepferling Benno; Lang Jakob Christopher |
11360761 | Operational file management and storage | Farrell Leo M.; Exton Scott; Ferguson Anthony B. |
11360763 | Learning-based automation machine learning code annotation in computational notebooks | Wang Dakuo; Wu Lingfei; Wang Yi; Liu Xuye; Gan Chuang; Han Si Er; Chen Bei; Yang Ji Hui |
11360769 | Decimal scale and convert and split to hexadecimal floating point instruction | Schwarz Eric Mark; Leber Petra; Schelm Kerstin Claudia; Mueller Silvia Melitta; Copeland Reid; Guo Xin; Lichtenau Cedric |
11360772 | Instruction sequence merging and splitting for optimized accelerator implementation | Buyuktosunoglu Alper; Trilla Rodriguez David; Wellman John-David; Bose Pradip |
11360775 | Slice-based allocation history buffer | Barrick Brian D.; Alexander Gregory W.; Nguyen Dung Q. |
11360779 | Logical register recovery within a processor | Battle Steven J.; Ayub Salma; Barrick Brian D.; Bowman Joshua W.; Eisen Susan E.; Goddard Brandon; Mueller Christopher M.; Nguyen Dung Q. |
11360789 | Configuration of hardware devices | Ringlein Burkhard; Abel Francois; Weiss Beat Eugen |
11360799 | Virtual machine live migration with seamless network connectivity | Liu Joseph; Cochran Marc; Iannicelli Alexander T. |
11360804 | Resource management for parent child workload | Sui Guang Han; Ge Wei; Fang Xing; Lv Jinming |
11360866 | Updating stateful system in server cluster | Benke Oliver; Bergmann Tobias Ulrich |
11360877 | Forming microservices from monolithic applications | Lin Chen; Kalia Anup; Xiao Jin; Rofrano John; Sinha Saurabh; Batta Raghav; Vukovic Maja |
11360903 | Data placement in write cache architecture supporting read heat data separation | Pletka Roman Alexander; Fisher Timothy; Fry Aaron Daniel; Papandreou Nikolaos; Ioannou Nikolas; Tomic Sasa; Stoica Radu Ioan; Pozidis Charalampos; Walls Andrew D. |
11360938 | Files having unallocated portions within content addressable storage | Gheith Ahmed; Van Hensbergen Eric; Xenidis James |
11360939 | Testing of file system events triggered by file access | Duale Ali Y.; Mah Mustafa; Ali Asmahan |
11360946 | Tracking data transfers | Hintermeister Gregory R.; Kaczmarski Michael A.; Clark Thomas K. |
11360963 | Tracking and verification of physical assets | Werner Klaus; Lang Jakob Christopher; Schmidbauer Joerg; Nunez Mencias Angel |
11360970 | Efficient querying using overview layers of geospatial-temporal data in a data analytics platform | Freitag Marcus Oliver; Albrecht Conrad M.; Marianno Fernando Jimenez; Lu Siyuan; Hamann Hendrik F.; Schmude Johannes Walter |
11360973 | Generating multilingual queries | Bolshinsky Leonid; Gamaley Vladimir; Krisher Sharon |
11360982 | Database endpoint devices put in groups for responding to queries | Liu Xin Peng; Li Shuo; Wang Xiaobo; Sun Sheng Yan; Wang Yue |
11360998 | Database management system and method of operation | Hrle Namik; Martin Daniel; Mohan Chandrasekaran; Spyker James D.; Yao Yongfeng |
11361008 | Complex query handling | Griffith Timothy P.; Shore Ian M.; Smith Samuel J.; Smithson Martin J. |
11361009 | Grouping users of a mobile network | Ranco Gabriele; Garcia Moises Noe Sanchez; Doyle Gordon |
11361030 | Positive/negative facet identification in similar documents to search context | Tsuzuku Daiki; Hasegawa Tohru; Ishikawa Shunsuke; Nitta Keisuke; Kajinaga Yasumasa; Komedani Masaki |
11361031 | Dynamic linguistic assessment and measurement | Croutwater Kyle; Verma Vikrant; Zhang Zhe; Zhang Le |
11361036 | Using historical information to improve search across heterogeneous indices | Deng Yu; Devarakonda Murthy V.; Hosn Rafah A.; Rajamani Nithya; Vogl Norbert G. |
11361037 | Contextual search input sharing across websites | Dawson Emma Jane; Lee Eunjin; Denholm Ashleigh; Wadsted Jack |
11361039 | Autodidactic phenological data collection and verification | Milman Ivan M.; Wang Fang; Liu Su; Calcaterra Jeffrey A. |
11361043 | Multi-sensory content authorship aid | Bastide Paul R.; Loredo Robert E.; Broomhall Matthew E. |
11361055 | Protection of a content repository using dynamic watermarking | Levacher Killian; Buesser Beat; Simioni Marco |
11361064 | Access level authentication based on field of view segmentation | Naganna Soma Shekar; Rakshit Sarbajit K.; Seth Abhishek; Jinka Venkata JayaPrakash |
11361136 | Creating multiple use test case | Hershkovitz Oz Dov |
11361143 | Proactive rich text format management | Govindjee Anita; Liu Su; Liu Kai; Xu Cheng |
11361146 | Memory-efficient document processing | Staar Peter Willem Jan; Dolfi Michele; Auer Christoph; Georgopoulos Leonidas; Bekas Konstantinos |
11361214 | Dynamic multiscale routing on networks of neurosynaptic cores | Andreopoulos Alexander |
11361218 | Noise and signal management for RPU array | Rasch Malte; Gokmen Tayfun |
11361229 | Post-processor for factoid answer conversions into structured relations in a knowledge base | Beller Charles E.; Chase, Jr. Paul J.; Darden Richard L.; Drzewucki Michael; Katz Edward G.; Phipps Christopher |
11361234 | Real-world execution of contingent plans | Muise Christian; Vodolán Miroslav; Bajgar Ondrej; Agarwal Shubham; Lastras-Montano Luis |
11361247 | Spatial device clustering-based emergency response floor identification | Wolfson Charles D.; Smart Otis; Venkatesan Harikumar; Pandit Sushain; Selby David A.; Gross Brent; Stubbs Corey A. |
11361283 | System and method for dynamic discovery and enhancements of diagnostic rules | Bou-Ghannam Akram; Gyimesi Kalman; Li Jenny S. |
11361324 | Blockchain-issued verifiable credentials for portable trusted asset claims | Olson Timothy; Novotny Petr; Gaur Nitin |
11361325 | Dynamic management of a customer life-cycle value | Chowdhary Pawan; Ettl Markus; Keefer Donald; Toma Gabriel; Xue Zhengliang |
11361328 | Reduced network footprint customer behavior analytics | Thube Sandip |
11361515 | Automated generation of self-guided augmented reality session plans from remotely-guided augmented reality sessions | Bilgory Erez Lev Meir; Raz Goldfarb Adi |
11361571 | Term extraction in highly technical domains | Fusco Francesco; Staar Peter Willem Jan |
11361755 | Personalization of conversational agents through macro recording | Hirzel Martin; Mandel Louis; Shinnar Avraham E.; Simeon Jerome; Vaziri Mandana |
11361761 | Pattern-based statement attribution | Boxwell Stephen Arthur; Frost Keith Gregory; Brake Kyle Matthew; Vernier Stanley John |
11361769 | Assessing accuracy of an input or request received by an artificial intelligence system | Bender Michael; Rakshit Sarbajit K.; Keen Martin G. |
11361821 | Drift and noise corrected memristive device | Syed Ghazi Sarwat; Kersting Benedikt; Sebastian Abu |
11361889 | Magnetic inductor with multiple magnetic layer thicknesses | Deligianni Hariklia; Doris Bruce B.; O'Sullivan Eugene J.; Wang Naigang |
11361928 | Piezoelectric vacuum transistor | Cao Qing; Cheng Kangguo; Li Zhengwen; Liu Fei |
11361987 | Forming decoupled interconnects | Dutta Ashim; Sharma Saumya; Zhou Tianji; Yang Chih-Chao |
11362086 | Diode connected vertical transistor | Balakrishnan Karthik; Hashemi Pouya; Reznicek Alexander |
11362093 | Co-integration of non-volatile memory on gate-all-around field effect transistor | Bi Zhenxing; Xu Zheng; Kong Dexin; Cheng Kangguo |
11362109 | Integrated power amplifier | Lee Ko-Tao; Zhang Xin; Takken Todd Edward |
11362193 | Inverse T-shaped contact structures having air gap spacers | Cheng Kangguo; Lee Choonghyun; Li Juntao; Wu Heng; Xu Peng |
11362194 | Transistor having confined source/drain regions with wrap-around source/drain contacts | Reznicek Alexander; Xie Ruilong; Cheng Kangguo; Bergendahl Marc A. |
11362274 | Laterally switching cell having sub-stoichiometric metal oxide active layer | Rozen John; Ando Takashi; Frank Martin M.; Ogawa Yohei |
11362382 | Simplified hermetic packaging of a micro-battery | Andry Paul S.; Dang Bing; Knickerbocker John U.; Liu Yang; Nah Jae-Woong; Narasgond Adinath; Webb Bucknell C. |
11362656 | Josephson RF to RF frequency converter | Beck Matthew; Friedman Daniel Joseph |
11362670 | ReLU compression to reduce GPU memory | Negishi Yasushi; Le Tung D.; Imai Haruki; Kawachiya Kiyokuni |
11362805 | Database encryption layer | Androulaki Elli; De Caro Angelo; Sorniotti Alessandro; Visegrady Tamas |
11362810 | Method and system for rateless and pollution-attack-resilient network coding | Hu Xin; Huang Wentao; Jang Jiyong; Salonidis Theodoros; Stoecklin Marc Ph; Wang Ting |
11362826 | Endorsement process for non-deterministic application | Irazabal Jeronimo; Arunkumar Saritha |
11362842 | Membership compiler for applications | Androulaki Elli; De Caro Angelo |
11362891 | Selecting and using a cloud-based hardware accelerator | Schardt Paul E.; Chen Jim C.; Thompson Lance G.; Carey James E. |
11362897 | Site policy administrative agent | Schirmer Andrew L.; Lyle Ruthie D.; Muller Michael |
11362910 | Distributed machine learning for anomaly detection | Lin Jian; Elsner Matthew; Williams Ronald; Bolding Michael Josiah; Pan Yun; Taylor Paul Sherwood; Lee Cheng-Ta |
11362916 | Visual identification of a port and a cable in a network | Elliott Mark Keith; Nicholls Gareth John; Gebuhr Katja; Sanders Lee Jason |
11362971 | Pass through sharing of resources | Duquene Joel; Johnson, Jr. Morris S.; Meli Henri F.; Miller Adrienne Y. |
11362997 | Real-time policy rule evaluation with multistage processing | Raphael Roger C.; Desai Rajesh M.; Leong Iun Veng; Owings Brian Joseph |
11363004 | Secure device relay | Allen Corville O.; Wegner Kim Eric; Chilanti Michele |
11363038 | Detection impersonation attempts social media messaging | Singh Jasmeet; Freed Andrew R.; James Rebecca Rose; Roorda Stephan |
11363041 | Protecting computer assets from malicious attacks | Paquin Adam; Duncan Peyton; Shen Kevin; Bees Johathan; Tummalapenta Srinivas Babu |
11363070 | Preventing cross-site request forgery | Okunlola Olanrewaju O.; Fraser Christopher C. |
11363094 | Efficient data processing in a mesh network of computing devices | Bobbitt Russell Patrick; Pinel Florian; Coldicott Peter Alan |
11363097 | Method and system for dynamically rebalancing client sessions within a cluster of servers connected to a network | Desai Aditya A.; Martin Brian K.; McGee Jason R.; Montero Gabriel G. |
11363352 | Video content relationship mapping | Catalano Pasquale A.; Crimmins Andrew G.; Tsfasman Arkadiy; Werner John |
11363434 | Inter-vehicle communication | Malinowski Jason; Vasudevan Cheranellore; Balasubramanian Swaminathan; Lawless, III Thomas G. |
11363953 | Methods and systems for managing medical anomalies | Kwatra Shikhar; Mukherjee-Roy Moitreyee |
11366248 | Combining forecasts of varying spatial and temporal resolution | Schmude Johannes W.; Lu Siyuan; Hamann Hendrik F.; Sakurai Akihisa; Izumiyama Taku; Hasegawa Masao |
11366593 | Point-in-time backups via a storage controller to an object storage cloud | Figueroa Ernesto E.; Gensler, Jr. Robert S.; Shackelford David M.; Suarez Jeffrey R. |
11366650 | Automatic generation of affinity and anti-affinity rules | Jayachandran Praveen; Singhee Amith; Sree Prakash Ashok Pon Kumar; Govindarajan Chander; Ramakrishna Venkatraman |
11366671 | Completion mechanism for a microprocessor instruction completion table | Ward Kenneth L.; Eisen Susan E.; Nguyen Dung Q.; Kincaid Glenn O.; Lee Joe; Singh Deepak K. |
11366683 | Images deployment system across multiple architectures | Wang Yuan Yuan; Huo Qi Feng; Liu Da Li; Li Cdl Lei; Liu Yan Song; Xiaoyi Tian; Wan Shu Chao |
11366694 | Estimating attributes of running workloads on platforms in a system of multiple platforms as a service | Aronovich Lior |
11366712 | Adaptive log analysis | Srivatsa Mudhakar; Ganti Raghu Kiran; Ahn Jae-Wook; Srirangamsridharan Shreeranjani |
11366730 | Determining an availability score based on available resources at a first server to determine whether to direct processing from a first server to a second server | Andre Herve G. P.; Carson Matthew D.; Chandra Rashmi; Hardy Clint A.; Juarez Larry; Leung Tony; Sorenson Todd C. |
11366745 | Testing program code created in a development system | McCormick Timothy; Mirski-Fitton Alexander D. S.; Moffatt Edwin P. J.; Pavitt Ross B. |
11366759 | Temporarily suppressing processing of a restrained storage operand request | Giamei Bruce C.; Jacobi Christian; Rosa Daniel V.; Saporito Anthony; Schmidt Donald W.; Shum Chung-Lung K. |
11366764 | Managing a least-recently-used data cache with a persistent body | Ofer Effi; Khaitzin Ety; Eytan Ohad |
11366765 | Optimize metadata management to boost overall system performance | Xie Qiang; Zhang Hui; Zhou Hong Qing; Gong Yongjie; He Ping Hp |
11366809 | Dynamic creation and configuration of partitioned index through analytics based on existing data population | Beisiegel Michael; Nadgir Devaprasad K.; Telukapalli Vijay Chandra S.; Vanagondi Venumadhav |
11366818 | Context-aware dynamic content assist | Allen Corville O; Rodriguez Laura Janet; Huston Stephanie |
11366826 | Customizing data visualizations according to user activity | Webster Stephen William; Reynolds Spencer Thomas; Oh Hanna; Lynch John |
11366843 | Data classification | Maier Albert; Oberhofer Martin; Saillet Yannick |
11366874 | Analog circuit for softmax function | Newns Dennis; Solomon Paul; Cui Xiaodong; Han Jin Ping; Zhang Xin |
11366876 | Eigenvalue decomposition with stochastic optimization | Wu Chai Wah; Onen Oguzhan Murat; Gokmen Tayfun; Rasch Malte Johannes; Squillante Mark S.; Nowicki Tomasz J.; Haensch Wilfried; Horesh Lior; Kalantzis Vasileios |
11366894 | Secure computing resource deployment using homomorphic encryption | Parameshwaran Pradeep; Patel Rushir; Kwatra Shikhar |
11366943 | Platform for design and prototyping of micro paper based devices | Tirapu Azpiroz Jaione; Ferreira da Silva Ademir; Ferreira Matheus Esteves; Ohta Ricardo Luis; Steiner Mathias B. |
11366964 | Visualization of the entities and relations in a document | Ross Steven I. |
11366967 | Learning roadmaps from unstructured text | Mutalikdesai Mandar; Nimmakayala Rahul Reddy; Rao Ashish; Srivastava Sheetal; Vats Kartikeya |
11366983 | Study-level multi-view processing system | Park Sun Young; Sargent Dustin Michael; Sati Marwan; Bronkalla Mark D. |
11366990 | Time-series representation learning via random time warping | Witbrock Michael J.; Wu Lingfei; Xiao Cao; Yi Jinfeng |
11367012 | Capacitively-shunted asymmetric DC-SQUID for qubit readout and reset | Abdo Baleegh |
11367055 | Decentralized pooled mining for enabling proof-of-work on blockchains | Deshpande Pralhad D.; Yuan Yuan |
11367140 | Dynamic cyber insurance using a distributed ledger | Mehedy Lenin; Mohania Mukesh Kumar; Sreedhar Vugranam C. |
11367324 | Liquid bottle processing and refilling | Crawford Catherine H.; Gunnels John A.; Raghavendra Ramya; Walcott Aisha |
11367355 | Contextual event awareness via risk analysis and notification delivery system | Kwatra Shikhar; Fox Jeremy R.; Goodman Zachary James; Bissex H. Ramsey; Williams, Jr. Ernest Bernard |
11367557 | Semiconductor chip having one or more on-chip metal winding and enclosed by top and bottom chip-external ferromagnetic cores | Zhang Xin; Takken Todd Edward |
11367569 | Stress management for thick magnetic film inductors | Deligianni Hariklia; Doris Bruce B.; O'Sullivan Eugene J.; Wang Naigang |
11367617 | Graded hardmask interlayer for enhanced extreme ultraviolet performance | Church Jennifer; De Silva Ekmini A.; Goldfarb Dario |
11367863 | Porous silicon anode for rechargeable metal halide battery | Kim Jangwoo; Na Young-Hye; Allen Robert David; de Souza Joel P.; Collins John; Sadana Devendra K. |
11367927 | Separator for energy storage device | Ansari Younes; Na Young-Hye; Kim Ho-Cheol; Teschler Sogol; Kang Yongku; Kim Do Youb; Kim Dong Wook; Suk Jung Don |
11368143 | Multiphase signal generator | Chakraborty Sudipto; Joshi Rajiv |
11368285 | Efficient threshold storage of data object | Bortnikov Vita; Factor Michael; Resch Jason |
11368287 | Identification of a creator of an encrypted object | Sofia Anthony Thomas; Derobertis Christopher V.; Katonica Jason G. |
11368316 | Applying PKI (public key infrastructure) to power of attorney documents | Madineni Narayana Aditya; Green Matthew |
11368374 | System and method for managing virtual and dedicated servers | Angrish Yogesh; Lin Yueqin; Berryman Martin A.; Kitagawa Justin M.; Lappas Paul |
11368413 | Inter-switch link identification and monitoring | Colonna Christopher; Catalano Pasquale A.; Guendert Stephen Robert; Becht Michael James; Driever Patricia G. |
11368446 | Trusted account revocation in federated identity management | Robinson Keiran W.; Pearson Craig; Farrell Leo M. M. |
11368459 | Providing isolated containers for user request processing | Wang Heng; Chen Xiao Ling; Liu Chen Guang; Ye Wen Qi WQ; Tan Fei; Lu Lu; Li Jing; Pei Qing Yu |
11368470 | Real-time alert reasoning and priority-based campaign discovery | Liu Yushan; Shu Xiaokui; Schales Douglas Lee; Stoecklin Marc Philippe |
11368539 | Application deployment in a multi-cluster environment | Chen Hui; Liu Guang Ya; Zhao Xu; Hao Qing; Cao Long Long; Ren Shi Chuang |
11368585 | Secured switch for three-way communications | Shiver Brent; Unwala Ali Ishaq; Yan Shunguo; Brunet Thomas Andrew |
11369088 | Industrial livestock management leveraging digital twin computing | Ghosh Partho |
11369844 | Machine learning augmented loop drive training | Ran Chuan; Wang Junhui; Zhu Guandong; Cui Yongchang; Lu Shuyan |
11370004 | Neuro-chemical sensor with inhibition of fouling on nano-electrode | Holmes Steven J.; Kinser Emily R.; Lin Qinghuang; Marchack Nathan P.; Yu Roy R. |
11370879 | Solution-processable indenofluorenes and methods to generate solution-processable indenofluorenes | Kobilka Brandon M.; Porter Jacob T.; King Scott B.; Wertz Jason T. |
11371071 | Cell culturing structure including growth medium and non-growth medium | Cahan Amos; Cohen Guy M.; van Kessel Theodore G.; Zafar Sufi |
11371143 | Implementing the post-porosity plasma protection (P4) process using I-CVD | Lionti Krystelle; Dubois Geraud Jean-Michel; Volksen Willi; Faguet Jacques |
11371926 | Particulate collection and analysis | Stedman Shawn; Fein Dan; Barkie Eric J.; Roche Tim; Fletcher Ben |
11372269 | Silicon photonic tunable device with thermo-optic channel | Caër Charles; Ferreira Villares Gustavo |
11372392 | System and method of printing 3D biostructures | Rakshit Sarbajit K.; Sundararajan Mukundan |
11372547 | Compression of aging data during compaction | Viraraghavan Praveen; Wozniak Ethan; Volvovski Ilya |
11372549 | Reclaiming free space in a storage system | Hetzler Steven R.; Hineman Wayne C. |
11372555 | Reconstructing data in a smart storage array | Porat-Stoler Asaf; Gavrilov Constantine; Dennett Christopher M.; Mayraz Matosevich Rivka; Marenkov Sergey; Fischer-Toubol Jonathan; Halumi Afief |
11372636 | Live updating a virtual machine virtualizing physical resources | Ramagiri Vani D.; Griffith Douglas; Kalavakolanu Anil; Pafumi James A.; Zoss Evan Allen; Heyrman Peter J. |
11372651 | Bootstrapping a variational algorithm for quantum computing | Wood Stephen; Chen Richard; Pistoia Marco; Mezzacapo Antonio; Gambetta Jay M. |
11372701 | Statistical design with importance sampling reuse | Joshi Rajiv V.; Kanj Rouwaida N.; Nassif Sani R.; Radens Carl J. |
11372702 | Optimized high availability management using cluster-wide view | Ganti Veena; Yang Rui; Yadlapati Lakshmi; Genty Denise Marie |
11372703 | Reduced system memory latency via a variable latency interface | Liberty John Samuel; Michael Brad William; Powell Stephen J.; Rolfe Nicholas Steven |
11372704 | Advanced java dump analysis | Xu Jijiang |
11372707 | Cognitive problem isolation in quick provision fault analysis | Zhuang Yu; Zhu Bo Chen; Liu Xu; Su Jun; Liu Xu Bin |
11372710 | Preemptive relocation of failing data | Coronado Juan A.; Martinez Lisa R.; Peterson Beth A.; Shioya Jennifer S. |
11372723 | Dynamically adjusting a journal snapshot window | Sasson Ben; Sanders Lee Jason; Hutchison Gordon Douglas; Rostagni Florent |
11372734 | Database recovery based on workload priorities | Zhang Hong Mei; Wang Xiaobo; Li Shuo; Sun Sheng Yan; Zeng Mei Cai; Li Yi Bu |
11372736 | Rollback for dependency services in cloud native environment | Wang Yue; Hua Sun Chun; Lu Liang; Ren Yi Yang |
11372739 | Accelerator monitoring and testing | Schardt Paul E.; Chen Jim C.; Thompson Lance G.; Carey James E. |
11372761 | Dynamically adjusting partitioned SCM cache memory to maximize performance | Gupta Lokesh M.; Ash Kevin J.; Anderson Kyler A.; Borlick Matthew G. |
11372764 | Single-copy cache using heterogeneous memory types | Gupta Lokesh M.; Borlick Matthew G.; Ash Kevin J.; Anderson Kyler A. |
11372776 | Method and apparatus for an efficient TLB lookup | Jaspers Michael Johannes; Kaltenbach Markus; Kurup Girish G.; Mayer Ulrich |
11372778 | Cache management using multiple cache memories and favored volumes with multiple residency time multipliers | Gupta Lokesh M.; Ash Kevin J.; Peterson Beth A.; Borlick Matthew G. |
11372817 | Synchronization of peers | Irazabal Jeronimo |
11372821 | Spatial-temporal storage including a geometric translation | Ganti Raghu Kiran; Li Shen; Srivatsa Mudhakar |
11372831 | Managing large scale association sets using optimized bit map representations | Desai Rajesh M.; Jayapandian Magesh; Leong Iun V.; Perez Justo L.; Raphael Roger C.; Valencia Gabriel |
11372841 | Anomaly identification in log files | Bertoni Scarton Tiago; Lucena Gonzaga Borges Dashiell; Bianchi Thiago; Souto Maior Joao |
11372842 | Prioritization of data in mounted filesystems for FSCK operations | Pancha Huzefa; Iyer Karthik; Patil Sandeep Ramesh; Eda Sasikanth; Jain Abhishek |
11372846 | Generating and utilizing pre-allocated storage space | Villafuerte Frances Hwa; Zhang Hong Mei; Wang Xiaobo; Li Shuo; Sun Sheng Yan |
11372861 | Streams runtime processing rate adjustment | Chiu Henry; Sun Jingdong; Fawcett Bradley William; Nikolai Jason A.; Gerver Paul |
11372886 | Method to dynamically detect objects out of sync in an active mirroring environment | Driesch, Jr. Robert D.; Downer Robert V.; Huynh Mi; Dietel John D. |
11372895 | Sketching using a hybrid quantum-classical system | Crawford Catherine H.; Horesh Lior; Kachman Tal; Gunnels John A. |
11372905 | Encoding-assisted annotation of narrative text | Chakrapani Nakul; Routray Ramani; Perera Pathirage; Bao Sheng Hua; Raz Orna; Farchi Eitan |
11372911 | Commentary management in a social networking environment which includes a set of media clips | Bradley Lisa M.; Dunne Jonathan; Harpur Liam; Silva Asima |
11372919 | Distributed graph databases that facilitate streaming data insertion and queries by efficient throughput edge addition | Chen Chun-Fu; Crawford Jason L.; Lin Ching-Yung; Lu Jie; Nutter Mark R.; Suzumura Toyotaro; Tanase Ilie G.; Yeh Danny L. |
11372929 | Sorting an array consisting of a large number of elements | Inoue Hiroshi |
11372945 | Restructuring pages having similar content | Horiuchi Yoshio; Murayama Tomoko; Saitoh Masaki; Bat Ulzii Tsend Ochir; Yamana Masayuki |
11372983 | Employing a protected key in performing operations | Sofia Anthony T.; Bradbury Jonathan D. |
11372984 | Key-compressible encryption | Chen Doron; Factor Michael; Harnik Danny; Tsfadia Eliad |
11372997 | Automatic audit logging of events in software applications performing regulatory workloads | Chari Suresh N.; Habeck Ted A.; Kundu Ashish; Molloy Ian M. |
11373037 | Inferring relation types between temporal elements and entity elements | Carrier Scott; Bull Brendan; Mansjur Dwi Sianto; Felt Paul Lewis |
11373039 | Content context aware message intent checker | Judd Ross Warren; Patel Sumit; Creamer Thomas Edward |
11373041 | Text classification using models with complementary granularity and accuracy | Ni Jian; Florian Radu; Roukos Salim; Castelli Vittorio |
11373048 | Translation of multi-format embedded files | Liu Su; Liang Yang; Genty Denise Marie; Yang Fan |
11373056 | Context driven model selection | Dinger Timothy R.; Chang Yuan-Chi; Vu Long; Pavuluri Venkata N.; Cao Lingtao |
11373063 | System and method for staged ensemble classification | Finkelshtein Andrey; Margalit Oded; Menahem Eitan |
11373085 | IoT based fire prediction | Sundararajan Mukundan; Karia Jignesh K.; Shetty Shilpa |
11373092 | Training of artificial neural networks | Piveteau Christophe; Sebastian Abu; Le Gallo-Bourdeau Manuel; Joshi Vinay Manikrao |
11373093 | Detecting and purifying adversarial inputs in deep learning computing systems | Gu Zhongshu; Jamjoom Hani T. |
11373141 | Dynamic inventory estimation | DeLuca Lisa Seacat; Fox Jeremy R.; Silverstein Zachary A. |
11373145 | Technology for candidate insight evaluation | Mittal Shilpa Bhagwatprasad; Moyal Shailendra; Dhoot Akash U.; Karri Venkata Vara Prasad; Sharma Nitika |
11373185 | Transaction with security integrity and permission management | Li Jialin; Nanjundaswamy Pradeep K. |
11373213 | Distribution of promotional content based on reaction capture | M V Vipin; Gopireddy Lakshmi Swetha; Punathil Gireesh |
11373300 | Image stitching using geometry-based image orientation | Nakano Hiroki; Kabeya Yoshinori; Yonezawa Sho; Ichimura Haruka; Murayama Tomoko |
11373373 | Method and system for translating air writing to an augmented reality device | Scott, II Willie L.; Pandhi Charu; Nagar Seema; Dey Kuntal |
11373407 | Attention generation | Wang Qing; Zhang Shi Lei; Lin Yonghua |
11373676 | Write transducer with recessed portion to improve track shingling performance | Fontana Robert; Iben Icko E. T.; Lantz Mark Alfred; Rothuizen Hugo E.; Furrer Simeon |
11373758 | Cognitive assistant for aiding expert decision | Qiao Mu; Fitzpatrick Dylan; Routray Ramani; Jadav Divyesh |
11373760 | False detection rate control with null-hypothesis | Mroueh Youssef; Sercu Tom; Rigotti Mattia; Padhi Inkit; Nogueira Dos Santos Cicero |
11373782 | Indicator activation over an alternative cable path | Sorenson Todd C.; Batchelor Gary W.; Juan Ya-Huey; Burke Seamus; Tang Maoyun; Nguyen Trung N. |
11373880 | Creating different width lines and spaces in a metal layer | Penny Christopher J; De Silva Ekmini Anuja; Dutta Ashim; Arceo de la Pena Abraham |
11374111 | Forming replacement low-k spacer in tight pitch fin field effect transistors | Cai Xiuyu; Yeh Chun-Chen; Liu Qing; Xie Ruilong |
11374167 | Reducing parasitic bottom electrode resistance of embedded MRAM | Frougier Julien; Xie Ruilong; Reznicek Alexander; Doris Bruce B. |
11374537 | Magnetic flux bias for pulse shaping of microwave signals | Abdo Baleegh |
11374572 | Complementary logic circuit and application to thin-film hybrid electronics | Hekmatshoartabari Bahman; Shahidi Ghavam G. |
11374755 | Entangled token structure for blockchain networks | Gaur Nitin; Olson Timothy; Novotny Petr |
11374762 | Certifying authenticity of data modifications | Nakamura Hiroaki; Tateishi Takaaki |
11374882 | Intelligent chat channel processor | Cress Shane Nicholas; Agarwal Pranab; Breedvelt-Schouten Ilse M. |
11374884 | Managing and displaying online messages along timelines | Shioya Tomohiro; Terui Fumihiko; Hasegawa Mihoko; Nishikawa Hirofumi |
11374894 | Confusion reduction in an online social network | Bastide Paul R.; Broomhall Matthew E.; Loredo Robert E. |
11374953 | Hybrid machine learning to detect anomalies | Givental Gary I; Bhatia Aankur; An Lu |
11374958 | Security protection rule prediction and enforcement | Ngo Huyanh D.; Bhatia Aankur; Paquin Adam J.; Tummalapenta Srinivas B. |
11374959 | Identifying and circumventing security scanners | Wicker Jason M.; Cornwell Travis; Munse Matthew |
11374975 | TLS integration of post quantum cryptographic algorithms | Gray Michael W.; Madineni Narayana Aditya; McMahon Simon D.; Green Matthew; McLean Leigh S.; Waltenberg Peter T. |
11374986 | Collaborative meeting interest analyzer based on capture attempts | Silverstein Zachary A.; Reynolds Spencer Thomas; Vedula Rama Krishna; Kwatra Shikhar |
11375009 | Minimizing the impact of malfunctioning peers on blockchain | Zhang Qi; Novotny Petr; Yu Lei; Gaur Nitin |
11375023 | Dynamically configuring a web server timeout | Trim Craig M.; Kwatra Shikhar; Valecha Vinod A.; Sivakumar Gandhi |
11375251 | Automatically generating enhancements to AV content | Stelmar Netto Marco Aurelio; Silva Bruno; de Freitas Cunha Renato Luiz; Figueredo de Santana Vagner |
11375300 | Networking hardware and software and data center topologies leveraging same | Sagie Gal; Gampel Eran |
11376470 | Chatbot exercise machine | Weldemariam Komminist; Lenchner Jonathan; Pickover Clifford A. |
11376582 | Fabrication of paper-based microfluidic devices | Tirapu Azpiroz Jaione; Ferreira Matheus Esteves; Silva Ademir Ferreira da; Ohta Ricardo Luis; Steiner Mathias |
11377932 | Machine learning-based reservoir reserves estimation | Purkayastha Sagar N.; Ibidunmoye Emmanuel Olumuyiwa; Saliu Moshood Omolade |
11378545 | Nanofluid sensor with real-time spatial sensing | Cheng Kangguo; Khakifirooz Ali; Shahidi Ghavam G.; Shahrjerdi Davood |
11378623 | Diagnostic enhancement for multiple instances of identical structures | Douskey Steven Michael; Forlenza Orazio Pasquale; Kusko Mary P.; Motika Franco; Salem Gerard Michael |
11378942 | Progressive guidance of digital twin model assembly | Rakshit Sarbajit K. |
11378970 | Visual localization support system | Tatsubori Michiaki; Vinayavekhin Phongtharin |
11379080 | Automatically correcting touchscreen errors | Rosati Leonardo; Raffo Roberto; Guidotti Alice; Cerri Fabio |
11379110 | Artificial intelligence-based operating system for drag and drop operations | Kumar Kapish; Sogalad Praveen R.; Padashetty Prabhu S.; Shukla Shobhit |
11379123 | Address/command chip synchronized autonomous data chip address sequencer for a distributed buffer memory system | Carlough Steven R.; Eickhoff Susan M.; Meaney Patrick J.; Powell Stephen J.; Van Huben Gary A.; Zheng Jie |
11379125 | Trusted field programmable gate array | Plouchart Jean-Olivier; Kumar Arvind; Pfeiffer Dirk; Ando Takashi |
11379186 | Mixed mode multiply and accumulate unit | Chakraborty Sudipto; Joshi Rajiv |
11379220 | Vector embedding of relational code sets | Sobran Alexander; Zhang Bo; Herrin Bradley C.; Zhu Xianjun |
11379221 | Version control mechanisms augmented with semantic analysis for determining cause of software defects | Zhou Xiao Yin; Yan Zhenni; Xu Ya Ling; Liu Xin Peng; Xu Qian; Feng Chao |
11379228 | Microprocessor including an efficiency logic unit | Ayzenfeld Avraham; Eisen Lee E.; Curran Brian W.; Jacobi Christian |
11379241 | Handling oversize store to load forwarding in a processor | Lloyd Bryan; Chen Brian; Fernsler Kimberly M.; Cordes Robert A.; Hrusecky David A. |
11379253 | Training chatbots for remote troubleshooting | Pichiliani Mauro Carlos; de Souza Pereira Candello Heloisa Caroline; Vasconcelos Marisa Affonso; Figueredo de Santana Vagner |
11379269 | Load balancing based on utilization percentage of CPU cores | Patel Kushal S.; Patel Sarvesh S.; Roy Subhojit |
11379290 | Prioritizing and parallelizing the capture of data for debugging computer programs | Patel Purvi Sharadchandra; Compton Scott B.; Varanasi Girija; Sharpe Ralph |
11379296 | Intelligent responding to error screen associated errors | Chau Kwan Yin Andrew; Le Tony; Wong Patrick; Nguyen Dien Duy |
11379316 | Snapshot restoration | Kamijoh Kohichi; Kawachiya Kiyokuni; Tateishi Takaaki; Kawahara Ryo |
11379320 | Container recovery | Xiao Ping; Zhou Xin; Dong Cheng Cheng; Tong Yu Long; Zhang Zhen |
11379329 | Validation of data written via two different bus interfaces to a dual server based storage controller | Anderson Kyler A.; Ash Kevin J.; Gupta Lokesh M.; Kalos Matthew J. |
11379335 | Self-reporting remote copy performance on a consistency group | Crawford Joshua J.; Jennas, II Paul A.; Peipelman Jason L.; Ward Matthew J. |
11379347 | Automated test case generation for deep neural networks and other model-based artificial intelligence systems | Saha Diptikalyan; Aggarwal Aniya; Lohia Pranay; Dey Kuntal |
11379349 | Verifiable testcase workflow | Umberhocker Ann Barnette; Siddiqui Ariba; Janakiraman Sowmya; Wilson George Conerly |
11379352 | System testing infrastructure with hidden variable, hidden attribute, and hidden value detection | Hicks Andrew C. M.; Minerley Kevin; Blue Dale E.; Rawlins Ryan Thomas; Gisolfi Daniel Nicolas |
11379382 | Cache management using favored volumes and a multiple tiered cache memory | Gupta Lokesh M.; Ash Kevin J.; Peterson Beth A.; Borlick Matthew G. |
11379390 | In-line data packet transformations | Becht Michael James; Colonna Christopher J.; Guendert Stephen Robert; Catalano Pasquale A.; Chencinski Edward W. |
11379408 | Pointer-based dynamic data structures in key-value stores | Waddington Daniel; Xu Luna; Dickey Clement Lambert |
11379427 | Auxilary LRU list to improve asynchronous data replication performance | Spear Gail; Gupta Lokesh M.; Anderson Kyler A.; Schreiber David B.; Ash Kevin J. |
11379444 | Data-analysis-based facility for adjusting trace fields of a database trace | Wang Xiaobo; Li Shuo; Li Leilei; Hoshikawa Akiko; Sun Sheng Yan |
11379468 | Control flow graph refining via execution data | Zhang Bao; Ma Shi Chong; Fu Jin Hong; Huang He; Zhong Jia Tian; Shen Xing Xing; Zu Xiang; Yu Jia |
11379472 | Schema-based pruning of blockchain data | Irazabal Jeronimo; Novotny Petr |
11379474 | Computation of containment relationships | Jagmohan Ashish; Chee Yi-Min; MacNaught Julie; Narendra Abhilash; Ratakonda Krishna Chaitanya; Enderby Ryan |
11379478 | Optimizing a join operation | Sun ShengYan; Jiang Peng Hui; Li Shuo; Wang Xiaobo |
11379487 | Intelligent and interactive knowledge system | Cheng Ching-Wei; Lin Yu-chun; Hsieh Hsin-Yu; Liu Chih-Hsiung; Hsieh Tsai-Hsuan |
11379504 | Indexing and mining content of multiple data sources | Diaz De Arcaya Josu; Byrne Patrick; Welp Richard A.; Wormwell James |
11379534 | Document feature repository management | Yin Kun Yan; Wang Qi Y; Wang Wen; Ji Hai; Wang Rui W W |
11379548 | Analyzing concepts over time | Ho Tin Kam; Lastras-Montano Luis A.; Shmueli Oded |
11379553 | Interpretable symbolic decomposition of numerical coefficients | Horesh Lior; Nannicini Giacomo |
11379570 | Enabling single finger tap user authentication and application launch and login using fingerprint scanning on a display screen | Jammalamadaka Manohar V. R.; Kappagantu Venkata S.; Maddali Lalith K.; Migimatsu Takaomi |
11379594 | Media obfuscation | Shrinivasan Yedendra |
11379598 | Knowledge graph access limitation by discovery restrictions | Ravizza Stefan; Rueger Erik; Scheideler Tim U.; Minig Peter |
11379618 | Secure sensitive personal information dependent transactions | Lee Tien Hiong; Levinski Konstantin; Soenaryo Edwin Boaz; Tang Weng Sing |
11379660 | Deep learning approach to computing spans | Cason Joshua; Mwarabu Chris; Rogers Thomas Hay; Allen Corville O. |
11379666 | Suggestion of new entity types with discriminative term importance analysis | Qi Haode; Tan Ming; Yu Yang; Rao Navneet N.; Potdar Saloni; Wang Haoyu |
11379669 | Identifying ambiguity in semantic resources | Gentile Anna Lisa; Coden Anni R.; Lourentzou Ismini; Gruhl Daniel; DeLuca Chad Eric; Ristoski Petar; Kato Linda Ha; Kau Chris; Welch Steven R.; Alba Alfredo |
11379695 | Edge-based adaptive machine learning for object recognition | Desai Nirmit V.; Li Dawei; Salonidis Theodoros |
11379706 | Dispersed batch interaction with a question answering system | Beller Charles E.; Dubyak William G.; Sakthi Palani; Summers Kristen M. |
11379710 | Personalized automated machine learning | Wang Dakuo; Gan Chuang; Tan Ming; Chaudhary Arunima; Ju Lin |
11379718 | Ground truth quality for machine learning models | Desmond Michael; Arnold Matthew; Boston Jeffrey Scott |
11379725 | Projectile extrapolation and sequence synthesis from video using convolution | Baughman Aaron K.; Hammer Stephen C.; Forster Micah; Newell John C. |
11379733 | Detecting and predicting object events from images | Giovannini Andrea; Flöther Frederik Frank; Graf Florian; Ravizza Stefan; Rueger Erik |
11379738 | Using higher order actions to annotate a syntax tree with real data for concepts used to generate an answer to a question | Sidorkin Oleg; Batin Sergey |
11379758 | Automatic multilabel classification using machine learning | Ubaru Shashanka; Dash Sanjeeb; Gunluk Oktay; Horesh Lior; Mazumdar Arya |
11379766 | Sensor deployment | Ba Yutao; Cheng Wenchen; Lv Xin Jie; Wang Lingyun; Xie Ming; Yin Wen Jun; Zhou Gang; Zou Ke Xu |
11379796 | Managing project resources | Balestrazzi Luca; De Angelis Fabio; Napoleoni Andrea; Sidoti Stefano |
11379824 | Privacy preserving transactions with probabilistic transaction fees | Deshpande Pralhad Dinesh; Suen Chun Hui; Asif Muhammad Tayyab |
11379825 | Enablement of performance of a transaction | Camenisch Jan L.; Shelat Abhi A.; Sommer Dieter M.; Zimmermann Roger D. |
11379828 | Distributed computing and storage network implementing high integrity, high bandwidth, low latency, secure processing | Coleman Andrew J.; O'Dowd Anthony J. |
11379851 | Customizing product announcements based on product usage | Chakra Al; Stevens Jerry; Mendieta Matias; Bui Patricia; Rashad Kaji |
11379857 | Determining qualified exchanges | Shea Cynthia Christian; Seigle Christopher; Sidler Christopher |
11379887 | Methods and systems for valuing patents with multiple valuation models | Fleischman Thomas J.; Hallen Sue |
11379898 | Touch and pressure-based apparel image searching | Raykar Vikas; Saha Amrita; Singh Raghavendra |
11380116 | Automatic delineation and extraction of tabular data using machine learning | Zhong Peter; Jimeno Yepes Antonio Jose; Shafieibavani Elaheh |
11380213 | Customer care training with situational feedback generation | Xu Anbang; Sinha Vibha S.; Akkiraju Rama Kalyani T.; Mahmud Jalal U. |
11380214 | Memory retention enhancement for electronic text | Geiselhart Reinhold; Küster Frank; Dimov Vassil Radkov; Baysarova Zalina; Ivanova Iliyana |
11380306 | Iterative intent building utilizing dynamic scheduling of batch utterance expansion methods | Mallinar Neil Rohit; Ugrani Rajendra G; Gupta Ayush |
11380433 | Optimized data collection of relevant medical images | Palma Giovanni John Jacques; Binder Thomas; Commandeur Frederic |
11380443 | Predicting non-communicable disease with infectious risk factors using artificial intelligence | Mei Jing; Khiang Chia Yeow; Hickson Roslyn; Xia Eryu; Zhao Shiwan |
11380630 | Integrated circuit package that measures amount of internal precious material | Fuka Louis Thomas; Nonnenkamp Robert John; Brown Charles Patrick |
11380641 | Pillar bump with noble metal seed layer for advanced heterogeneous integration | Maniscalco Joseph F.; Cheng Kenneth Chun Kuen; Motoyama Koichi; van der Straten Oscar; Reznicek Alexander |
11380778 | Vertical fin field effect transistor devices with self-aligned source and drain junctions | Cheng Kangguo; Li Juntao; Lee Choonghyun; Mochizuki Shogo |
11380836 | Topological qubit device | Holmes Steven J.; Philip Timothy Mathew; Mukesh Sagarika; Kim Youngseok; Sadana Devendra K.; Robison Robert |
11380842 | Phase change memory cell with second conductive layer | Li Juntao; Cheng Kangguo; Xie Ruilong; Wang Junli |
11380843 | Phase change memory using multiple stacks of PCM materials | Shen Tian; Wu Heng; Brew Kevin W.; Zhang Jingyun |
11380969 | Cryogenic on-chip microwave filter for quantum devices | Gumann Patryk; Olivadese Salvatore B.; Brink Markus |
11380974 | Superconducting airbridge crossover using superconducting sacrificial material | Chang Josephine B.; Cotte John M. |
11381496 | Testing a two-phase commit protocol conformance of a cloud based online transaction processing platform | Ananthapadmanabh Madhu B; Kulkarni Kishor; George Reshmi |
11381595 | Transport layer security session man-in-the-middle attack prevention | Hsiung Wei-Hsiang; Hsu Sheng-Tung; Chen Kuo-Chun; Chou Chih-Hung |
11381601 | Customizable dynamic GraphQL API management platform | Prakash Krithika; Matsa Moshe Morris Emanuel |
11381634 | TFTP (trivial file transfer protocol) broadcast controller | Spring Sierra; Haselhorst Kent H.; Schardt Paul; Zettles, IV George Russell |
11381665 | Tracking client sessions in publish and subscribe systems using a shared repository | Tock Yoav; Naaman Nir; Harpaz Avraham |
11381710 | Contextual masking of objects in social photographs | Rakshit Sarbajit K.; Bostick James E.; Ganci, Jr. John M.; Keen Martin G.; Trim Craig M. |
11382159 | Temporary emergency access to arbitrary network for medical implanted device | Cheaz Nixon; Vashaw Barton Clark; Znamenacek Tomas; Zhang Jian; Sivashankar Nanditha; Hoang Chinh Vien; Billups Clayton M. |
11382210 | Dielectric material change to optimize electrical and mechanical properties of flex circuit | Dangler John R.; Higby Arthur J; Buchling Rego Philipp K; Long David Clifford; Busby James; Doyle Matthew; Cohen Edward N.; Fisher Michael; Santiago-Fernandez William |
11382260 | Estimation of crop type and/or sowing date | Tewari Mukul; Singh Jitendra; Dey Kuntal |
11382568 | Obtainment of cleaned sequences relating to a center of gravity | Katsuki Takayuki; Morimura Tetsuro |
11384330 | Electrochemical sensors for cell culture monitoring | Cahan Amos; Cohen Guy M.; van Kessel Theodore G.; Zafar Sufi |
11385002 | Implementing modular baffling mechanism for selectively redirecting and impeding airflow | McMillan Khaalid; Eagle Jason R.; Mullady Robert K.; LaPree Scott R.; Genest Robert R.; Anderl William James |
11385068 | Peer to peer route guidance | Zhao Wen Rui; Luo Lan; Kong De Shuo; Xiaojin Liu |
11385614 | Guided driver device | Monjardin Michael Benosa; Song Tao; Yin XiYuan; Zheng Jia Yu; Wang Yunfei |
11385891 | Deep tagged source code issue management | DeLuca Lisa Seacat; Matthews Schuyler Bruce; Budhiraja Sarika |
11385909 | Cascading data configuration and data migration | Baig Mirza S.; Zhao Wen Rui; Weck David Dwayne; Jirau Ariel; Xia Li; Chen Li Long |
11385923 | Container-based virtualization system extending kernel functionality using kernel modules compiled by a compiling container and loaded by an application container | Sekman Tomasz; Gruszecki Artur M.; Kazalski Tomasz; Wrobel Andrzej Jan |
11385956 | Metric-based anomaly detection system with evolving mechanism in large-scale cloud | Yang Jia Wei; Meng Fan Jing |
11385966 | Copy process substituting compressible bit pattern for any unqualified data objects | Cannon David Maxwell |
11385970 | Backing-up blocks from virtual disks in different virtual machine environments having different block lengths to a common data format block length | Derk David G.; Edwards, Jr. Robert C.; Fruchtman Michael S.; Hochberg Avishai H.; Piletski Vadzim I.; Hoobler, III Delbert B.; Smith James P. |
11385992 | Wearable device test case execution | Karri Venkata Vara Prasad; Rakshit Sarbajit K.; Perumalla Saraswathi Sailaja; Sandhya Danana |
11386048 | Apparatus, systems, and methods for crypto-erasing deduplicated data | Shatsky Yosef |
11386056 | Duplicate multimedia entity identification and processing | Frost Keith G.; Boxwell Stephen A.; Brake Kyle M.; Vernier Stanley J. |
11386079 | Replacing preemptible RCU with an augmented SRCU implementation | McKenney Paul E.; Roy Lawrence |
11386086 | Permutation-based machine learning for database query optimization | Purcell Terence P.; Beavin Thomas A.; Dinh Martin; Baggett Brian L. |
11386090 | Defining attribute feature vectors for matching data entities | Seth Abhishek; Naganna Soma Shekar; O'Neill, Jr. James Albert; Bremer Lars; Chkalova Mariya |
11386108 | Mining data transformation flows in spreadsheets | Sheng Yeh-Heng; Pu Xiaoyan; Li Yong; Pham Ryan |
11386128 | Automatic feature learning from a relational database for predictive modelling | Buesser Beat; Hoang Thanh Lam; Sinn Mathieu; Tran Ngoc Minh |
11386132 | System and method for retrieving results and responses with context based exclusion criteria | Panineerkandy Praveena Viswanathan; Perepa Sujatha B.; Vridhachalam Devasena |
11386143 | Searching for analogue subsurface structures based on topological knowledge representation (TKR) | Ashton Vital Brazil Emilio; da Silva Ferreira Rodrigo; Britto Mattos Lima Andrea; Fontoura de Gusmão Cerqueira Renato; Silva Viviane Torres da; de Paula Rogerio A.; Ferraz Marco Daniel Melo; Fernandez Astrid De Jesus Torres; Ribeiro de Almeida Joana de Noronha; Cersósimo Dario Sergio |
11386159 | Using a dialog system for integrating multiple domain learning and problem solving | Kishimoto Akihiro; Alkan Oznur; Botea Adi I.; Daly Elizabeth; Davis Matthew; Liao Vera; Marinescu Radu; Srivastava Biplav; Talamadupula Kartik; Zhang Yunfeng |
11386216 | Verification of privacy in a shared resource environment | Skourtis Dimitrios; Angel Nathalie Baracaldo; Zhang Rui |
11386226 | Preventing leakage of selected information in public channels | Braghin Stefano; Gkoufas Ioannis; Rho Valentina |
11386265 | Facilitating information technology solution templates | Alkan Oznur; Nair Rahul; Chen Bei; Mattetti Massimiliano; Daly Elizabeth; Zwiren Alan |
11386273 | System and method for negation aware sentiment detection | Misra Amita; Mahmud Jalal; Tripathy Saurabh |
11386276 | Method and system for language and domain acceleration with embedding alignment | Liu Xiaotong; Xu Anbang; Tong Yingbei; Akkiraju Rama Kalyani T. |
11386298 | Uncertainty guided semi-supervised neural network training for image classification | Sedai Suman; Antony Bhavna Josephine; Garnavi Rahil |
11386319 | Training of artificial neural networks | Le Gallo-Bourdeau Manuel; Sasidharan Rajalekshmi Nandakumar; Piveteau Christophe; Boybat Kara Irem; Sebastian Abu; Eleftheriou Evangelos Stavros |
11386320 | Magnetic domain wall-based non-volatile, linear and bi-directional synaptic weight element | Pushp Aakash; Narayanan Pritish |
11386337 | Modeling a correlation and a causation link of hidden evidence | Baughman Aaron Keith; Beamon Bridget Briana; Chen Dong; Malkin Peter Kenneth |
11386338 | Integrating multiple domain problem solving in a dialog system for a user | Botea Adi I.; Alkan Oznur; Daly Elizabeth; Davis Matthew; Kishimoto Akihiro; Liao Vera; Marinescu Radu; Srivastava Biplav; Talamadupula Kartik; Zhang Yunfeng |
11386348 | Dynamic quantum data output post-processing | Gambetta Jay Michael; Sertage Ismael Faro; Fernandez Francisco Jose Martin |
11386358 | Intelligent decision support system | Sheopuri Anshul; Rieder Binny; Arya Pooja; Lamoreaux Nickle; Daly Joanna; Mukhopadhyay Saikat; Dasgupta Priyanka; Kambhatla Nandakishore; Telang Aditya; Agrawal Priyanka |
11386369 | Multi-attribute evaluation of narratives using event datasets | Bhattacharjya Debarun; Mattei Nicholas |
11386381 | Meeting management | O'Gorman Jonathan T.; Bhattacharyya Anamitra; Dozortsev Boris; Patil Kavita; Dantam Krishnamohan; Zheng Yajin; Dunne Jonathan |
11386405 | Dynamic blockchain transactional policy management | Pandit Vinayaka; Saket Rishi; Sampath Kameshwaran; Siddiqui Talha Ahmad |
11386496 | Generative network based probabilistic portfolio management | Zhu Yada; Mariani Giovanni; Bhaskaran Kumar; Chang Rong N. |
11386507 | Tensor-based predictions from analysis of time-varying graphs | Horesh Lior; Malik Osman Asif; Ubaru Shashanka; Kilmer Misha E.; Avron Haim |
11386645 | Dataset driven custom learning for multi-scale object detection | Wang Junsong; Zhang Jie; Wang Qing; Lin Yonghua |
11386785 | Management of mobile objects | Hosokawa Satoshi; Nishimura Yasutaka; Tanibayashi Makoto; Watanabe Shoichiro |
11386804 | Intelligent social interaction recognition and conveyance using computer generated prediction modeling | Lahiri Kaushik; Sengupta Sandipan; Chatterjee Sabyasachi |
11386805 | Memory retention enhancement for electronic text | Geiselhart Reinhold; Küster Frank; Dimov Vassil Radkov; Baysarova Zalina; Ivanova Iliyana |
11386983 | Preserving privacy for data analysis | Miyamoto Kohtaroh; Koseki Akira |
11386984 | Notation assistant system for providing feedback on a clinical narrative note | Kartoun Uri; Ng Kenney; Rudakevych Tanya; Stavropoulos Charalambos; Campion Francis; Tang Paul C. |
11387141 | Multifunction single via patterning | Leobandung Effendi |
11387319 | Nanosheet transistor device with bottom isolation | Xie Ruilong; Basker Veeraraghavan S.; Greene Andrew M.; Montanini Pietro |
11387342 | Multi threshold voltage for nanosheet | Zhang Jingyun; Ando Takashi; Lee Choonghyun; Reznicek Alexander |
11387979 | Partially-ordered blockchain | Narayanam Krishnasuri; Kumar Ken; Dayama Pankaj S.; Kaul Akshar |
11388008 | Trusted platform module swarm | Schlameuss Christoph Vincent; Schuepferling Benno; Zeisberg Dennis; Boenisch Volker; Lang Jakob Christopher |
11388021 | Intelligent virtual assistant notification rerouting | Restrepo Conde Melissa; Hewitt Trudy L.; Fox Jeremy R.; Smith Jonathan M. |
11388039 | Identifying problem graphs in an information technology infrastructure network | Taher Luke; Collender Kilian David Joseph; Diaz Garcia Jorge Alberto; Scates Charles John |
11388116 | Augmented reality enabled communication response | Kwatra Shikhar; Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Kochura Nadiya |
11388118 | Transmission of a message based on a determined cognitive context | Li Pan; Cao Ting; Yin Jian Dong; Ji Zi Jian; Li He |
11388157 | Multi-factor authentication of internet of things devices | Howard Gina Renee; Lingafelt Charles Steven; Moore, Jr. John E.; Jones Andrew R. |
11388219 | Interactive data transfer | Leem Jin |
11388273 | Achieving atomicity in a chain of microservices | Bomma Shashidhar; Gunjal Akash V.; Kashyap Neeraj Kumar; Kairali Sudheesh S. |
11388596 | Secure transmittal of wireless local area network access codes | Ragusa Roberto; Gargaro Gianluca; Rogante Matteo; Ottaviano Paolo |
11388821 | Thin film capacitors for core and adjacent build up layers | Arvin Charles L.; Quinlan Brian W.; Reynolds Charles L.; Audet Jean; Preda Francesco |
11391591 | Navigation personalization through analysis of present and historical user data | Bansal Rinkesh I.; Panchal Sanjay B.; Thaker Chintan; Valecha Vinod A. |
11391938 | Microlens adapter for mobile devices | Balagurusamy Venkat; Pear Brian |
11392188 | Maintaining smart contact lens power levels | Rakshit Sarbajit K.; Sakuma Katsuyuki |
11392303 | Metering computing power in memory subsystems | Szczepanik Grzegorz Piotr; Valecha Vinod A.; Palus Lukasz Jakub; Rudek Krzysztof |
11392348 | Ordering records for timed meta-data generation in a blocked record environment | Ordonez Bonnie Michele; Ballentine Scott; Sofia Anthony Thomas |
11392365 | Optimizing device update scheduling | Tsfasman Arkadiy O.; Warren Dane; Bene Charles; Bindas Tadd; Hossain Syed F. |
11392386 | Program counter (PC)-relative load and store addressing for fused instructions | Orzol Nicholas R.; Zoellin Christian Gerhard; Thompto Brian W.; Nguyen Dung Q.; Fricke Niels; Levenstein Sheldon Bernard; Williams Phillip G.; Barrick Brian D. |
11392418 | Adaptive pacing setting for workload execution | Holtz Juergen; Noorshams Qais |
11392473 | Automated extension of program data storage | Liu Zhen; Zhang Ming Lei; Zhong Jia Tian; Chang Le; Zhan Ting Ting; Tian Xiao Yan |
11392487 | Synthetic deidentified test data | Levacher Killian; Braghin Stefano; Holohan Naoise |
11392607 | Automatic feature engineering during online scoring phase | Hoang Thanh Lam; Min Hong |
11392609 | Supplementing change streams | Cadarette Paul M.; Spyker James D. |
11392611 | Blockchain based IOT data management | Wang Xinlin; Zhu Jun; Wang Zhi Hu; Hahn Timothy J.; Ji Peng |
11392617 | Recovering from a failure of an asynchronous replication node | Puvvada Venkateswara Rao; Gopalakrishnan Karrthik Kalaga; Kumar Saket; Pandey Ashish |
11392647 | Intent-based question suggestion engine to advance a transaction conducted via a chatbot | Asthana Ruchi; Mallette Jennifer A.; Wang Shuai; Jones Steven Ware; Lewis Jacob; Liu Jia; Salve Vivek |
11392665 | Analyzing readability of communications | Pilkington Adam; Charters Graham; Hutchison Gordon; Mitchell Tim |
11392682 | Image based passphrase for authentication | Whitney Michael J.; Nolan Colm; Maresh Mark; Vargas Juan F. |
11392753 | Navigating unstructured documents using structured documents including information extracted from unstructured documents | Sirangimoorthy Jothilakshmi; Ray Ritwik; Wang Hui; Rand Jonathan; Carrier Scott |
11392764 | Classifying text to determine a goal type used to select machine learning algorithm outcomes | Ezen Can Aysu; Contreras David; Delima Bob; Allen Corville O. |
11392774 | Extracting relevant sentences from text corpus | Choudhary Abhay |
11392788 | Object detection and identification | Mathada Prasanna Alur; Gopalakrishna Shwetha; Seshagiri Sudhakar T. |
11392803 | Decision boundary enhancement for learning models | Kamdar Pravin; Kimmel Richard Daniel; Winston David; Li Tong |
11392849 | System-based extension of qEOM algorithm for quantum computation of excited-state properties | Motta Mario; Ollitrault Pauline; Wood Stephen; Barkoutsos Panagiotis; Latone Joseph; Tavernelli Ivano; Jones Gavin; Pyzer-Knapp Edward; Onishi Yuya |
11392892 | Augmented reality visualization of product safety | Trim Craig M.; Ganci, Jr. John M.; Cunico Hernan A.; Keen Martin G. |
11393052 | Generating a personalized menu for submitting a custom order | Werner John S.; Catalano Pasquale A.; Crimmins Andrew G.; Tsfasman Arkadiy O. |
11393171 | Mobile device based VR content control | Kabra Namit; Marvaniya Smitkumar Narotambhai; Saillet Yannick; Kashalikar Kunjavihari Madhav |
11393194 | Selective analysis for field boundary detection | Marvaniya Smitkumar Narotambhai; Sami Zishan; Devi Umamaheswari; Padmanaban Manikandan; Smart Otis |
11393336 | Smog analysis via digital computing platforms | Szczepanik Grzegorz Piotr; Patel Kushal S.; Palus Lukasz Jakub; Patel Sarvesh S. |
11393501 | Protective layer for mitigating protruding defects in magnetic tape recording media | Biskeborn Robert G.; Lo Calvin S. |
11393587 | Systems and user interfaces for enhancement of data utilized in machine-learning based medical image review | Zlotnick Aviad; Akselrod-Ballin Ayelet; Reicher Murray A.; Ravid Sivan |
11393725 | Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device | Bao Ruqiang; Narayanan Vijay; Hook Terence B.; Jagannathan Hemanth |
11393759 | Alignment carrier for interconnect bridge assembly | Weiss Thomas; Arvin Charles L.; Pomerantz Glenn A.; Olson Rachel E.; Kapfhammer Mark W.; Singh Bhupender |
11394526 | Compressible (F)HE with applications to PIR | Gentry Craig B.; Halevi Shai |
11394742 | Detecting trojan neural networks | Liu Sijia; Chen Pin-Yu; Xiong Jinjun; Zhang Gaoyuan; Wang Meng; Wang Ren |
11394755 | Guided hardware input prompts | Neerju Neerju; Nair Mukesh Muraleedharan; Fox Jeremy R.; Silverstein Zachary A. |
11395051 | Video content relationship mapping | Catalano Pasquale A.; Crimmins Andrew G.; Tsfasman Arkadiy; Werner John |
11396298 | Vehicle control for reducing road wear | Brew Kevin W.; Gordon Michael S.; Kozloski James R.; Pickover Clifford A. |
11397091 | Vehicle route optimization | Singer Noah; Elsasser Ryan; McMillan Khaalid Persaud Juggan; Khambati Suraush |
11397092 | Determining directional guidance for vehicles | DeLuca Lisa Seacat; Li Jenny S.; Price Dana L. |
11397544 | Multi-terminal neuromorphic device | Syed Ghazi Sarwat; Sebastian Abu; Moraitis Timoleon; Kersting Benedikt |
11397568 | Escape analysis support for method redefinition | Craik Andrew James; Sundaresan Vijay |
11397574 | Changes in source code | Woolley Mark Andrew; Pocock Mark John; Wright Andrew |
11397577 | Managing parallel microservice requests | Liu Xin Peng; Wang Yue; Li Shuo; Wang Xiaobo |
11397595 | Automatic electronic history centralization | Osaigbovo Osakpamwan; Nash Julia Ruth; Patel Sumit; Fox Jeremy R. |
11397601 | Interface and interruption management | Fox Jeremy R.; Jones Andrew R.; Sivasubramanian Balasubramanian |
11397715 | Defining indexing fields for matching data entities | Parkala Srinivas Shettigar; Naganna Soma Shekar; Singh Neeraj Ramkrishna; Seth Abhishek; Ramalingam Prabhakaran |
11397718 | Dynamic selection of synchronization update path | Beier Felix; Perathoner-Tschaffler Sabine; Butterstein Dennis; Lueck Einar |
11397739 | Automated information technology services composition | Pfitzmann Birgit Monika; Wiesmann Rothuizen Dorothea; Byrd Elizabeth Whittum; Durand Herve |
11397749 | Asynchronous replication of in-scope table data | Kumar Nirmal; Mehrotra Gaurav; Kumar Hrishikesh Sujaya |
11397754 | Context-based keyword grouping | Luo Yan; Katan Rahamim; Mazo Gary; Phongpaibul Monvorath; El Hallak Tala; Georgiev Stanislav; Li Hongxia |
11397760 | Managing relationships between persons and physical objects based on physical fingerprints of the physical objects | Dittmann Gero; Jelitto Jens |
11397851 | Classifying text to determine a goal type used to select machine learning algorithm outcomes | Ezen Can Aysu; Contreras David; Delima Bob; Allen Corville O. |
11397854 | Generation of domain thesaurus | Kaufmann Joseph M.; Krishnamurthy Lakshminarayanan |
11397855 | Data standardization rules generation | Saillet Yannick; Oberhofer Martin; Kabra Namit |
11397856 | Phonetic patterns for fuzzy matching in natural language processing | Fuoco Sean M.; Ganci, Jr. John M.; Trim Craig M.; Zeng Jie |
11397857 | Methods and systems for managing chatbots with respect to rare entities | Drzewucki Michael; Decker Renee F.; Dixius James M.; Sagal, II David Kenneth |
11397859 | Progressive collocation for real-time discourse | Fox Jeremy R.; Anders Kelley; Dunne Jonathan D.; Harpur Liam S. |
11397862 | Configuring metrics and recall levels for natural language processing annotator | Sizemore Robert Christian; Werts David Blake; McNeil Kristin E.; Smith Sterling Richardson |
11397891 | Interpretability-aware adversarial attack and defense method for deep learnings | Liu Sijia; Zhang Gaoyuan; Chen Pin-Yu; Gan Chuang; Boopathy Akhilan |
11397954 | Providing analytics on compliance profiles of type organization and compliance named entities of type organization | Marascu Alice-Maria; Nair Rahul; Coq Marc H.; Thompson Sandra C. |
11397960 | Direct marketing via chained interactions in a blockchain | Zhang Jin; Lin June-Ray; Liu Ju Ling; Chen Nan; Zhang Li Bo |
11398004 | Allocating device buffer on GPGPU for an object with metadata using access boundary alignment | Ishizaki Kazuaki |
11398347 | Inductor with ferromagnetic cores | Cheng Kangguo; Li Juntao; Wang Geng; Zhang Qintao |
11398377 | Bilayer hardmask for direct print lithography | Joseph Praveen; Karve Gauri; Mignot Yann |
11398409 | Method of forming a BEOL interconnect structure using a subtractive metal via first process | Mignot Yann; Xu Yongan; Chen Hsueh-Chung |
11398480 | Transistor having forked nanosheets with wraparound contacts | Zhang Jingyun; Xie Ruilong; Reznicek Alexander; Miao Xin |
11398734 | Dynamic adjustment of hold-up time between battery packs | Singer Noah; Werner John S.; Tsfasman Arkadiy O.; Torok John; Notohardjono Budy |
11398895 | Information management in a decentralized database including a fast path service | Verma Dinesh C.; Dillenberger Donna N.; Oberhofer Martin; Hrle Namik |
11398996 | System and method to create global conversation thread across communication channels | Muthuswamy Srinivasan S.; Das Subhendu; Patnaik Yashodara M.; Ravindra Negandhi Vrunda |
11399064 | Peak cyclical workload-based storage management in a multi-tier storage environment | Guo Yong; Hossain Kaisar R.; Li Jun Tao; Xu Yan |
11399208 | Packet priority for visual content | Sivaramalingam Balamurugaramanathan; Santhar Sathya; Jawaharlal Samuel Mathew; Rakshit Sarbajit K. |
11399263 | Broker service apparatus for controlling a mobile device | Hunt Hamish C.; Lincoln Nicholas K.; Poole Christopher J.; Woolley Mark A. |
11399312 | Storage and retention intelligence in mobile networks | Misra Dharmendra; Ramasubramanian Seetharaman Sankara; Aggarwal Abhinav |
11399428 | PCB with substrate integrated waveguides using multi-band monopole antenna feeds for high speed communication | Roy Paladhi Pavel; Hejase Jose A.; Tang Junyan; Myers Joshua C.; Chun Sungjun; Becker Wiren D.; Dreps Daniel M. |
11399807 | Non-invasive detection of ingested medications | Mapiye Darlington Shingirirai; Mashiyane James Junior; Dlamini Gciniwe; Makoro Dineo Andronicah; Muller Stephanie Julia; Mokoatle Mpho |
11402361 | Personnel-tolerant carbon dioxide beamline variation reduction | Bryant Shane D.; Young Robert; Robinson Christopher F.; Bronson Kelly |
11402418 | Time-domain reflectometry protocol for sensors | Campbell Eric J.; Steffen Christopher; Albertson Chad; Ollerich Nicholas |
11402577 | Analog optic memory and signal processing | Horst Folkert; Dangel Roger F.; Offrein Bert Jan |
11402966 | Interactive dimensional hierarchy development | Breedvelt-Schouten Ilse; Kiel Mark |
11403007 | Digital twin template IoT sensor plugs for faster operational twin setup | DeLuca Lisa Seacat; Kutz Bjorn |
11403027 | Technology for governance of data retention and transfer | Atherton Kimberly L.; Carley David N.; Stimer Andrew J. |
11403034 | Non-volatile storage class memory data flow with mismatched block sizes | Moertl Daniel Frank; Galbraith Robert Edward; Jamsek Damir Anthony |
11403075 | Bytecode verification using class relationship caching | Wang Sharon; Heidinga Daniel; Shao Hang; Ajila Oluwatobi; Chapman Graham |
11403109 | Steering a history buffer entry to a specific recovery port during speculative flush recovery lookup in a processor | Battle Steven J.; Adeeb Khandker Nabil; Barrick Brian D.; Bowman Joshua W.; Eisen Susan E.; Goddard Brandon; Hawkins Jamory; Nguyen Dung Q. |
11403127 | Generating a virtual machines relocation protocol | Bryant Jay S.; Carey James E.; Hill Zachary A.; Nelson Kendall J.; Palm Lucas A. |
11403131 | Data analysis for predictive scaling of container(s) based on prior user transaction(s) | Mohapatra Biswajit; Pal Prasanta Kumar; Parisa Venkata Vinay Kumar |
11403168 | Using superconducting microwave gyrator for parity detection of weak magnetic sources | Abdo Baleegh |
11403196 | Widget provisioning of user experience analytics and user interface / application management | Ligman Joseph W.; Pistoia Marco; Thomas Gegi; Wood Stephen P. |
11403213 | Reducing fragmentation of computer memory | Cao Wenqi; Iyengar Arun; Su Gong; Sura Zehra; Zhang Qi |
11403222 | Cache structure using a logical directory | Jacobi Christian; Mayer Ulrich; Recktenwald Martin; Saporito Anthony; Tsai Aaron |
11403266 | Deleting rows from tables in a database without an index | Brodt Andreas; Koeth Oliver; Martin Daniel; Stolze Knut |
11403278 | Management of snapshot in blockchain | Horii Hiroshi; Kamijoh Kohichi; Kawachiya Kiyokuni; Ohsawa Shohei; Takeuchi Hironori |
11403325 | Clustering items around predefined anchors | Ein Dor Liat; Krieger Dalia; Bilu Yonatan; Slonim Noam |
11403326 | Message-based event grouping for a computing operation | Chan Yuk L.; Li Jia Qi; Yang Lin; Wu Tian; Yu Lei; Min Hong; Meng Fan Jing |
11403327 | Mixed initiative feature engineering | Parthasarathy Srinivasan; Pedapati Tejaswini |
11403328 | Linking and processing different knowledge graphs | Ravizza Stefan; Flöther Frederik Frank; Graf Florian; Rueger Erik; Giovannini Andrea |
11403338 | Data module creation from images | Khanafer Ali; Mostafa Mohammed |
11403348 | Conflict-free version control | Shrinivasan Yedendra; Ratakonda Krishna Chaitanya; Choi Rak-Joon |
11403354 | Managing search queries of a search service | Kussmaul Timo; Hansmann Uwe K.; Brennenstuhl Kai; Prokoph Andreas; Steinheber Thomas |
11403356 | Personalizing a search of a search service | Kussmaul Timo; Hansmann Uwe K.; Brennenstuhl Kai; Prokoph Andreas; Steinheber Thomas |
11403362 | Interaction on a web page | Liu Yi; Xia Yin; Meng Xiang Juan; Wang Li Wei; Xu Wei; Wen Jian Jiao |
11403392 | Security handling during application code branching | Sundararajan Mukundan; Srikrishnan Priti; Karia Jignesh K. |
11403394 | Preventing selective events of a computing environment | O'Farrell William |
11403401 | Preventing unauthorized package deployment in clusters | Gajananan Kugamoorthy; Kitahara Hirokuni; Watanabe Yuji; Kudo Ruriko |
11403409 | Program interruptions for page importing/exporting | Bradbury Jonathan D.; Schwidefsky Martin; Borntraeger Christian; Heller Lisa Cranton; Carstens Heiko; Busaba Fadi Y. |
11403467 | Semantic correction of messages | Deleuze Ivan; Tardieu Victor; Morales Anthony; Ayouba Gael |
11403514 | Correlative time coding method for spiking neural networks | Cherubini Giovanni; Stanojevic Ana; Sebastian Abu |
11403522 | Training artificial intelligence to use answer passage merging for full sentences responses in a question answering system | Boxwell Stephen A.; Brake Kyle M.; Frost Keith G.; Vernier Stanley J. |
11403539 | Pattern-optimized session logs for improved web analytics | Le Bras Yves; Thube Sandip; Prabhu Swathi |
11403552 | Collaborative cognition platform for creating and hosting social machines | Pimplikar Rakesh; Kataria Manish; Narayanam Ramasuri; Parija Gyana Ranjan; Sharma Udit |
11403562 | Determining sectors of a track to stage into cache by training a machine learning module | Gupta Lokesh M.; Anderson Kyler A.; Borlick Matthew G.; Ash Kevin J. |
11403577 | Assisting and automating workflows using structured log events | Sofer Oded; Margalit Oded; Allouche Yair |
11403580 | Advising audit ratings in a multiple-auditor environment | Zulpa Paul A.; Wang Zhipeng; Kelly Matthew S.; Xue Feng; Zhao Lin; Zhang WeiFeng; Komatsu Jeffrey G. |
11403664 | Generating aesthetics and safety information for billboard marketing | Bijlani Vinod; Sui Manna |
11403820 | Predictive rendering of an image | Sargent Dustin Michael; Shen Hui; Park Sun Young |
11403847 | Sharing system selection assistant | Covell Jacob T.; Sandridge Thomas J.; Silverstein Zachary A.; Chunduri Pranav |
11404047 | Feature and feature variant reconstruction for recurrent model accuracy improvement in speech recognition | Kurata Gakuto; Audhkhasi Kartik |
11404078 | Measuring amplitudes of written tracks in a tape drive to determine errors in read and write elements in the tape drive | Swanson David Lee; Gale Ernest Stewart; Bayang (Kubista) Josephine Faith; Borisevich Illarion |
11404147 | Treatment recommendations based on drug-to-drug interactions | Allen Corville O.; Bishop Timothy A.; Payne Michael T.; Schmidt Sue S.; Smutzer Leah R. |
11404287 | Fixture facilitating heat sink fabrication | Isaacs Phillip D.; Marroquin Christopher M.; Simmons Daren; Pompeo Frank L.; Eagle Jason R.; Hoffmeyer Mark K.; Ellsworth, Jr. Michael J.; Singh Prabjit; Ostrander Steve |
11404311 | Metallic interconnect structures with wrap around capping layers | Peethala Cornelius Brown; Matam Kedari; Yang Chih-Chao; Standaert Theo |
11404317 | Method for fabricating a semiconductor device including self-aligned top via formation at line ends | Arnold John C.; Dutta Ashim; Metzler Dominik; De Silva Ekmini A. |
11404365 | Direct attachment of capacitors to flip chip dies | Arvin Charles L.; Singh Bhupender; Kapfhammer Mark; Quinlan Brian W.; Pharand Sylvain |
11404379 | Structure and method for bridge chip assembly with capillary underfill | Farooq Mukta Ghate; Sakuma Katsuyuki |
11404581 | Wimpy vertical transport field effect transistor with dipole liners | Reznicek Alexander; Miao Xin; Lee Choonghyun; Zhang Jingyun |
11404634 | Structured bottom electrode for MTJ containing devices | Marchack Nathan P.; Doris Bruce B.; Hashemi Pouya |
11404797 | Time-based beam switching in phased arrays | Tzadok Asaf; Sadhu Bodhisatwa; Paidimarri Arun |
11405187 | Extended-life asymmetric cryptographic key scheme | Madineni Narayana Aditya; Waltenberg Peter T.; McMahon Simon D. |
11405199 | Determining key server type and key server redundancy information to enable encryption | Sheppard Jacob Lee; Hathorn Roger G.; Popov Igor |
11405215 | Generation of a secure key exchange authentication response in a computing environment | Sczepczenski Richard Mark; Zee Mooheng; Flanagan John R.; Colonna Christopher J. |
11405225 | Collaboration platform recommendation tool | Bansal Shefali; Nagar Raghuveer Prasad |
11405227 | Smart query buffering mechanism | Kwatra Shikhar; Awwad Ashraf M; Povar Victor; Silverstein Zachary A |
11405264 | Predictive analytics and device tracking to facilitate minimization of alert events | Bar-Joshua Michael; Goldberg Itzhack; Monge Núñez Roxana; Vukovic Maja |
11405316 | Live application and kernel migration using routing table entries | Banerjee Dwip N.; Filali-Adib Khalid; Barrat Frederic; Dai David Zhiwei |
11405333 | Switched fabric network routing mode support | Colonna Christopher J.; Catalano Pasquale A.; Becht Michael James; Guendert Stephen Robert |
11405349 | Viral message detection and control in social media messaging | Veeramuthu Muthukumaran; Sett Sujoy; Joshi Shreeya |
11405364 | Privacy-preserving endorsements in blockchain transactions | Sorniotti Alessandro; Androulaki Elli; De Caro Angelo; Manevich Yacov |
11405404 | Dynamic privilege allocation based on cognitive multiple-factor evaluation | Liu Su; Wang Xinya |
11405449 | Optimizing response time by load sharing in edge computing | Saraya Siddharth K.; Sundararajan Mukundan |
11405657 | Remote virtual reality viewing of an event using crowdsourcing | Perumalla Saraswathi Sailaja; Zalpuri Gautam; Chamala Shanthan; Karri Venkata Vara Prasad; Dhoot Akash U. |
11405696 | System and method for targeting mass media ads on the television to given physical locations using online activity profiles at the locations | Arunkumar Saritha; Dey Kuntal; Lethif Nizar; Vijil Enara C. |
11405766 | Connecting computer processing systems and transmitting data | Church, IV Walter; Herne Jason J.; Galbraith Kenneth; Meeks Kevin; Hollenbeck John Michael; Mason Scott |
11405778 | User confidentiality protection system | Omsberg Heather; Grant Robert Huntington; Covell Jacob Thomas; Silverstein Zachary A. |
11405969 | Enabling interface aggregation of mobile broadband network interfaces | Abraham Subil M.; Bijlani Vinod A.; Thomas Mathews |
11406270 | Layered and multi-sectional pulse wave sensors and use thereof | Afzali-Ardakani Ali; Lee Kang-Wook; Olyha, Jr. Robert S. |
11406299 | Biosensors with programmable sensing cavities | Ruch Patrick; Weiss Jonas |
11408739 | Location correction utilizing vehicle communication networks | Furuichi Sanehiro; Saito Akira; Uenohara Hayato; Yokoyama Satoshi |
11408878 | Dynamic product lifecycle predictions based on real-time product quality analysis | Nagar Raghuveer Prasad; Hulugundi Jagadesh Ramaswamy; Vitta Srikant |
11408947 | Identification of molecules based on frequency responses using electromagnetic write-heads and magneto-resistive sensors | Bates Allen K.; Topol Anna W.; Winarski Daniel J. |
11408966 | Location detection using a single beacon | Smith Samuel; Naylor Alexander J.; Gashi Aaron T. R.; Masters Oliver |
11409046 | Backside binary grated lens coupled to front side waveguide | Amoah Yoba; Brown Brennan J.; Ellis-Monaghan John J.; Kreider Ashleigh R. |
11409343 | Quantum computing array | Chakraborty Sudipto; Joshi Rajiv |
11409355 | Method and apparatus for power savings in communications equipment | Franke Hubertus; Freimuth Douglas M.; Olshefski David P.; Tracey John M.; Verma Dinesh C.; Wright Charles P. |
11409499 | Electronic circuit for multiply-accumulate operations | Khaddam-Aljameh Riduan |
11409501 | Detecting infrastructure as code compliance inconsistency in a multi-hybrid-cloud environment | Copty Fady; Nadgowda Shripad |
11409552 | Hardware expansion prediction for a hyperconverged system | Medina Cynthia Diaz; Naskar Debbani Kundu; Gaikwad Sneha Arunkumar; Ramamurthy Shalini Gundaiah; Shah Sahil Bhadreshkumar |
11409564 | Resource allocation for tuning hyperparameters of large-scale deep learning workloads | Vinod Saritha; John Anto Ajay Raj; Patra Asis Kumar |
11409568 | Dynamically scaling out pods using a recursive way | Jiang Peng Hui; Zhao Hui; Li Wen; Snavely Kyle Joseph |
11409578 | Resilient adaptive biased locking in multi-thread concurrent program execution | Craik Andrew James |
11409580 | Modifying a series of lock acquire and release operations to use a single lock reservation | Craik Andrew James |
11409593 | Discovering insights and/or resolutions from collaborative conversations | Kumar Harshit; Batta Raghav; Hwang Jinho; Shwartz Larisa; Dasgupta Gargi B.; Mohapatra Prateeti; Azad Amar Prakash; Verma Nikhil; Gupta Ajay; Aggarwal Pooja; Krchák Jakub |
11409615 | Cloning storage volumes from backup | Luan Leo Shyh-Wei; Seshadri Sangeetha; Archer David William; Rodriguez Violet |
11409618 | Transaction recovery | Zou Dian Guo; Zhou Yan; Zhang Ze; Sun Da Guang; Zhang Shuo |
11409663 | Methods and systems for optimized translation of a virtual address having multiple virtual address portions using multiple translation lookaside buffer (TLB) arrays for variable page sizes | Campbell David; Hicks Dwain A. |
11409664 | Logical memory allocation and provisioning using timestamps | Bauman Scott Alan; Moertl Daniel Frank; Galbraith Robert Edward |
11409703 | File versions within content addressable storage | Gheith Ahmed; Van Hensbergen Eric; Xenidis James |
11409707 | Sharing resources among remote repositories utilizing a lock file in a shared file system or a node graph in a peer-to-peer system | Gower Barry P.; Hamann Larry R.; Myers Andrew S.; Peterson Seth R.; Srinivas Davanum M.; Woods Donald R. |
11409714 | Evaluating pending object replication rules | Driesch, Jr. Robert D.; Downer Robert V.; Huynh Mi; Dietel John D. |
11409723 | Detecting interesting decision rules in tree ensembles | Spisic Damir; Xu Jing |
11409724 | Hashed balanced tree data structure | Irazabal Jeronimo |
11409727 | Concurrent execution of database operations | Bendel Peter; Harm Oliver; Stolze Knut; Broll Björn |
11409729 | Managing database object schema virtual changes | Cai Ke Ke; Su Zhong; Sun Bing Jiang; Yu Shuang YS; Zhao Shi Wan |
11409751 | Providing answers to questions using hypothesis pruning | Chu-Carroll Jennifer; Ferrucci David A.; Gondek David C.; Lally Adam P.; Murdock, IV James W. |
11409754 | NLP-based context-aware log mining for troubleshooting | Domeniconi Giacomo; Lee Eun Kyung; Morari Alessandro |
11409759 | Data dump formatting utilizing dynamically created control structures | Nguyen Trinh Huy; Singh Harshpreet |
11409769 | Computer-implemented method and system for attribute discovery for operation objects from operation data | Li Jia Qi; Meng Fan Jing; Xu Jing Min; Zhu Zi Xiao |
11409772 | Active learning for data matching | Bremer Lars; Bajpai Utkarsh; Oberhofer Martin; Luz Xavier Da Costa Alexandre |
11409775 | Recommending documents sets based on a similar set of correlated features | Kikuchi Hiroaki; Terui Fumihiko |
11409795 | Atomically executed application program interfaces | Zhou Bei Chun; Kyte Adrian; Winchester Joe; He Jenny J.; Liu Guan Jun |
11409808 | Facilitating a collaboration experience using social networking information | Hewitt Trudy L.; Fox Jeremy R.; Boss Gregory J.; Harpur Liam S. |
11409856 | Video-based authentication | Maresh Mark; Nolan Colm; Vargas Juan F.; Whitney Michael J. |
11409874 | Coprocessor-accelerated verifiable computing | Linton Jeb R.; Kraemer James R. |
11409880 | Blackbox security for containers | Huang Hai; Lum Jia Jun Brandon; Suneja Sahil; Koller Jemio Ricardo Andrei; Steinder Malgorzata |
11409882 | Secure embedded microcontroller image load | Steffen Christopher; Albertson Chad; Ollerich Nicholas; Campbell Eric J. |
11409892 | Enhancing security during access and retrieval of data with multi-cloud storage | Rao Shruthi Rs; Joshi Juilee A.; Anantharajaiah Sahana H. |
11409900 | Processing event messages for data objects in a message queue to determine data to redact | Dain Joseph W.; Bhosale Nilesh P.; Kishi Gregory T. |
11409918 | Access control management of baseboard management controller peripherals | Sugavanam Krishnan; Koteshwara Sandhya; Chen Dong |
11409940 | Virtual to real waveform emulator | Joshi Rajiv; Chakraborty Sudipto; Glick Joseph Allen; Rosno Pat |
11409950 | Annotating documents for processing by cognitive systems | Bao Sheng Hua; Liu Xianying; Liu Nan; Routray Ramani; Shao Tongkai; Wang Feng |
11409951 | Facilitating annotation of document elements | Liu Xiangning; Hasegawa Tohru; Goto Takuya; Ono Asako |
11409958 | Polar word embedding | Iwamoto Ran; Kohita Ryosuke; Wachi Akifumi |
11409965 | Searching conversation logs of a virtual agent dialog system for contrastive temporal patterns | Agarwal Shubham; Muise Christian; Kim Joseph |
11409992 | Data slicing for machine learning performance testing and improvement | Brill Rachel; Farchi Eitan; Raz Orna; Zlotnick Aviad |
11409996 | Clustering subject matter experts based on question and answer ratings | Allen Corville O.; Freed Andrew R.; Kozhaya Joseph; Mansjur Dwi Sianto |
11410017 | Synaptic, dendritic, somatic, and axonal plasticity in a network of neural cores using a plastic multi-stage crossbar switching | Modha Dharmendra S. |
11410023 | Lexicographic deep reinforcement learning using state constraints and conditional policies | Agravante Don Joven R.; Munawar Asim; Tachibana Ryuki |
11410029 | Soft label generation for knowledge distillation | Fukuda Takashi; Thomas Samuel; Ramabhadran Bhuvana |
11410030 | Active imitation learning in high dimensional continuous environments | Qiao Mu; Fitzpatrick Dylan J.; Jadav Divyesh |
11410031 | Dynamic updating of a word embedding model | Conti Thomas; Warren Stephen; Bordawekar Rajesh; Neves Jose; Harding Christopher |
11410037 | Model improvement using federated learning and canonical feature mapping | Donatelli Alessandro; Verma Dinesh C. |
11410042 | Dynamic Boltzmann machine for estimating time-varying second moment | Harry Putra Rudy Raymond; Osogami Takayuki; Dasgupta Sakyasingha |
11410043 | Hamming distance based robust output encoding for improved generalization | Jaiswal Mayoore Selvarasa; Cho Minsik; Kang Bumsoo |
11410049 | Cognitive methods and systems for responding to computing system incidents | Ha Eun; Katreddi Naga; Markandaiah Nisarga; Raimugia Vishesh; Swift Mary |
11410052 | System for minimizing reevaluation of a ground truth corpus in response to concept drift | TeNyenhuis Tristan A.; Freed Andrew R.; Kong Jocelyn; Larche Allegra; Weber Christopher R. |
11410064 | Automated determination of explanatory variables | Kobayashi Hiromi; Sakamoto Masaharu; Makino Yasue; Kobayashi Hirokazu |
11410068 | Quantum processing system including a modulated RF generator with synchronized components | Mueller Peter; Morf Thomas |
11410069 | Grouping of Pauli observables using Bell measurements | Itoko Toshinari; Imamichi Takashi |
11410077 | Implementing a computer system task involving nonstationary streaming time-series data by removing biased gradients from memory | Kajino Hiroshi; Miyaguchi Kohei |
11410079 | Cognitive-based passenger selection | Ayyagari Phani Kumar V. U.; Bhide Manish A.; Chukka Madan K.; Jasti Purna Chandra |
11410080 | Composable natural language lenses for collaborative streams | Brunn Jonathan F.; Chapman Scott E.; Chen Dennis J.; Dewar Ami H.; Dickens Rachael M.; Dunne Jonathan; Geyer Ethan A.; Vazquez-Rivera Rogelio |
11410081 | Machine learning with differently masked data in secure multi-party computing | Kulkarni Vaibhav Murlidhar; Arora Rakhi S.; Krishnan Padmanabhan; Varadarajulu Gopikrishnan |
11410082 | Data loss machine learning model update | Vu Long; Chang Yuan-Chi; Dinger Timothy R.; Pavuluri Venkata N.; Cao Lingtao |
11410083 | Determining operating range of hyperparameters | Vasudevan Shrihari; Khare Alind; Mukherjee Koyel; Sabharwal Yogish; Verma Ashish |
11410089 | Dynamic booking system for shared dockless bikes using trajectory position | Zhao Shiwan; Sun Changhua; Cai Ke Ke; Zhang Li; Guo Honglei |
11410092 | Dynamically predicting venue activity based on weather data | Silverstein Zachary A.; DeLuca Lisa Seacat; Fox Jeremy R.; Decrop Clement |
11410103 | Cognitive ride scheduling | Kwatra Shikhar; Krystek Paul; Weldemariam Komminist; Schaeffer Blaise |
11410110 | Alert generation based on a cognitive state and a physical state | Lu Fang; Bastide Paul R.; Rajendrababu Ishwarya; Srinivasan SathyaNarayanan |
11410115 | Scraping network sites to arrange expedited delivery services for items | Kaufmann John; Canseco Borja; Young Andrea |
11410130 | Creating and using triplet representations to assess similarity between job description documents | George David G.; Singh Sudhanshu S.; Mondal Joydeep; Ahuja Sarthak; Medicke John A.; Klabzuba Amanda |
11410174 | Custom blockchain for IoT devices | Valecha Vinod A.; Rudek Krzysztof; Blohberger Markus |
11410184 | Extraction of compliance named entities of type organization | Marascu Alice-Maria; Nair Rahul; Coq Marc H.; Thompson Sandra C. |
11410271 | Reconstructing missing slices in slice-based scans of 3D objects | Oleszkiewicz Maria H.; Rutkowski Blazej R.; Sobala Wojciech; Padkowski Piotr |
11410558 | Traffic control with reinforcement learning | Ganti Raghu Kiran; Srivatsa Mudhakar; Rao Venkatesh Ashok Rao; Chu Linsong |
11410649 | Voice commands to facilitate in-game communication | Santiago Ajiemar D.; Stylos Jeffrey; Attanasio Ruiz German; Popp Dustin |
11410675 | Collecting audio signatures using a wireless device | Linton Jeb R.; Samn Jonathan; Bikki Poojitha; Altaf Naeem |
11410697 | Process for forming underlayer for tape media | Bradshaw Richard |
11410745 | Determining potential cancer therapeutic targets by joint modeling of survival events | Sun Zhaonan; Shahn Zach; Zhang Ping; Wang Fei; Hu Jianying |
11410749 | Stable genes in comparative transcriptomics | Haiminen Niina S.; Parida Laxmi P. |
11410757 | Facilitating health intervention suggestion for disease mitigation and/or prevention | Li Xiang; Liu Hai Feng; Xie Guo Tong; Yu Yi Qin; Zhang Ping |
11410879 | Subtractive back-end-of-line vias | Park Chanro; Motoyama Koichi; Cheng Kenneth Chun Kuen; Yang Chih-Chao |
11410891 | Anomaly detection and remedial recommendation | Phan Dzung; Baseman Robert; Nguyen Nam H.; Tipu Fateh; Muralidhar Ramachandran |
11410894 | Polygon integrated circuit (IC) packaging | Arvin Charles L.; Indyk Richard F.; Singh Bhupender; Casey Jon A.; Li Shidong |
11410905 | Optimized weight heat spreader for an electronic package | Sikka Kamal K.; Marston Kenneth; Sinha Tuhin; Li Shidong |
11411048 | Magnetoresistive random-access memory device structure | Wu Heng; Reznicek Alexander; Xie Ruilong; Frougier Julien; Zhang Chen; Doris Bruce B. |
11411049 | Symmetric read operation resistive random-access memory cell with bipolar junction selector | Reznicek Alexander; Hekmatshoartabari Bahman; Xie Ruilong; Wu Heng |
11411158 | Offset embedded ground plane cutout | Phung Timothy; Abraham David |
11411160 | Silicon-based Josephson junction for qubit devices | Holmes Steven J.; Sadana Devendra K.; Wacaser Brent A.; Farmer Damon |
11411165 | Conductive particle interconnect switch | Doyle Matthew; Kuczynski Joseph; Egan Patrick; Judd Jeffrey N.; Tofil Timothy J. |
11411166 | Conductive particle interconnect switch | Doyle Matthew; Kuczynski Joseph; Egan Patrick; Judd Jeffrey N.; Tofil Timothy J. |
11411175 | Self-aligned and misalignment-tolerant landing pad for magnetoresistive random access memory | Annunziata Anthony J.; Kothandaraman Chandrasekara; Marchack Nathan P.; O'Sullivan Eugene J. |
11411191 | Selenium-fullerene heterojunction solar cell | Bishop Douglas M.; Lee Yun Seog; Singh Saurabh; Todorov Teodor K. |
11411272 | Simplified hermetic packaging of a micro-battery | Andry Paul S.; Dang Bing; Knickerbocker John U.; Liu Yang; Nah Jae-Woong; Narasgond Adinath; Webb Bucknell C. |
11411564 | Rapid single flux quantum pulse multiplier | Beck Matthew; Timmerwilke John |
11411811 | Fault localization for cloud-native applications | Paradkar Amitkumar Manoharrao; Aggarwal Pooja; Mandal Atri; Gupta Ajay |
11411886 | Automatic cluster scaling based on varying workloads | Bhandari Sujit; Patros Panos; Patrou Maria; Kent Kenneth Blair; Dawson Michael; Siu Joran S. C. |
11411979 | Compliance process risk assessment | Bulut Muhammed Fatih; Hernandez Milton H.; Hwang Jinho; Adam Constantin Mircea; Riley Daniel S. |
11412041 | Automatic intervention of global coordinator | Tamborski Patrick A.; Cilfone Bart R.; Frazier Alan M.; Kumar Sanjaya |
11412271 | AI response to viewers of live stream video | Baughman Aaron K.; Rakshit Sarbajit K.; Ganci, Jr. John M.; Keen Martin G.; Bostick James E. |
11412287 | Cognitive display control | Boudreau Michael K.; Chen Jia; Rakshit Sarbajit K.; Trim Craig M. |
11412303 | Filtering images of live stream content | Fox Jeremy R.; Dunne Jonathan; Harpur Liam S.; Anders Kelley |
11412349 | Suppressing notifications based on directed location activity | DeLuca Lisa Seacat; Greenberger Jeremy A. |
11412372 | Secure display of transaction approval code | Tanaka Hiroyuki; Kawai Yutaka; Ueguri Norio |
11412612 | Implementing embedded wire repair for PCB constructs | Connor Samuel; Benefield Stuart B.; Doyle Matthew S.; Kuczynski Joseph; Jackson Jonathan |
11412639 | Emergency cooling device | Eidem Jessica R.; Mroz Stephen P.; Misgen Marvin M.; Mann Phillip V. |
11412732 | Light-triggered sustained release of pesticides | Wertz Jason T.; Kobilka Brandon M.; Porter Jacob T.; Kuczynski Joseph |
11413629 | Froth flotation with anisotropic particle collectors | Baldauf Julia; Bojovschi Alexe; Mashford Benjamin S.; Schieber Christine; Yiapanis George |
11416045 | Thermal interface material structures for directing heat in a three-dimensional space | Hoffmeyer Mark K.; Marroquin Christopher M.; Campbell Eric J.; Czaplewski-Campbell Sarah K.; Mann Phillip V. |
11416147 | Rack-power-controller-initiated data protection | Sorenson Todd C.; Rinaldi Brian A.; Elliott John C.; Batchelor Gary W.; Duan Jiwu |
11416180 | Temporary data storage in data node of distributed file system | Clifford Austin; Matias Mara; Ender Ilker |
11416243 | Microservice decomposition strategy of monolithic applications | Hwang Jinho; Kalia Anup; Xiao Jin; Jackson Malik; Vukovic Maja; Rofrano John; Kumarasamy Mani Senthil Kumar |
11416247 | Healthcare application data management based on waste priority | Bastide Paul R.; Khan Shakil Manzoor; Bakthavachalam Senthil |
11416257 | Hybrid and aggregrate branch prediction system with a tagged branch orientation predictor for prediction override or pass-through | Gorti Naga P.; Fatehi Ehsan; Orzol Nicholas R.; Zoellin Christian; Gieske Edmund J. |
11416274 | Bridging a connection to a service by way of a container to virtually provide the service | Xiao Ping; Liu Guan Jun; Li Guo Qiang; Zhao Zhi Feng |
11416296 | Selecting an optimal combination of cloud resources within budget constraints | Unnikrishnan Priya; Aronovich Lior |
11416322 | Reprovisioning virtual machines by means of DVFS-aware scheduling | Saxena Rajesh Kumar; Yadav Vikram |
11416336 | Managing parity data associated with configuration register data | Wong Kelvin |
11416373 | Providing debug information on production containers using debug containers | Baines Jack S.; Clapperton Kirstine F. L.; Crighton David J.; Lickorish Rosanna M.; Naish Martin R. |
11416390 | Tri-color bitmap array for garbage collection | Horie Michihiro; Ogata Kazunori |
11416443 | Generating preview information related to data migrated to archival media | Suarez Jeffrey Richard; Brazelton Evelyn; Wareing Samantha Jean; Fife Carly Joanne |
11416452 | Determining chunk boundaries for deduplication of storage objects | Yakushev Mark L.; Smith Mark A. |
11416468 | Active-active system index management | Bo Xue; Wang Xiaobo; Li Shuo; Sun Sheng Yan; Zhang He Fang; Li Yue |
11416469 | Unsupervised feature learning for relational data | Hoang Thanh Lam; Vu Long; Salonidis Theodoros; Bramble Gregory |
11416474 | Blockchain-based software library access and usage management | Ponceleon Dulce B.; Malvankar Abhishek; Kwatra Shikhar; Irazabal Jeronimo |
11416490 | Prioritization and optimization of database workloads | Nasser Samir; O'Connell Brian M.; Fox Jeremy R. |
11416529 | Minimum coordination passage scoring | Cohen Doron; Roitman Haggai; Sar-Shalom Oren |
11416539 | Media selection based on content topic and sentiment | Rendahl Randy A.; Sese Jocelyn; Silva Ashley Kristin; Jenkins Jana H. |
11416543 | Exam prefetching based on subject anatomy | Reicher Murray; Togliatti Dante |
11416548 | Index management for a database | Kundu Ashish; Mohania Mukesh K.; Vo Hoang Tam; Sura Zehra N. |
11416562 | Corpus expansion using lexical signatures | Gruhl Daniel; Gentile Anna Lisa; Ristoski Petar; Kato Linda Ha; DeLuca Chad Eric; Welch Steven R.; Alba Alfredo; Lourentzou Ismini |
11416581 | Multiplication of a matrix with an input vector | Georgopoulos Leonidas; Staar Peter; Dolfi Michele; Auer Christoph; Bekas Konstantinos |
11416583 | Determining software application license usage | Godowski Piotr P.; Kowalczyk Szymon; Pietrzak Andrzej; Paluch Michal |
11416623 | Automatic penetration testing enablement of regression buckets | Hicks Andrew C. M.; Conti Thomas William; Childs Bryan; Kasper Michael Page |
11416631 | Dynamic monitoring of movement of data | Sofer Oded; Galil Guy |
11416633 | Secure, multi-level access to obfuscated data for analytics | Schmatz Martin; Rameshan Navaneeth; Sagmeister Patricia M.; Chen Yiyu; Gusat Mitch |
11416651 | Dynamically adjustable training simulation | Roberts Melanie E.; Hickson Roslyn I.; Smith Olivia J.; Gambhir Manoj |
11416682 | Evaluating chatbots for knowledge gaps | Patel Hima; Bandlamudi Jayachandu; Dey Kuntal; Oggu Venkata Daivik Swarup |
11416686 | Natural language processing based on user context | McNeil Kristin E.; Lorenzo Mario J.; La Rocca Jennifer Lynn; Angst Debra L.; Dahlman Rebecca Lynn |
11416741 | Teacher and student learning for constructing mixed-domain model | Fukuda Takashi; Ichikawa Osamu; Thomas Samuel; Ramabhadran Bhuvana |
11416743 | Swarm fair deep reinforcement learning | Baughman Aaron K.; Hammer Stephen C.; Cannon Gray; Kwatra Shikhar |
11416757 | Classifier training using noisy samples | Amrani Elad; Barzelay Udi; Ben-Ari Rami; Hakim Tal |
11416766 | Mistaken message prevention based on multiple classification layers | Chao Tzu-Chen; Liu Ching-Chun; Lan Ci-Wei; Jung Tao-Hung; Chen Yu-Siang |
11416770 | Retraining individual-item models | Peran Michael; Price Josh; Augenstern Daniel; Nahar Rahul; Srivastava Pankaj |
11416771 | Self-learning peer group analysis for optimizing identity and access management environments | Patil Priti P.; Veijay Kushaal; Molloy Ian M. |
11416772 | Integrated bottom-up segmentation for semi-supervised image segmentation | Wang Hongzhi; Karargyris Alexandros; Syeda-Mahmood Tanveer Fathima; Wu Joy Tzung-yu |
11416775 | Training robust machine learning models | Chen Pin-yu; Liu Sijia; Chang Shiyu; Das Payel; Cheng Minhao |
11416785 | Automated interactive support | Kochura Nadiya; Lu Fang |
11416877 | Extracting product drag effect from transaction records | Basu Aditya; Chong Jeanine C.; Garg Dinesh; Jain Alankar; Kannan Aswin; Narayanam Ramasuri; Squillante Mark S.; Toft-Nielsen Christian; Yau Jessica Lee |
11416907 | Unbiased search and user feedback analytics | Catalano Pasquale A.; Crimmins Andrew G.; Tsfasman Arkadiy O.; Werner John S. |
11416953 | Monitoring utility assets using crowd-sourced digital image data | Bharti Harish; Bhattacharya Pinaki; Khadke Hemant; Wadekar Dinesh; Saxena Rajesh Kumar |
11416986 | Simulating visual field test from structural scans | Yu Hsin-Hao; Maetschke Stefan Renard; Sedai Suman; Antony Bhavna Josephine; Garnavi Rahil; Ishikawa Hiroshi |
11417079 | Viewfinder assistant for visually impaired | Tomsett Richard J.; Sonier Corey; Wright, III William Kirby |
11417136 | Capturing and quantifying body postures | Ran Chuan; Wang Junhui; Zhu Guandong; Cui Yongchang; Lu Shuyan; Yang Pu |
11417228 | Modification of extended reality environments based on learning characteristics | Silverstein Zachary A.; Rakshit Sarbajit K.; Grant Robert Huntington; Ashlin Haley |
11417415 | Molecular representation | Kajino Hiroshi |
11417424 | Disease detection from weakly annotated volumetric medical images using convolutional long short-term memory and multiple instance learning | Braman Nathaniel Mason; Marvast Ehsan Dehghan; Beymer David James |
11417822 | Frequency multiplexing for qubit readout | Bronn Nicholas Torleiv; Bogorin Daniela Florentina; Gumann Patryk; Hart Sean; Olivadese Salvatore Bernardo |
11417837 | Double spin filter tunnel junction | Worledge Daniel C. |
11418026 | Electrostatic protection device | Morf Thomas; Francese Pier Andrea |
11418162 | Band-pass Josephson traveling wave parametric amplifier | Abdo Baleegh |
11418319 | Ensure valid range of values of a vector for distance calculations using homomorphic encryption or functional encryption | Aharoni Ehud; Adir Allon; Greenberg Lev; Soceanu Omri; Farkash Ariel |
11418322 | Information management in a decentralized database including a fast path service | Verma Dinesh C.; Dillenberger Donna N.; Oberhofer Martin; Hrle Namik |
11418327 | Automatic provisioning of key material rotation information to services | Seaborn Mark Duane; Bojjireddy Karunakar; Lo Erlander |
11418358 | Smart device active monitoring | Rakshit Sarbajit K.; Ray Shubhadip; Povar Victor |
11418500 | User authentication based on cognitive profiling | Volcoff Ari; Limonad Lior; Abitbol Roy; Dashinsky Valentin; Arad Omer |
11418502 | Input entry based on user identity validation | Dickenson Marc |
11418535 | Root cause analysis in dynamic software testing via probabilistic modeling | Chakraborty Supriyo; Tripp Omer |
11418539 | Denial of service attack mitigation through direct address connection | Shieh Johnny |
11418583 | Transaction process management by dynamic transaction aggregation | Gokavarapu Nageswararao V.; Moothoor Jithesh; Srinivasan Raghavendran |
11418603 | Dynamic backoff and retry attempts based on incoming request | Ross Martin A.; Edwards Andrew S. M. |
11418609 | Identifying objects using networked computer system resources during an event | Das Anindita; Sivaswamy Hemant Kumar; Valecha Vinod A.; Kumar B Dinesh |
11419162 | Method for extracting environment information leveraging directional communication | Sadhu Bodhisatwa; Valdes Garcia Alberto; Chakraborty Supriyo |
11419235 | Vibration shock mitigation for components in a server rack | Anderson Ryan; Eidem Jessica R.; Mann Phillip V.; Misgen Marvin M.; Mroz Stephen P.; O'Connell Michael |
11421468 | Closing server-rack door using acoustic noise and acceleration | Kudo Ruriko; Katsuno Yasuharu; Akiyama Fumiko |
11422139 | Biosensors including surface resonance spectroscopy and semiconductor devices | Feller Bobby E.; Lin Jianqiang; Miller Robert D.; Muralidhar Ramachandran; Ning Tak H.; Zafar Sufi |
11422517 | Automated transfer of items between compartments of a smart appliance | Jepperson Jacob Ryan |
11422545 | Generating a hybrid sensor to compensate for intrusive sampling | Zhou Nianjun; Subramanian Dharmashankar; Gifford Wesley M |
11422597 | Predetermining separate thermal control points for chips of a multi-chip module | Marz Eric; Peterson Kirk D.; Abrami Greg; Mahaney, Jr. Howard V.; Anderl William James; Fluhr Eric Jason; Rosedahl Todd Jon |
11422611 | Adaptive frequency optimization in processors | Chadwick Nathaniel R.; Christensen Bjorn P.; Crafts James M.; Hall Allen R.; Reick Kevin F.; Tetzloff Jon Robert |
11422774 | Multiply and accumulate using current division and switching | Chakraborty Sudipto; Joshi Rajiv |
11422780 | Automatically extracting feature engineering knowledge from execution traces | Tateishi Takaaki |
11422791 | Upgrading a sequence of microservices in a cloud computing environment | Guo Yingchun; Wei Jing Jing; Wang Yue; Ding Shao Jun; Guo Jian Nan |
11422798 | Context-based word embedding for programming artifacts | Luo Yan; Shao Liujia; Xu Yan; Fan Sibin |
11422911 | Assisted smart device context performance information retrieval | Carbonell Lee A.; Edgington Jeff; Cheng Tsz S.; Mariadoss Pandian |
11422924 | Customizable test set selection using code flow trees | Hicks Andrew; Blue Dale E.; Rawlins Ryan Thomas |
11422947 | Determining page size via page table cache | Campbell David; Truelove Jake; Wait Charles D.; Kriegel Jon K. |
11422948 | Allocation of cache storage among applications that indicate minimum retention time for tracks in least recently used demoting schemes | Gupta Lokesh M.; Hathorn Roger G.; Hayward Joseph; Borlick Matthew G. |
11422981 | Information management and access control in a database | Androulaki Elli; De Caro Angelo; Neven Gregory; Sorniotti Alessandro |
11422994 | Adaptive query processor for query systems with limited capabilities | Cohoon Stephen M. |
11422997 | Supporting repetitive operations within an operating system | Bonazzoli Simone; Borgianni Marco; Falcone Claudio; Fioravanti Alessio; Gorga Ilaria; Longobardi Giuseppe; Lutri Silvano; Presti Luigi; Salerno Paolo; Ziantoni Francesca |
11423025 | Direct data loading of middleware-generated records | Horii Hiroshi; Takeuchi Mikio; Inoue Rina |
11423042 | Extracting information from unstructured documents using natural language processing and conversion of unstructured documents into structured documents | Sirangimoorthy Jothilakshmi; Ray Ritwik; Wang Hui; Rand Jonathan; Carrier Scott |
11423051 | Sensor signal prediction at unreported time periods | Zhang Bing; Asthana Shubhi; Megahed Aly; Elwany Alaa; Shafae Mohammed Saeed Abuelmakarm |
11423052 | User information association with consent-based class rules | Pandit Sushain; Oberhofer Martin; Lockwood Steven |
11423058 | Classifying and filtering data from a data stream | Muehge Thorsten; Krick Frank; Rueger Erik; Diederich Michael; Roth Christoph |
11423094 | Document risk analysis | Bastide Paul R.; Lu Fang; Broomhall Matthew E.; Loredo Robert E. |
11423127 | Resilient watermarking | Choudhury Olivia; Gkoulalas-Divanis Aris |
11423158 | Dynamic compression with dynamic multi-stage encryption for a data storage system | Borlick Matthew G.; Symon Alistair Leask; Robison Micah; Rinaldi Brian Anthony; Gupta Lokesh M.; Hack Mark Elliott |
11423183 | Thermal imaging protection | Moros Ortiz Jorge Andres; De Hoog Julian; Jalali Fatemeh; Chau Minh Phong |
11423185 | Sensor based intelligent system for assisting user with voice-based communication | Kochura Nadiya; Lu Fang; Uong Anh |
11423205 | Font personalization | Ellis Richard R.; Leming Matthew W.; Pirie Geoffrey S. |
11423219 | Generation and population of new application document utilizing historical application documents | Viswanathan Balaji; Gupta Ajay; Kumar Harshit; Agarwal Arvind |
11423223 | Dynamic creation/expansion of cognitive model dictionaries based on analysis of natural language content | McNeil Kristin E.; Sizemore Robert C.; Werts David B.; Smith Sterling R.; Herbeck David G. |
11423227 | Weak supervised abnormal entity detection | Qi Haode; Tan Ming; Yu Yang; Rao Navneet N.; Kunc Ladislav; Potdar Saloni |
11423235 | Cognitive orchestration of multi-task dialogue system | Huang Zi Ming; Ma Jie; Davis Christopher Jonathan; Mohammed Rachel; Jiang Zhuoxuan; Li Qi Cheng; Ni Xin |
11423252 | Object dataset creation or modification using labeled action-object videos | Jagannathan Anupama; Gattiker Anne Elizabeth |
11423280 | Cognitive commuter assistant | Penubothula Santosh R. K.; Namboodiri Lohit K.; Krithivasan Jayachandran Prabuchandran; Ramaswamy Harish Guruprasad; Dayama Pankaj S. |
11423281 | Personalized activity adviser model | De Magalhaes Arthur L.; Taniwa Robert K.G.; Jean Nelson; Magro Agueda Martinez Hernandez |
11423307 | Taxonomy construction via graph-based cross-domain knowledge transfer | Shang Chao; Dash Sarthak; Chowdhury Md Faisal Mahbub; Gliozzo Alfio Massimiliano |
11423324 | Training and estimation of selection behavior of target | Morimura Tetsuro; Obara Yachiko; Osogami Takayuki |
11423325 | Regression for metric dataset | Kabeya Yoshinori; Takeuchi Emiko; Takuma Daisuke; Toyoshima Hirobumi |
11423333 | Mechanisms for continuous improvement of automated machine learning | Qi Haode; Tan Ming; Kunc Ladislav; Potdar Saloni |
11423351 | Blockchain-based food product shelf-life management | Ren Changrui; Shao Bing; Sun Ning; Zhang Bo |
11423405 | Peer validation for unauthorized transactions | Whitney Michael J.; Nolan Colm; Maresh Mark; Vargas Juan F |
11423444 | Propensity model | Bandhakavi Sainath; Bharti Harish; Sukhija Sandeep |
11423458 | Computer application of internet of things (IOT) devices to select perishable items by time to expiration | Sharma Radhika; Sundararajan Mukundan; Rakshit Sarbajit K. |
11423486 | Method for production refactoring of a producing entity | Browne Hugh; Bruttin Frances; Dean Douglas; Kenyon Christopher M.; Pratsinis Eleni; Van Dyck Walter |
11423498 | Multimedia content player with digital rights management while maintaining privacy of users | Kraemer James R.; Linton Jeb R. |
11423577 | Printed circuit board assembly defect detection | Kelly Matthew S.; Gilbert Sebastien; Chacon Oswaldo |
11423583 | Augmented reality enabled handling and risk mitigation | Kwatra Shikhar; Rakshit Sarbajit K.; Griffin Adam Lee; Reynolds Spencer Thomas |
11423683 | Source linking and subsequent recall | Werner John S.; Stamboni Diane Marie; Varghese Sneha Mary; Sehgal Kavita; Danyluk Nicholas George; Wu Sarah |
11423775 | Predictive route congestion management | Weldemariam Komminist; Gordon Michael S.; Kozloski James R.; Kwatra Shikhar |
11423949 | Data storage library with media acclimation device and methods of acclimating data storage media | Miranda Gavillan Jose G.; Goodman Brian G.; Qiu Kenny Nian Gan |
11424011 | Analyzing knowledge graphs with unbounded insight generation | Stevens Richard J.; Suarez Saiz Fernando Jose; Will Eric W.; Clark Adam |
11424023 | Scalable and traceable healthcare analytics management | Curbera Francisco P.; Mahatma Shilpa N.; Wang Yajuan; Williams Rose M.; Yuen-Reed Gigi Y. C. |
11424034 | Systems and methods for predicting animal health | Crawford Vanadis M.; Salkeld Eliza; Arrowood Kai K. |
11424037 | Disease simulation in medical images | Graf Benedikt; Sitek Arkadiusz; Xie Yiting; Katouzian Amin; Esquinas Fernandez Pedro Luis; Boroczky Lilla; Bronkalla Mark D. |
11424152 | Handler bonding and debonding for semiconductor dies | Andry Paul S.; Dang Bing; Gelorme Jeffrey Donald; Hung Li-Wen; Knickerbocker John U.; Tsang Cornelia Kang-I |
11424235 | Interposer-less multi-chip module | Leobandung Effendi |
11424343 | Vertical fin field effect transistor devices with self-aligned source and drain junctions | Cheng Kangguo; Li Juntao; Lee Choonghyun; Mochizuki Shogo |
11424361 | Stacked vertical tunnel FET methods | Balakrishnan Karthik; Hekmatshoartabari Bahman; Reznicek Alexander |
11424362 | NCFETS with complimentary capacitance matching using stacked n-type and p-type nanosheets | Ando Takashi; Vega Reinaldo; Chi Cheng; Adusumilli Praneet |
11424367 | Wrap-around contacts including localized metal silicide | Miller Eric; Frougier Julien; Mignot Yann; Greene Andrew M. |
11424403 | Magnetoresistive random-access memory cell having a metal line connection | Xie Ruilong; Doris Bruce B.; Rizzolo Michael; Reznicek Alexander |
11424522 | Reduced thermal resistance attenuator on high-thermal conductivity substrates for quantum applications | Olivadese Salvatore Bernardo; Gumann Patryk; Gambetta Jay M.; Chow Jerry M. |
11424562 | Press-fit insertion method | Dangler John R.; Braun David J.; Lewis Theron Lee |
11424593 | Reservoir computing system using laser apparatus with fiber feedback and ring resonator | Nakano Daiju; Takeda Seiji; Yamane Toshiyuki |
11424911 | Storage and communication environment for cryptographic tags | Narayanaswami Chandrasekhar; Friedman Daniel Joseph; Hinds Nigel; Narendra Abhilash; Paidimarri Arun; Rayfield James Thomas; Vaculin Roman; Li Zhiyuan |
11424928 | Preventing malformed ciphertext attacks on privacy preserving biometric authentication | Aharoni Ehud; Soceanu Omri; Farkash Ariel; Adir Allon |
11425121 | Generating an evaluation-mask for multi-factor authentication | Moros Ortiz Jorge Andres; de Assis Marques Bruno; Sedai Suman |
11425156 | Dynamic gathering of attack symptoms | Sofer Oded; Paltiel Zamir |
11425196 | Prioritizing data replication packets in cloud environment | Sivakumar Gandhi; Patel Kushal S.; Macura Luke Peter; Patel Sarvesh S. |
11425222 | Dynamically managing data sharing | Calcaterra Jeffrey A.; Flores Romelia H.; Guan Zhi Li; Liu Su |
11425496 | Two-dimensional sound localization with transformation layer | Le Moing Guillaume Jean Victor Marie; Vinayavekhin Phongtharin; Vongkulbhisal Jayakorn; Agravante Don Joven Ravoy; Inoue Tadanobu; Munawar Asim |
11425639 | Trusted method for consuming and sharing Wi-Fi internet access | Baltar Alexandre de Queiroz; Garrido Claudio Einicker; Paraiso Marcos Vinicius Landivar; Netto Marco Aurelio Stelmar; Varga Sergio |
11425841 | Using thermalizing material in an enclosure for cooling quantum computing devices | Hart Sean; Bogorin Daniela Florentina; Bronn Nicholas Torleiv; Gumann Patryk; Olivadese Salvatore Bernardo |
11425845 | Rack housings having an adjustable air volume | Rodriguez Jean-Michel |
11426774 | Dust removal method and system in rollable display device | Karri Venkata Vara Prasad; Rakshit Sarbajit K. |
11426939 | Three dimensional printer | Sacchitella, Jr. Philip N. |
11428699 | Biosensors including surface resonance spectroscopy and semiconductor devices | Feller Bobby E.; Lin Jianqiang; Miller Robert D.; Muralidhar Ramachandran; Ning Tak H.; Zafar Sufi |
11429255 | Impairment-adaptive electronic data interaction system | Dunne Jonathan; French Paul B.; Galvin, Jr. James P.; O'Sullivan Patrick J. |
11429304 | Maintaining a memory replica of a primary computer system | Benke Oliver; Bergmann Tobias Ulrich |
11429352 | Building pre-trained contextual embeddings for programming languages using specialized vocabulary | Pujar Saurabh; Buratti Luca; Morari Alessandro; Laredo Jim Alain; Gliozzo Alfio Massimiliano; Rossiello Gaetano |
11429360 | Computer assisted programming with targeted visual feedback | Agarwal Mayank; Talamadupula Kartik; Weisz Justin David; Houde Stephanie; Martinez Fernando Carlos; Muller Michael; Richards John Thomas; Ross Steven I. |
11429381 | Software application refactoring and modification | Goswami Nisha; Sree Prakash Ashok Pon Kumar |
11429429 | Prefetch chaining for optimizing data read-ahead for workflow and analytics | Sawdon Wayne; Bhagwat Deepavali M. |
11429434 | Elastic execution of machine learning workloads using application based profiling | Fong Liana; Seelam Seetharami R.; Venkataraman Ganesh; Saha Debashish; Oum Punleuk; Verma Archit; Reddy Prabhat Maddikunta |
11429443 | Merging connection pools to form a logical pool of connections during a preset period of time thereby more efficiently utilizing connections in connection pools | Jain Rispna; Ramachandra Anoop Gowda Malaly |
11429472 | Automated cognitive software application error detection | Yuan Zhong Fang; Liu Tong; Zhang Li Ni; Liang Yong Fang; Gao Chen |
11429495 | Data recovery mechanisms in deduplication-enabled storage facilities | Tomkins Dominic; Bartlett Eric John; Mulholland Miles; Dicks Alex |
11429524 | Optimized hierarchical scratchpads for enhanced artificial intelligence accelerator core utilization | Kumar Arvind; Venkataramani Swagath; Chen Ching-Tzu |
11429565 | Terms of service platform using blockchain | Kaguma David W.; Pickover Clifford A.; Vukovic Maja; Weldemariam Komminist |
11429567 | Quick initialization of data regions in a distributed storage system | Hildebrand Dean; Schmuck Frank B. |
11429568 | Global namespace for a hierarchical set of file systems | Bhagwat Deepavali M.; Eshel Marc; Hildebrand Dean; Naik Manoj P.; Sawdon Wayne A.; Schmuck Frank B.; Tewari Renu |
11429574 | Computer system diagnostic log chain | Cheng Quan Q C; Qiu Xiang; Du Zhi Wei; Jiang Peng Hui |
11429578 | Custom tag definition and translation in a database | Saba Raul E.; Brewer Scott; Kishi Gregory T.; Coronado Sara Megan; Olson Drew; Dain Joseph W.; Harris, Jr. Theodore Timothy |
11429579 | Building a word embedding model to capture relational data semantics | Bordawekar Rajesh |
11429581 | Spatial-temporal query for cognitive IoT contexts | Duan Ning; Ma Chun Yang; Tanibayashi Makoto; Wang Zhi Hu; Watanabe Shoichiro; Xia Nan; Zhang Xin; Zhu Jun |
11429590 | Protecting against invalid memory references | Boivie Richard H.; Chen Tong; Buyuktosunoglu Alper; Saileshwar Gururaj |
11429596 | Update for configuration file | Qi Yong Xin; Tian Na; Gu Bang Ling; Liu Tie |
11429611 | Processing data of a database system | Rooney John G.; Garcés Erice Luis; Urbanetz Peter; Bauer Daniel Nikolaus; Froese Florian Hermann |
11429641 | Copying data changes to a target database | Brodt Andreas; Broll Bjoern; Martin Daniel; Mueller Jens P. |
11429651 | Document provenance scoring based on changes between document versions | Avery Kenytt D.; Bader Edward L.; Costecalde Jean-Marc; Nguyen Chi M.; Trinh Kevin N. |
11429652 | Chat management to address queries | Alkan Oznur; Botea Adi I.; Chen Bei; Daly Elizabeth; Mattetti Massimiliano; Vejsbjerg Inge Lise |
11429674 | Processing event messages for data objects to determine data to redact from a database | Dain Joseph W.; Bhosale Nilesh P.; Kishi Gregory T. |
11429676 | Document flagging based on multi-generational complemental secondary data | Kikuchi Hiroaki; Suzuki Yuichi; Fukuda Takashi |
11429688 | Correcting a URL within a REST API call | Gedliczka Tymoteusz; Kania Tomasz; Grzywna Piotr; Pitula Krzysztof; Madej Maciej; Brandys Szymon |
11429699 | Systems and methods for authentication of a user based on free text | Abadi Eden; Hazan Itay |
11429733 | Sharing secret data between multiple containers | Werner Klaus; Lang Jakob C.; Schmidbauer Joerg; Nunez Mencias Angel |
11429736 | Encryption management | Gupta Lokesh Mohan; Borlick Matthew G.; Hack Mark Elliott; Robison Micah |
11429738 | Blockchain endorsement with approximate hash verification | Jayachandran Praveen |
11429789 | Natural language processing and candidate response identification | Boxwell Stephen A.; Frost Keith G.; Brake Kyle M.; Vernier Stanley J. |
11429790 | Automated detection of personal information in free text | Finkelshtein Andrey; Haim Bar; Menahem Eitan |
11429791 | Automated application composer with natural language processing | Marascu Alice-Maria; Jochim Charles A.; Alzate Perez Carlos A.; Marinescu Radu; Wittern John E. |
11429795 | Machine translation integrated with user analysis | Liu Su; Liu Yu; Xu Cheng; Yan Shunguo |
11429816 | Workflow for evaluating quality of artificial intelligence (AI) services using held-out data | Sen Prithviraj; Krishnamurthy Rajasekar; Li Yunyao; Vaithyanathan Shivakumar; Wang Hao; Han Sang Don |
11429839 | Adapting movie storylines | Buesser Beat; Botea Adi I.; Chen Bei; Kishimoto Akihiro |
11429856 | Neural networks adaptive boosting using semi-supervised learning | Hammoud Jamal; Legroux Marc Joel Herve |
11429858 | Deep learning experiment content generation based on single design | Cmielowski Lukasz G.; Bigaj Rafal; Sobala Wojciech; Slowikowski Pawel |
11429867 | Down-sampling for convolutional neural networks | Bonakdar Sakhi Omid |
11429869 | Artificially intelligent interaction agent | Chen Si; Manganaris Stefanos; Stern Patricia R. |
11429871 | Detection of data offloading through instrumentation analysis | Sardino Nicholas P.; Sofia Anthony; St. John Robert W. |
11429873 | System for automatic deduction and use of prediction model structure for a sequential process dataset | Kate Kiran A.; Reddy Chandrasekhara K.; Kalagnanam Jayant R.; Li Zhiguo |
11429874 | Unified cognition for a virtual personal cognitive assistant when cognition is embodied across multiple embodied cognition object instances | Ng Joanna W.; Booch Ernest Grady |
11429876 | Infusing knowledge into natural language processing tasks using graph structures | Bangalore Pavan Kapanipathi; Talamadupula Kartik; Thost Veronika; Patel Siva Sankalp; Abdelaziz Ibrahim; Balakrishnan Avinash; Chang Maria; Fadnis Kshitij; Gunasekara Chulaka; Makni Bassem; Mattei Nicholas; Fokoue-Nkoutche Achille Belly |
11429878 | Cognitive recommendations for data preparation | Saillet Yannick; Oberhofer Martin A.; Seifert Jens P. |
11429899 | Data model processing in machine learning using a reduced set of features | Kartoun Uri; Severson Kristen; Ng Kenney; Myers Paul D.; Dai Wangzhi; Stultz Collin M. |
11429908 | Identifying related messages in a natural language interaction | Rudden Mary; Trim Craig M.; Keen Martin G.; Ganci, Jr. John M.; Cunico Hernan A. |
11429921 | Tracking shipments with a local and remote blockchain | Liu Su; Wilson John D.; Xia Yin |
11429933 | Dynamic meeting agenda modification based on user availability and predicted probability assimilation | Fox Jeremy R.; DeLuca Lisa Seacat; Anders Kelley; Price Dana L. |
11429996 | System and method for generating preferred ameliorative actions using generative adversarial networks | Mazumder Sourav; Kozhaya Joseph N.; Kwatra Shikhar |
11430176 | Generating volume predictions of three-dimensional volumes using slice features | Levanony Dana; Raboh Moshe |
11430281 | Detecting contamination propagation | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Kundu Amitava; Thallam Rajasekhar |
11430426 | Relevant document retrieval to assist agent in real time customer care conversations | Lalithsena Rupaningal Sarasi Sarangi; Mahmud Jalal; Akkiraju Rama Kalyani T. |
11430510 | Multi-level ferroelectric field-effect transistor devices | Gong Nanbo; Ando Takashi; Cohen Guy M. |
11430513 | Non-volatile memory structure and method for low programming voltage for cross bar array | Seo Soon-Cheon; Kim Youngseok; Kong Dexin; Ando Takashi; Miyazoe Hiroyuki |
11430514 | Setting an upper bound on RRAM resistance | Kim Youngseok; Seo Soon-Cheon; Lee Choonghyun; Ok Injo; Reznicek Alexander |
11430524 | Method for designing an initialization function for programming a memory element | Bohnstingl Thomas; Pantazi Angeliki; Wozniak Stanislaw Andrzej; Eleftheriou Evangelos Stavros |
11430651 | Nanosheet transistors with sharp junctions | Cheng Kangguo; Clevenger Lawrence A.; Pranatharthi Haran Balasubramanian S.; Zhang John |
11430660 | Confined work function material for gate-all around transistor devices | Zhang Jingyun; Lee Choonghyun; Ando Takashi; Reznicek Alexander; Hashemi Pouya |
11430690 | Interconnects having air gap spacers | Cheng Kenneth Chun Kuen; Motoyama Koichi; van der Straten Oscar; Maniscalco Joseph F.; Yang Chih-Chao |
11430710 | Lid/heat spreader having targeted flexibility | Li Shidong; Bunt Jay A.; Marston Kenneth C.; Toy Hilton; Zhang Hongqing; Lewison David J. |
11430735 | Barrier removal for conductor in top via integration scheme | Anderson Brent Alan; Lanzillo Nicholas Anthony; Penny Christopher J.; Clevenger Lawrence A.; Choi Kisik; Robison Robert |
11430748 | Inspection and identification to enable secure chip processing | Leobandung Effendi; Haensch Wilfried |
11430831 | Layered hybrid quantum architecture for quantum computing applications | Gumann Patryk; Cross Andrew W.; Hart Sean; Gambetta Jay Michael |
11430864 | VFET device with controllable top spacer | Xu Wenyu; Zhang Chen; Cheng Kangguo; Miao Xin |
11430954 | Resistance drift mitigation in non-volatile memory cell | Adusumilli Praneet; Chandra Anirban; Ando Takashi; Chi Cheng; Vega Reinaldo |
11431380 | Wrap plug attenuation adjustment tool | Werner John S.; Gholami Faezeh; Singer Noah; Torok John; Sciuto Philip A.; Tsfasman Arkadiy O. |
11431484 | Blockchain transaction privacy enhancement through broadcast encryption | Garagiola Andres; Geagan, III John B.; Irazabal Jeronimo; Lopez Guillermo R.; Masini Diego A.; Ponceleon Dulce |
11431593 | Visualization of analysis results of contents | Hasegawa Mihoko; Matsuoka Kensuke; Ono Asako; Wen Lianzi |
11431651 | Dynamic allocation of workload deployment units across a plurality of clouds | Bailey Bret A.; Hand Leonard S. |
11431679 | Emergency communication manager for internet of things technologies | Lin Forest M. |
11431682 | Anonymizing a network using network attributes and entity based access rights | Choudhury Olivia; Karampourniotis Panagiotis; Park Yoonyoung; Sylla Issa; Das Amarendra |
11431709 | Authentication using client login metrics | Nickolai Jason A.; Rossow Jon C. |
11431712 | System and method for personalized passenger auditing in aircrafts | dos Santos Silva Bruno; Silva De Araujo Diogo Tadeu; Meras Sylvain |
11431766 | Setting timers based on processing of group communications using natural language processing | Reynolds Spencer Thomas; Silverstein Zachary A.; Jepperson Jacob Ryan; Fox Jeremy R. |
11431895 | Photography guidance based on crowdsourced photographs | Zacharias Shinoj; Ekambaram Vijay; Marvaniya Smitkumar Narotambhai; Seshadri Padmanabha Venkatagiri |
11431897 | Context-based detachable modular camera system | Ghosh Partho; Rakshit Sarbajit K.; Perumalla Saraswathi Sailaja; Karri Venkata Vara Prasad |
11431950 | Photographic directional light reference for articulating devices | Bisti Jeffrey |
11432306 | Overtaking anticipation and proactive DTCH adjustment | Trim Craig M.; Starks Kimberly Greene; Sivakumar Gandhi; Patel Kushal S.; Patel Sarvesh S. |
11432746 | Method and system for detecting hearing impairment | Arar Raphael I.; Kau Chris; Dunne Jonathan D. |
11432762 | Intelligent monitoring of a health state of a user engaged in operation of a computing device | Lassoued Yassine; Monteil Julien; Zhuk Sergiy |
11432776 | Medical device administration and interaction | Bastide Paul R; Lu Fang; Rajendrababu Ishwarya; Srinivasan Sathyanarayanan |
11435426 | Current measurement in power-gated microprocessors | Perez Miguel E.; Sperling Michael; Floyd Michael; Bulzacchelli John Francis |
11435902 | System, method and computer program product for instantiating blocks of a solid-state disk to include different flash characteristics | Maghraoui Kaoutar El; Franke Hubertus; Hunter Hillery C.; Kandiraju Gokul Bhargava; Penner Hartmut Erhard |
11436009 | Performing composable transactions in a dispersed storage network | Dhuse Greg R. |
11436013 | Method and system for detection of thread stall | Bajaj Omesh; Barnett Kevin; Chatterjee Debapriya; Cockcroft Bryant; Hawkins Jamory; Hehenberger Lance G.; Kellington Jeffrey; Lecocq Paul; Leitner Lawrence; Pindicura Tharunachalam; Schumann John A.; Umbarger Paul K.; Yokum Karen |
11436033 | Scalable virtual memory metadata management | Jaloma Jaime; Rogers Mark |
11436043 | Operating system code patching during live migration | Veale Brian Frank; Casas, Jr. Juan M.; Olson Caleb Russell; Liem Amanda |
11436058 | Workload balancing to achieve a global workload balance | Clayton Nicolas M.; Fan Shan; Gong Yongjie; Liu Yang; Zhou Xue Qiang |
11436117 | Context aware dynamic relative positioning of fog nodes in a fog computing ecosystem | Grant Robert Huntington; Silverstein Zachary A.; Fox Jeremy R.; Rakshit Sarbajit K. |
11436129 | System, method and recording medium for generating mobile test sequences | Liu Peng; Pistoia Marco |
11436132 | Stress test impact isolation and mapping | Hicks Andrew C. M.; Rawlins Ryan Thomas; Blue Dale E.; Snyder Jacob Thomas |
11436158 | Fine-grained forced cache eviction | Gugnani Shashank; Guthridge D Scott; Schmuck Frank; Anderson Owen T.; Bhagwat Deepavali M. |
11436159 | Using multi-tiered cache to satisfy input/output requests | Peterson Beth Ann; Fung Chung Man; Gupta Lokesh Mohan; Anderson Kyler A. |
11436189 | Performance- and cost-efficient archiving of small objects | Ngo Khanh V.; Sarafijanovic Slavisa; Mueller-Wicke Dominic; Lorenz Simon; Seipp Harald; Ishimoto Takeshi |
11436214 | Preventing search fraud | Kussmaul Timo; Blum Daniel; Brennenstuhl Kai; Steinheber Thomas |
11436237 | Ranking datasets based on data attributes | Sodhi Manjit Singh; Kannan Kalapriya; Iyengar Poornima |
11436243 | Data harvester | Kumar Ashwin; Shah Ankur Bharatkumar; Gore Atul; Gangadhar Jayanth; Venkatanarasaiah Vivek |
11436249 | Transformation of composite tables into structured database content | Zhang Xue Lan; Wang Hai Cheng; Zhang Jing; Zhao Jun Hong; Yi Ang; Li Dong Rui |
11436267 | Contextually sensitive document summarization based on long short-term memory networks | Tagra Ankur; Kalangumvathakkal Vijay; Kairali Sudheesh S. |
11436287 | Computerized grouping of news articles by activity and associated phase of focus | Muthuswamy Srinivasan S.; Sarkar Ratul; Kumar Singh Ankit; Das Subhendu |
11436288 | Query performance prediction for multifield document retrieval | Mass Yosi; Roitman Haggai; Feigenblat Guy; Shraga Roee |
11436302 | Electronic system for computing items of an outer product matrix | Joshi Vinay Manikrao; Sebastian Abu; Le Gallo-Bourdeau Manuel; Boybat Kara Irem; Piveteau Christophe |
11436356 | Unified file and object storage architecture for clustered file systems | Eda Sasikanth; Hildebrand Dean; Mate Ashutosh V.; Mittal Varun; Owen William W.; Patil Sandeep R.; Raut Smita J. |
11436415 | Message sentiment based alert | DeLuca Lisa Seacat; Greenberger Jeremy A.; Greenberger Zachary M. |
11436446 | Image analysis enhanced related item decision | Deluca Lisa Seacat; Greenberger Jeremy A. |
11436479 | System and method for transfer of analog synaptic weight information onto neuromorphic arrays with non-ideal non-volatile memory device | Narayanan Pritish; Burr Geoffrey W |
11436480 | Reservoir and reservoir computing system | Takeda Seiji; Nakano Daiju; Yamane Toshiyuki; Heroux Jean Benoit |
11436487 | Joint embedding of corpus pairs for domain mapping | Jagmohan Ashish; Khabiri Elham; Segal Richard B.; Vaculin Roman |
11436505 | Data curation for corpus enrichment | Canada Tracy; Dewan Jim |
11436507 | Normalizing weights of weighted tree leaf nodes | Chen Yu-Ching; Wang Tuo; Wang Ziyue Jason; Aronovich Lior |
11436508 | Contextual hashtag generator | Cintas Celia; Khan Naweed Aghmad; Weldemariam Komminist |
11436528 | Intent classification distribution calibration | Wang Haoyu; Tan Ming; Wang Dakuo; Gan Chuang; Potdar Saloni |
11436712 | Predicting and correcting vegetation state | Albrecht Conrad M.; Hamann Hendrik F.; Klein Levente; Lu Siyuan; Pankanti Sharathchandra; Zhou Wang |
11436713 | Application error analysis from screenshot | Segal Benjamin P.; Murdock, IV James William; De Radha Mohan; Roy Sujoy; Prager John Martin |
11436724 | Lesion detection artificial intelligence pipeline computing system | Palma Giovanni John Jacques; Esquinas Fernandez Pedro Luis; Dufort Paul; Binder Thomas; Sitek Arkadiusz; Levanony Dana; Wang Yi-Qing; Bonakdar Sakhi Omid |
11436761 | Preventing feature loss when compressing images | Broyelle Jean-Armand; Fiammante Marc |
11436771 | Graph-based color description generation | Loyola Heufemann Pablo Salvador; Vongkulbhisal Jayakorn |
11436810 | Selectively pausing physical movement in a virtual environment | Jenkins Jana H.; Nambiar Vidya; Mandapati Pratyusha; Rakshit Sarbajit K. |
11436819 | Consolidation and history recording of a physical display board using an online task management system | Blue George; Klinger Doina L.; Quaggin-Mitchell Rebecca |
11437017 | Embodied negotiation agent and platform | Kephart Jeffrey Owen; Su Hui; Gatti de Bayser Maira; Guerra Melina de Vasconcelos Alberio; Divekar Rahul; Peveler Matthew; Mou Xiangyang; Chen Lisha |
11437038 | Recognition and restructuring of previously presented materials | Jepperson Jacob Ryan; Silverstein Zachary A.; Reynolds Spencer Thomas; Fox Jeremy R. |
11437062 | Reducing time to reposition tape to write index | Miyamura Tsuyoshi; Hasegawa Tohru; Yamamoto Noriko; Abe Atsushi; Itagaki Hiroshi; Mitsuma Shinsuke |
11437070 | Repositioning using cut and paste segments | Miyamura Tsuyoshi; Masuda Setsuko; Nishida Mitsuhiro; Sawada Tatsuki |
11437083 | Two-bit magnetoresistive random-access memory device architecture | Dutta Ashim; Evarts Eric Raymond |
11437102 | Memory erasure using proximity heaters | Cohen Guy M.; Ando Takashi; Gong Nanbo |
11437312 | High performance metal insulator metal capacitor | Liang Jim Shih-Chun; Lustig Naftali E; Ogino Atsushi; Jing Nan |
11437317 | Single-mask alternating line deposition | Anderson Brent Alan; Clevenger Lawrence A.; Penny Christopher J.; Choi Kisik; Lanzillo Nicholas Anthony; Robison Robert |
11437489 | Techniques for forming replacement metal gate for VFET | Xie Ruilong; Wu Heng; Park Chanro; Cheng Kangguo |
11437502 | III-V lateral bipolar junction transistor on local facetted buried oxide layer | Hashemi Pouya; Khojasteh Mahmoud; Ning Tak H.; Reznicek Alexander |
11437571 | Integration of selector on confined phase change memory | Kim Wanki; Carta Fabio; Lam Chung H.; Bruce Robert L. |
11437614 | Energy storage device containing a pre-lithiated silicon based anode and a carbon nanotube based cathode | Collins John; Afzali-Ardakani Ali; de Souza Joel P.; Sadana Devendra K. |
11437656 | Optimizing performance of a data center battery system | Tiwari Awadesh; Mahindru Ruchi; Kowta Ramshanker; Wahlmeier Kevin |
11438381 | Identifying security profiles associated with access protocols of secondary storage | Punadikar Sachin C.; Eda Sasikanth; Mani Anbazhagan; Agarwal Chhavi; Ravindar Archana |
11438454 | Authentication and authorization via vocal track frequency channel | Gruhl Daniel; Alba Alfredo; Kato Linda Ha; DeLuca Chad Eric; Gentile Anna Lisa; Ristoski Petar; Welch Steven R. |
11439015 | Surface mount device placement to control a signal path in a printed circuit board | Berge Layne A.; Dahlen Paul E.; Rosno Pat; Schmerbeck Timothy; Schoneck Kyle |
11439043 | Multi-device cooling structure having assembly alignment features | Tian Shurong; Takken Todd E.; McAuliffe Liam |
11439047 | Server racks for hot aisle—cold aisle server rooms | Holyoake Colin Ian; Gray Maurice Francis; Bettle-Shaffer Michael James |
11439338 | Three-dimensional silicon-based comb probe | Yu Roy R.; Kinser Emily R.; Deligianni Hariklia |
11439870 | Equipment use tracking and availability prediction | Werner John S.; Green Byron S.; Tsfasman Arkadiy O. |
11440002 | Microfluidic chips with one or more vias filled with sacrificial plugs | Smith Joshua T.; Bruce Robert; Patel Jyotica V.; Wunsch Benjamin |
11440719 | Tamper indicator for self-adjusting shipping box | Khambati Suraush; Notohardjono Budy; Ecker Richard M.; Canfield Shawn |
11440927 | Hydroxyapatite janus particles | Czaplewski-Campbell Sarah; Wertz Jason T.; Kobilka Brandon M.; Campbell Eric |
11441935 | Flow rate determination based on limited observations | Liu Frank; Yu Cheng-Wei; Moriarty Vincent |
11442100 | Signal detection and monitoring | Wang Rui W W; Xu Jing Wen; Ji Zi Jian; Li He; Li Ya Dong; Zhang Jian |
11442184 | Infrasonic detection using a parallel dipole line trap | Elmegreen Bruce Gordon; Gunawan Oki; Zhou Wang |
11442278 | Contextual peripheral segmentation | Patnaikuni Subha Kiran; Rakshit Sarbajit K. |
11442442 | Sensor event coverage and energy conservation | Rakshit Sarbajit K.; Wilson John D.; Fox Jeremy R.; Kwatra Shikhar |
11442457 | Navigation via predictive task scheduling interruption for autonomous vehicles | Kwatra Shikhar; Pinel Florian; Fox Jeremy R.; Marzorati Mauro |
11442627 | Data compression utilizing low-ratio compression and delayed high-ratio compression | Kawase Ryohta; Tosaka Eiji; Kawamura Kousei; Masuda Koichi; Mitsuma Shinsuke |
11442629 | I/O performance in a storage system | Tripathi Sanjay; Patel Kushal S.; Salvi Rohan Mohan; Patel Sarvesh S. |
11442649 | Migrating data from a large extent pool to a small extent pool | Zhang Hui; Hardy Clint A.; Nielsen Karl A.; Kalos Matthew J.; Xie Qiang |
11442653 | Fee-based provisioning of supplemental drives in automated data storage libraries | Jesionowski Leonard G.; Goodman Brian G.; Peipelman Jason L.; Hill, Jr. Ronald F. |
11442659 | Reading sequentially stored files based on read ahead groups | Miyamura Tsuyoshi; Itagaki Hiroshi; Mitsuma Shinsuke; Hasegawa Tohru; Yamamoto Noriko; Matsui Sosuke |
11442692 | Acoustic workflow system distribution | B Dinesh Kumar; Rakshit Sarbajit K. |
11442724 | Pattern recognition | Jia Zhi Peng; Yang Xiang Yu; Cao Zhi; Kong De Shuo; Wu Jing; He Rong Fu |
11442726 | Vector pack and unpack instructions | Schwarz Eric Mark; Slegel Timothy; Bradbury Jonathan D.; Klein Michael; Copeland Reid; Guo Xin |
11442781 | Master image for deploying workloads in a heterogeneous computing environment | McBrearty Gerald Francis |
11442816 | Performance of backup operations in an order determined using service-metadata associated with backup requests | Muehge Thorsten; Rueger Erik; Inal Mehmet-Zafer; Gulbadamov Boris; Krick Frank |
11442823 | Transaction consistency query support for replicated data from recovery log to external data stores | Bourbonnais Serge; D'Costa Austin F. M.; Lau Yat On; Li Xiao; Min Hong; Su Gong; Wierenga Jonathan W.; Zentgraf Christian; Zhang Kan |
11442826 | Reducing incidents of data loss in raid arrays having the same raid level | Gupta Lokesh M.; Borlick Matthew G.; Nielsen Karl A.; Hardy Clint A.; Rinaldi Brian A. |
11442829 | Packeted protocol device test system | King Ryan Patrick; Mcilvain Kevin M.; Van Huben Gary A. |
11442839 | Runtime metrics based test ordering | Hicks Andrew C. M.; Rawlins Ryan Thomas; Furman Deborah A. |
11442900 | Sharing resources among remote repositories utilizing a lock file in a shared file system or a node graph in a peer-to-peer system | Gower Barry P.; Hamann Larry R.; Myers Andrew S.; Peterson Seth R.; Srinivas Davanum M.; Woods Donald R. |
11442977 | Augmenting search queries based on personalized association patterns | Bostick James E.; Ganci, Jr. John M.; Rakshit Sarbajit K.; Trim Craig M. |
11442986 | Graph convolutional networks for video grounding | Gan Chuang; Liu Sijia; Das Subhro; Wang Dakuo; Zhang Yang |
11442991 | Using natural language to control structured web page data | Kephart Jeffrey Owen; Su Hui; Peveler Matthew |
11443008 | Advanced web page content management | Weldemariam Komminist; Kwatra Shikhar; Wilson John D.; Gritzman Ashley D.; Nyakeya Tonya |
11443026 | Synchronizing data across multiple instances of an application in a cloud | Justin Josephine E.; Nanduri Srinivasan |
11443037 | Identification of invalid requests | Dürr Thomas; Smolny Martin; Beck Michael; Rapp Sandra |
11443040 | Secure execution guest owner environmental controls | Buendgen Reinhard T.; Bradbury Jonathan D.; Heller Lisa Cranton |
11443044 | Targeted very long delay for increasing speculative execution progression | Hendrickson Olaf Knute; Mullen Michael P; Pardini Matthew Michael Garcia |
11443056 | File access restrictions enforcement | Gkoulalas-Divanis Aris; Allen Corville O. |
11443069 | Root cause analysis of vulnerability of neural networks to adversarial examples | Liu Sijia; Fan Quanfu; Zhang Gaoyuan; Gan Chuang |
11443101 | Flexible pseudo-parsing of dense semi-structured text | Ackermann Christopher F.; Beller Charles E.; Drzewucki Michael |
11443106 | Intelligent normalization and de-normalization of tables for multiple processing scenarios | Christianson Kyle G.; Nadim Hassan; Allen Joshua S.; Freed Andrew R. |
11443112 | Outcome of a natural language interaction | Brunn Jonathan F.; Dickens Rachael Marie Huston; Zhang Rui |
11443115 | Machine learning from tone analysis in online customer service | Yin Peifeng; Liu Zhe; Xu Anbang; Nakamura Taiga |
11443117 | Contextual question answering using human chat logs | Yu Yang; Tan Ming; Lin Shasha; Potdar Saloni |
11443119 | Adapting dialog models by relevance value for concepts to complete a task | Feng Song; Liao Qingzi; Lastras-Montano Luis A.; Farrell Robert G.; Smith Ana |
11443130 | Making a failure scenario using adversarial reinforcement learning background | Wachi Akifumi |
11443132 | Continuously improve recognition or prediction accuracy using a machine learning model to train and manage an edge application | Yellin Daniel M.; Dhanakshirur Girish |
11443139 | Contextual safety assessment, recommendations, provisioning and monitoring | Molapo Maletsabisa; Moodley Chane Simone; Makhanya Sibusisiwe Audrey; Mapiye Darlington Shingirirai |
11443141 | Using video tracking technology to create machine learning datasets for tasks | Eyster Justin; Chakra Al; Jhavar Aniruddh; Morrison Patrick; Rajpal Gagandeep |
11443143 | Unattended object detection using machine learning | Yan Rong; Zhang Shi Lei; Wang Junsong; Sun Ke Wei |
11443169 | Adaptation of model for recognition processing | Kurata Gakuto |
11443171 | Pulse generation for updating crossbar arrays | Kim Seyoung; Onen Oguzhan Murat; Gokmen Tayfun; Rasch Malte Johannes |
11443176 | Acceleration of convolutional neural networks on analog arrays | Rasch Malte; Gokmen Tayfun; Rigotti Mattia; Haensch Wilfried |
11443182 | Privacy enhancing deep learning cloud service using a trusted execution environment | Gu Zhongshu; Huang Heqing; Zhang Jialong; Su Dong; Pendarakis Dimitrios; Molloy Ian M. |
11443196 | Unified cognition for a virtual personal cognitive assistant when cognition is embodied across multiple embodied cognition object instances | Ng Joanna W.; Booch Ernest Grady |
11443208 | Assessment of inconsistent statements to a recipient group | Thatcher Sean Thomas; Katz Edward Graham; Beller Charles E.; Riendeau John A. |
11443209 | Method and system for unlabeled data selection using failed case analysis | Mahmud Jalal; Misra Amita; Gundecha Pritam; Liu Zhe; Akkiraju Rama Kalyani T.; Liu Xiaotong; Xu Anbang |
11443211 | Extracting important sentences from documents to answer hypothesis that include causes and consequences | Ishikawa Miki; Yoshihama Sachiko; Yoshida Issei; Kamijoh Kohichi |
11443212 | Learning policy explanations | Kishimoto Akihiro; Marinescu Radu; Kotoulas Spyros |
11443213 | System and method for approximate reasoning using ontologies and unstructured data | Karanam Hima Prasad; Mohamed Shajith Ikbal; Bhatia Sumit; Neelam Sumit; Subramaniam L. Venkata; Sharma Udit |
11443215 | Intelligent recommendation of convenient event opportunities | Bettencourt-Silva Joao H.; Brisimi Theodora; Sbodio Marco Luca; Mulligan Natalia |
11443216 | Corpus gap probability modeling | McCawley Michael; Valido Adriana; Loredo Robert E. |
11443222 | Cross-resonance fan-out for efficiency and hardware reduction | Chow Jerry M.; Corcoles-Gonzalez Antonio D.; Gambetta Jay M. |
11443223 | Transmon qubit flip-chip structures for quantum computing devices | Chow Jerry M.; Rosenblatt Sami |
11443226 | Training a machine learning model in a distributed privacy-preserving environment | Chu Stephen M.; Gong Min; Hu Guo Qiang; Li Dong Sheng; Wu Liang; Yan Jun Chi |
11443227 | System and method for cognitive multilingual speech training and recognition | Javali Praveen |
11443228 | Job merging for machine and deep learning hyperparameter tuning | Liu Junfeng; Feng Kuan; Su Zhichao; Zhao Yi |
11443235 | Identifying optimal weights to improve prediction accuracy in machine learning techniques | Xu Jing; Han Si Er; Barbee Steven George; Zhang Xue Ying; Yang Ji Hui |
11443236 | Enhancing fairness in transfer learning for machine learning models with missing protected attributes in source or target domains | Natesan Ramamurthy Karthikeyan; Coston Amanda; Wei Dennis; Varshney Kush Raj; Speakman Skyler; Mustahsan Zairah; Chakraborty Supriyo |
11443242 | Iterative training of a machine learning model | Velizhev Alexander; Rufli Martin; Kaestner Ralf |
11443269 | Real-time parcel delivery management in an internet of things (IoT) computing environment | Hodges Brent; Moore, Jr. John E.; Boss Gregory J. |
11443285 | Artificial intelligence enabled scheduler and planner | Nasir Quaid Johar |
11443326 | Geo-location compliance | Ponceleon Dulce B.; Gaur Nitin |
11443330 | Product preference and trend analysis for gatherings of individuals at an event | Bheemasena Rao Narasimha Murthy Jayasimha; Mazzoleni Pietro |
11443343 | Removal of irrelevant electronic messages | Deluca Lisa Seacat; Greenberger Jeremy A.; Kozloski James R. |
11443345 | Application modification using software services | Ekambaram Vijay; Williamson Leigh; Snook Roger; Zacharias Shinoj |
11443384 | Intelligent policy covery gap discovery and policy coverage optimization | Brisimi Theodora; Lopez Garcia Vanessa; Rho Valentina; Segrave-Daly John |
11443394 | Blockchain based building action management | Trim Craig M.; Nassar Ahmed; Povar Victor; Rakshit Sarbajit K.; Hennessy Shawn D. |
11443645 | Education reward system and method | Kozloski James R.; Kwatra Shikhar; Mannan Rosanna S.; Ray Emily A. |
11443654 | Dynamic injection of medical training scenarios based on patient similarity cohort identification | Grant Robert H.; Koiloth Maruthi P.; Ruiz Daniel; Silverstein Zachary |
11443730 | Initiating synthesized speech output from a voice-controlled device | Guo Shang Qing; Lenchner Jonathan |
11443748 | Metric learning of speaker diarization | Suzuki Masayuki |
11443758 | Anomalous sound detection with timbre separation | Morikuni Shu; Tatsubori Michiaki; Vinayavekhin Phongtharin; Tachibana Ryuki; Inoue Tadanobu |
11443837 | Generation of test data for a data platform | Yasumoto Chikafumi; Oishi Yutaka; Ohtani Munetaka; Zhu Jiayun; Maeda Kumiko; Tahara Yoshinori |
11443843 | Personal customized guidance for treating patients | Govindjee Anita; Liu Kai; Liu Su; Ravi Manjunath |
11443854 | Identifying potential medicinal interactions for online clinical trial study groups | Sailer Anca; Julier Kevin Paul; Mohindra Ajay; Rahman Mujeebur |
11443982 | Formation of trench silicide source or drain contacts without gate damage | Greene Andrew; Xie Ruilong; Economikos Laertis; Basker Veeraraghavan S.; Park Chanro; Zang Hui |
11444029 | Back-end-of-line interconnect structures with varying aspect ratios | Bhosale Prasad; Lanzillo Nicholas Anthony; Rizzolo Michael; Yang Chih-Chao |
11444083 | Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths | Cheng Kangguo; Li Juntao; Xu Peng |
11444165 | Asymmetric threshold voltages in semiconductor devices | Ando Takashi; Reznicek Alexander; Zhang Jingyun; Lee Choonghyun; Hashemi Pouya |
11444185 | III-V lateral bipolar junction transistor on local facetted buried oxide layer | Hashemi Pouya; Khojasteh Mahmoud; Ning Tak H.; Reznicek Alexander |
11444207 | Lithium drifted thin film transistors for neuromorphic computing | Khan Babar; Li Ning; Kumar Arvind; Lee Yun Seog; de Souza Joel P.; Sadana Devendra K. |
11444215 | Double layered transparent conductive oxide for reduced Schottky barrier in photovoltaic devices | Chen Shun-Ming; Huang Chien-Chih; Desouza Joel P.; Hong Augustin J.; Kim Jeehwan; Ku Chien-Yeh; Sadana Devendra K.; Wang Chuan-Wen |
11444238 | Scalable heat sink and magnetic shielding for high density MRAM arrays | Frougier Julien; Xie Ruilong; Wu Heng; Zhang Chen; Doris Bruce B. |
11444702 | Transmitter identification based on machine learning | Sadhu Bodhisatwa; Chakraborty Supriyo |
11444790 | Dynamic exclusion of RDMA-based shared memory communication based on performance-related data | Herr David Anthony; Kunkel Randall Todd; Stevens Jerry |
11444843 | Simulating a system of computing systems | Otte Carsten; Drache Georg; von Buttlar Joachim; Mehler Jens; Stork Sebastian |
11444905 | Predicting recipient communication patterns and availability | Murayama Tomoko; Ishikawa Takuya; Sugiyama Yuji |
11444923 | Runtime detection of database protocol metadata anomalies in database client connections | Rodniansky Leonid; Harel Shay; Butovsky Tania; Maniatis Peter |
11444962 | Detection of and defense against password spraying attacks | Crume Jeffery Lake |
11445009 | Managing proprietary structured objects | Ji Mo; Jiang Peng Hui; Huang Da L.; Wang Li Na Nn; Song Kun; Mo Ya Nan; Zhang Feng; Zhu Li Jun Bj |
11445017 | Maintaining user data and metadata consistency in an asynchronous replication environment | Suarez Jeffrey R.; Shehab Nadim P. |
11445042 | Correlating multiple media sources for personalized media content | Kwatra Shikhar; Banipal Indervir Singh; Fox Jeremy R.; Rakshit Sarbajit K. |
11445057 | Private contact sharing | Ridgill, II Stephen Paul; Silva Ashley Kristin; Mandhare Aditya; Rendahl Randy A. |
11445108 | Turn direction guidance of an endoscopic device | Ben Hassen Saniya; Yvon Marc P.; Herve Anthony; Beaujard Christel |
11445369 | System and method for credential generation for wireless infrastructure and security | Kwatra Shikhar; Griffin Adam Lee; Tummalapenta Srinivas Babu; Gan Seng Chai; Ngo HuyAnh Dinh |
11445650 | Localized rework using liquid media soldering | Lewis Theron Lee; Bennett Jennifer I.; Bielick James D.; Braun David J.; Dangler John R.; Hugo Stephen Michael; Jennings Timothy; Younger Timothy P. |
11446875 | Devising a self-movement path for at least one printing device | Rakshit Sarbajit K. |
11447507 | Sulfur scavenging materials | Boday Dylan J.; Garcia Jeannette M.; Hedrick James L.; Wojtecki Rudy J. |
11448493 | Calibration of writer offset using media dimensional stability | Judd Kevin B.; Inch Randy C.; Swanson David L. |
11448614 | Nanoscale granularity field effect transistor array | Zafar Sufi; Holmes Steven J.; Doris Bruce B. |
11449083 | Evaluating enhanced oil recovery methods | Engel Michael; Neumann Barros Ferreira Rodrigo; Giro Ronaldo; Steiner Mathias B |
11449158 | Interactive, touch-sensitive user interface device | James Dwayne R.; O'Farrell William G.; Ross Alexander S. |
11449367 | Functional completion when retrying a non-interruptible instruction in a bi-modal execution environment | Klein Matthias; Weishaupt Simon; Sofia Anthony Thomas; Bradbury Jonathan D.; Farrell Mark S.; Amin Mahmoud; Slegel Timothy |
11449397 | Cache array macro micro-masking | Fredeman Gregory J.; Gilda Glenn David; Miller Thomas E.; O'Neill Arthur |
11449424 | Server recovery from a change in storage control chip | Steinmacher-Burow Burkhard |
11449465 | Fixed chunk size deduplication with variable-size chunking | Yin Wen Bao; Feng Yicheng; Zhang You Miao; Li Ao Qiong; Zhao Shan Shan; Li Wei; Wang Kun Peng |
11449476 | Extracting data from a blockchain network | Oberhofer Martin; Mentzel Florian; Pham The Hien; Thevarajah Thishanth |
11449487 | Efficient indexing of columns with inappropriate data types in relational databases | Beier Felix; Stolze Knut; Geiselhart Reinhold; Oliveira Lizardo Luis Eduardo |
11449489 | Split transaction coherency protocol in a data processing system | Drerup Bernard C.; Guthrie Guy L.; Siegel Michael S.; Stuecheli Jeffrey A. |
11449516 | Ranking of documents belonging to different domains based on comparison of descriptors thereof | Marinucci Francesco; Bucossi Aldo; Santucci Lucia; Rogante Matteo; Savorana Luigi Alessandro |
11449585 | Dynamic management of user identifications | Lindeman James Arthur; Soroker Danny; Frankel Lisa |
11449674 | Utility-preserving text de-identification with privacy guarantees | Gkoulalas-Divanis Aris; Bastide Paul R.; Wang Xu; Ranchal Rohit |
11449677 | Cognitive hierarchical content distribution | Castelli Vittorio; Kwatra Shikhar; Pinel Florian; Pandit Sushain |
11449683 | Disentanglement of chat utterances | Silverstein Zachary A.; Anders Kelley; Dunne Jonathan D.; Harpur Liam S. |
11449704 | Searching in multilevel clustered vector-based data | Seth Abhishek; Sharma Devbrat; Singh Kanyal Mahendra; Majeed Ameen Muhammed Abdul; Naganna Soma Shekar |
11449716 | Model training using partially-annotated images | Wang Hongzhi; Syeda-Mahmood Tanveer Fathima; Francis John Paul |
11449731 | Update of attenuation coefficient for a model corresponding to time-series input data | Osogami Takayuki |
11449765 | Mass estimation of prepared food products | Goyal Munish |
11449769 | Cognitive analytics for graphical legacy documents | Kanamatareddy Ravi Kumar Reddy; Rajesh Gottumukkala Venkata Kalyan; Das Souvik |
11449772 | Predicting operational status of system | Pan Tian Ming; Tian Peng Fei; Zhu Bo Chen; Hu Yan Bin; Ma Ren Fu |
11449783 | Trivalent lattice scheme to identify flag qubit outcomes | Chamberland Christopher; Yoder Theodore James; Cross Andrew W.; Zhu Guanyu |
11449811 | Digital twin article recommendation consultation | Berti Joseph G.; DeLuca Lisa Seacat |
11449927 | Device and method for implementing a vehicle sharing reward program | Chen Chun-Yen; Chen Jian-Ren; Huang Su-Chen; Lin June-Ray |
11449951 | Intelligent secure automation of claim preemptive subrogation | Allen Ira L.; Martine Michael Jack; Daley Stan Kevin; Carter Mark; Green Sarah Diane |
11449986 | Enhancing medical imaging workflows using artificial intelligence | Bronkalla Mark D.; Covell Grant; Long Amanda; Richmond David |
11450111 | Deterministic learning video scene detection | Rotman Daniel Nechemia; Ben-Ari Rami; Barzelay Udi |
11450237 | Dynamic injection of medical training scenarios based on patient similarity cohort identification | Grant Robert H.; Koiloth Maruthi P.; Ruiz Daniel; Silverstein Zachary |
11450659 | On-chip decoupling capacitor | Reznicek Alexander; Xie Ruilong; Zhang Jingyun; Yu Lan |
11451373 | Dynamic management of user identifications | Lindeman James Arthur; Soroker Danny; Frankel Lisa |
11451656 | Intelligent notification mode switching in user equipment | Kwatra Shikhar; Rakshit Sarbajit K.; Weldemariam Komminist; Krystek Paul |
11451694 | Mitigation of obstacles while capturing media content | Decrop Clement; Agrawal Tushar; Fox Jeremy R.; Rakshit Sarbajit K. |
11452441 | System and method for training a lazy eye | Akinwande Victor Abayomi; Wachira Charles Muchiri; Ogallo William; Walcott Aisha |
11452446 | Ophthalmoscope using natural pupil dilation | Karargyris Alexandros; Zimmerman Thomas G. |
11452805 | Air bubble removal from extracorporeal blood via chemical entrapment of nitrogen | Czaplewski-Campbell Sarah K.; Kuczynski Joseph; Wertz Jason T.; Zhang Jing |
11452940 | Real-world activity simulation augmentation with real-world data of the activity | Baughman Aaron K.; Kwatra Shikhar; Rudden Mary; Cannon Gray Franklin |
11453001 | Microfluidic chips with integrated electronic sensors | Zafar Sufi; Temiz Yuksel; Delamarche Emmanuel |
11453163 | Additive manufacturing with magnetic manipulation | Rakshit Sarbajit K. |
11453911 | DNA sequencing with stacked nanopores | Bi Zhenxing; Cheng Kangguo; Li Juntao; Miao Xin |
11454047 | Constructing physical keys by way of digital keys | Valecha Vinod A.; Bansal Rinkesh I.; Panchal Sanjay B.; Thaker Chintan |
11454509 | Alert system for environmental changes | Bastide Paul R.; Madan Piyush; Gopalakrishnan Vishrawas; Lu Fang |
11455003 | Validation of clock to provide security for time locked data | Borlick Matthew G.; Gupta Lokesh M. |
11455100 | Handling data slice revisions in a dispersed storage network | Baptist Andrew D.; Motwani Manish; Viraraghavan Praveen; Volvovski Ilya |
11455110 | Data deduplication | Sasson Ben; Cashman Paul Nicholas; Tomkins Dominic; Rostagni Florent C. |
11455121 | Selecting data nodes for WAN caching in a hybrid cloud environment | Jain Abhishek; Eda Sasikanth; Patil Sandeep Ramesh; Palled Anil Laxman |
11455142 | Ultra-low precision floating-point fused multiply-accumulate unit | Agrawal Ankur; Mueller Silvia; Gopalakrishnan Kailash; Fleischer Bruce; Sinharoy Balaram; Kang Mingu |
11455148 | Software programming assistant | Trim Craig M.; Kwatra Shikhar; Banipal Indervir Singh; Cannon Gray Franklin |
11455154 | Vector-based identification of software dependency relationships | Hicks Andrew C. M.; Gildein Michael E.; FitzGerald Daniel John; Brooker Christopher G. |
11455183 | Adjusting virtual machine migration plans based on alert conditions related to future migrations | Dow Eli M.; Gilchrist James P.; Schmidt Steven K.; Stocker, IV Charles J. |
11455191 | Parallel task initialization on dynamic compute resources | Miller Scott; Lauria Austen William; Sharkawi Sameh Sherif; LePera William P. |
11455195 | Workload-based cognitive dynamic computing system optimization | Park Dongkook; Cooke Matthew A. |
11455197 | Optimizing tail latency via workload and resource redundancy in cloud | Birke Robert; Bjoerkqvist Mathias; Chen Yiyu L.; Schmatz Martin L. |
11455202 | Real-time fault localization detection and notification | Agarwal Shivali; Akkiraju Rama Kalyani T. |
11455207 | Using flag qubits for fault-tolerant implementations of topological codes with reduced frequency collisions | Chamberland Christopher; Zhu Guanyu; Yoder Theodore James; Cross Andrew W. |
11455217 | Transaction consistency query support for replicated data from recovery log to external data stores | Bourbonnais Serge; D'Costa Austin F. M.; Lau Yat On; Li Xiao; Min Hong; Su Gong; Wierenga Jonathan W.; Zentgraf Christian; Zhang Kan |
11455223 | Using system errors and manufacturer defects in system components causing the system errors to determine a quality assessment value for the components | Nagy Alexandru; Arciszewski Kellie; Wong Jimmy Y.; Stuenkel Dean W. |
11455230 | Event specific log file generation | Renner Stefan; Hoerst Christian Wilhelm Paul; Kern Johannes; Schoeck Eberhard; Beier Felix |
11455236 | Automatically generating datasets by processing collaboration forums using artificial intelligence techniques | Aggarwal Pooja; Liu Zhe; Mohapatra Prateeti |
11455264 | Minimizing delay while migrating direct memory access (DMA) mapped pages | Jaloma Jaime; Rogers Mark; Flores Arnold; Batra Gaurav |
11455279 | Distributed data deduplication reference counting | Porat-Stoler Asaf; Shatsky Yosef; Bab-Dinitz Avraham; Haklay Omer |
11455309 | Partition key adjustment based on query workload | Zhang Hong Mei; Li Shuo; Wang Xiaobo; Sun ShengYan |
11455321 | Deep data classification using governance and machine learning | Neerju Neerju; Kashalikar Kunjavihari Madhav; Kabra Namit; Maddirala Likhitha |
11455322 | Classification of time series data | Yang Xiang Yu; Luo Deng Xin; Du Jing; Yuan Zhong Fang; Liu Tong; Lu Li Jia |
11455329 | Web-sourced device control | Watanabe Kenta; Ishikawa Shunsuke; Ono Asako; Uetsuki Hiroaki; Tominaga Yasuyuki; Hasegawa Tohru; Yoshida Issei |
11455335 | Image retrieval using interactive natural language dialog | Wu Hui; Feris Rogerio S.; Cheng Yu; Guo Xiaoxiao |
11455337 | Preventing biased queries by using a dictionary of cause and effect terms | Harbison Aidan; Hartenbaum Alissa J. |
11455346 | Advanced search and document retrieval for development and verification system prototypes | McCain Edward C.; Dartey Ronald Asomah; Schroeder Dominic; Slegel Craig; Phillips Kyle; Owolabi Adeoye O. |
11455364 | Clustering web page addresses for website analysis | Finkelshtein Andrey; Agmon Noga; Menahem Eitan; Bitton Yehonatan |
11455380 | Chain-of-custody of digital content in a database system | Patel Sumit; Williamson Leigh; Anglin Howard N.; Crane Christopher M. |
11455386 | Authentication based on image classification | Chen Yu-Siang; Young Ryan; Yu Ting-Chieh; Liu Ching-Chun; Lin Cheng-Fang |
11455391 | Data leakage and misuse detection | Gkoulalas-Divanis Aris; Bastide Paul R.; Ranchal Rohit |
11455398 | Testing storage protection hardware in a secure virtual machine environment | Heller Lisa Cranton; Busaba Fadi Y. |
11455403 | Privacy-preserving document sharing | Shrinivasan Yedendra; Ratakonda Krishna Chaitanya; Tummalapalli Teja Chiranjeevi; Wade Mari M.; Hezbor Atallah |
11455423 | Safeguarding confidential information during a screen share session | Kochura Nadiya; Lu Fang |
11455429 | Container-based cryptography hardware security module management | Sofia Anthony Thomas; Caffrey James M.; Ginader Thomas; Santiago Elizabeth |
11455440 | Graphic user interface assisted chemical structure generation | Takeda Seiji |
11455461 | Self-executing document revision | Silverstein Zachary A.; Anders Kelley; Dunne Jonathan D.; Li Qi |
11455469 | Personality based sentiment analysis of textual information written in natural language | Alam Nebula; Chakravorty Rajib; Ghahremanlou Lida; Ortiz Jorge Andres Moros; Palani Dheerender Velu |
11455473 | Vector representation based on context | Tagra Ankur; Saha Krishnendu |
11455486 | Cohort experience orchestrator | Baughman Aaron K.; Cunico Hernan A.; Keen Martin G.; Ganci, Jr. John M. |
11455493 | Explanations for artificial intelligence based recommendations | Hind Michael James; Campbell Murray Scott |
11455513 | Hellinger distance for measuring accuracies of mean and standard deviation prediction of dynamic Boltzmann machine | Harry Putra Rudy R. |
11455520 | Copying weights between resistive cross-point arrays | Kim Seyoung; Gokmen Tayfun |
11455521 | Neuromorphic device driven by copper ion intercalation | Todorov Teodor K.; Bishop Douglas M.; Tang Jianshi; Rozen John |
11455522 | Detecting personal danger using a deep learning system | Cordes Steven A.; Gordon Michael S.; Hinds Nigel; Vukovic Maja |
11455527 | Classification of sparsely labeled text documents while preserving semantics | Thomas John J.; Petrov Aleksandr E.; Wang Wanting; Allard Maxime |
11455540 | Autonomic horizontal exploration in neural networks transfer learning | Baughman Aaron K.; Behrendt Michael; Kwatra Shikhar; Trim Craig M. |
11455554 | Trustworthiness of artificial intelligence models in presence of anomalous data | Lohia Pranay Kumar; Saha Diptikalyan; Aggarwal Aniya; Singh Gagandeep; Ananthanarayanan Rema; Shaikh Samiulla Zakir Hussain; Hans Sandeep |
11455561 | Alerting to model degradation based on distribution analysis using risk tolerance ratings | Wang Weichen; Salkeld Eliza; Hayes Shanna; Lu Lucy |
11455562 | Quantum walk for community clique detection | Kachman Tal; Horesh Lior; Nannicini Giacomo; Squillante Mark S.; Gunnels John A.; Clarkson Kenneth L. |
11455566 | Classifying code as introducing a bug or not introducing a bug to train a bug detection algorithm | Sobran Alexander; Zhang Bo |
11455567 | Rules engine for social learning | Sengupta Bikram; Dey Prasenjit; Seshadri Padmanabha Venkatagiri; Sinha Aditya K.; Neti Chalapathy; Nitta Satyanarayana V.; Kokku Ravindranath |
11455569 | Device discovery and classification from encrypted network traffic | Valdez Enriquillo; Cheng Pau-Chen; Molloy Ian Michael; Pendarakis Dimitrios |
11455573 | Data protection distributed learning | Das Subhro |
11455574 | Dynamically predict optimal parallel apply algorithms | Arra Venkat R.; Cadarette Paul M. |
11455579 | Location reservation signaling | Deluca Lisa Seacat; Pink Simon |
11455591 | Service management | Lee James; Woodhouse Jack Anthony; Rozes Heloise; Kloepfer Dominik; Jansen Grace |
11455598 | Automated conflict resolution | Shrinivasan Yedendra; Choi Rak-Joon; Nelson Andrew Douglas; Selvam Parameswaran |
11455653 | Statistical marketing attribution correlation | Nangle, III Paul M. |
11455693 | Visual focal point composition for media capture based on a target recipient audience | Balinski Peter A.; Eda Sasikanth; Musial John G.; Patil Sandeep R. |
11455751 | Encoding multi-dimensional information for deep vision learning | Lu Shuyan; Kelton Eugene Irving; Ma Yi-Hui; Walczyk, III John H. |
11455800 | Roadway alert system using video stream from a smart mirror | Bauchot Frederic; Arcuri Anthony Joseph; Biazetti Ana C.; Anderson Erik Dean |
11455812 | Extracting non-textual data from documents via machine learning | Yuan Zhong Fang; Zhong Guang Qing; Liu Tong; Kong De Shuo; Wang Yi Ming |
11455846 | Consensus vehicular collision properties determination | Huffman Joel R.; Kim Frances; Crenshaw Clay; Pattnaik Sambit; Van Der Stockt Stefan; Gregory, II Randall J. |
11455855 | Content validation document transmission | Jawaharlal Samuel Mathew; Santhar Sathya; Rakshit Sarbajit K.; Sivaramalingam Balamurugaramanathan |
11455885 | Consensus-based monitoring of driving behavior in connected vehicle systems | Geiselhart Reinhold; Beier Felix; Schnell Dominik |
11455886 | Dynamic vehicular passageway information using ad-hoc network and templatized connection | Trim Craig M.; Hodge Rashida A.; Sivakumar Gandhi; Patel Kushal S.; Patel Sarvesh S. |
11455907 | Adaptive vocabulary improvement | Liu Su; Ravi Manjunath; Li Zhichao; Liu Kai |
11455911 | Braille reading using fingerprint scanner and varying vibration frequencies | Alanis Francisco J.; Cantu Edgar O.; Garza Maria deLourdes; Gomez Carlos F. |
11455981 | Method, apparatus, and system for conflict detection and resolution for competing intent classifiers in modular conversation system | Amid David; Boaz David; Ho Tin Kam; Kantor Amir; Lastras-Montano Luis A.; Mallinar Neil R. |
11456057 | Biological sequence distance explorer system providing user visualization of genomic distance between a set of genomes in a dynamic zoomable fashion | Davis Matthew; Kaufman James; Kunitomi Mark |
11456082 | Patient engagement communicative strategy recommendation | Ito Marcia; Coelho Livy Maria Real; Rezende de Souza Fabio |
11456181 | Cross-bar fin formation | Cheng Kangguo; Park Chanro; Xie Ruilong; Li Juntao |
11456219 | Gate-all-around FETs having uniform threshold voltage | Bao Ruqiang; Guo Dechao; Wang Junli; Wu Heng |
11456269 | Prevention of bridging between solder joints | Miyazawa Risa; Watanabe Takahito; Mori Hiroyuki; Okamoto Keishi |
11456308 | Low-voltage flash memory integrated with a vertical field effect transistor | Hekmatshoartabari Bahman; Reznicek Alexander; Ando Takashi; Gong Nanbo |
11456413 | In-situ drift-mitigation liner for pillar cell PCM | Yogendra Karthik; Adusumilli Praneet |
11456415 | Phase change memory cell with a wrap around and ring type of electrode contact and a projection liner | Ok Injo; Bao Ruqiang; Simon Andrew Herbert; Brew Kevin W.; Saulnier Nicole; Saraf Iqbal Rashid; Sankarapandian Muthumanickam; Mehta Sanjay C. |
11456416 | Resistive switching memory cell | Adusumilli Praneet; Ando Takashi; Vega Reinaldo; Chi Cheng |
11456417 | Integrated phase change memory cell projection liner and etch stop layer | Brew Kevin W.; Ok Injo; Saraf Iqbal Rashid; Saulnier Nicole; BrightSky Matthew Joseph; Bruce Robert L. |
11456548 | Reliability enhancement of press fit connectors | Hoffmeyer Mark K.; Lewis Theron Lee |
11456867 | Trust-anchoring of cryptographic objects | Schmatz Martin; Rameshan Navaneeth; Sagmeister Patricia M. |
11456912 | Automatic suppression of alerts during maintenance | Simeonov Hristo; Plug Sander; Chiarella Giacomo Giuseppe |
11456933 | Generating and updating a performance report | Reeve John Anthony; Convery Robert; Bailey Matthew; Harrison Ashley Donald |
11456996 | Attribute-based quasi-identifier discovery | Gkoulalas-Divanis Aris; Ranchal Rohit; Bastide Paul R. |
11457014 | Access control in microservice architectures | Burckhardt Vincent; Fischer Andre; Pieczul Olgierd; Schmidt Jürgen; Yu Xiao F. |
11457019 | Access control authentication scheme based on continuous authentication | Barker Madeleine Eve; Rodriguez Bravo Cesar Augusto; Fox Jeremy R.; Silverstein Zachary A. |
11457066 | Splitting and merging of storages | Chakraborty Shreya; Saraf Adarsh; Govindarajan Chander |
11457691 | Electrostatic discharge shoe and surface evaluation | Lam Ho-Yiu; Kinnear, Jr. John Thomas; Tan Sia Kai Julian |
11458474 | Microfluidic chips with one or more vias | Smith Joshua T.; Landers William Francis; Winstel Kevin; Wu Teresa Jacqueline |
11458717 | Four D device process and structure | Yu Roy R.; Haensch Wilfried |
11458915 | Vehicle setting adjustment | Onyekwelu Adaoha |
11460384 | Pressure test apparatus including a top plate assembly and an air block | Smalley Douglas Alexander; Koziol Mateusz; Mantilla Oswald J.; Hung Leung M. |
11460647 | Lateral mounting of optoelectronic chips on organic substrate | Heroux Jean Benoit; Tokunari Masao |
11461031 | Non-disruptive storage volume migration between storage controllers | Moran Timothy Andrew; Bulmer Christopher; Canto Christopher; Hawkins Warren |
11461067 | Shared information fields with head mounted displays | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Tangirala Subba Rayudu |
11461112 | Determining feature settings for code to deploy to a system by training a machine learning module | Borlick Matthew G.; Gupta Lokesh M.; Craig Matthew R.; Robison Micah |
11461135 | Dynamically modifying the parallelism of a task in a pipeline | Saillet Yannick; Kabra Namit; Gupta Ritesh Kumar |
11461151 | Controller address contention assumption | Sonnelitter, III Robert J.; Fee Michael; Walters Craig R.; O'Neill Arthur; Klein Matthias |
11461193 | Data storage volume recovery management | Goodall Lourie; Dawson Erika; Swingler Joseph M. |
11461231 | Fractal based content delivery network layouts | Baughman Aaron K.; Marzorati Mauro; Kwatra Shikhar; Wismar Andrew Ladd |
11461237 | Methods and systems for translating virtual addresses in a virtual memory based system | Karve Mohit; Thompto Brian W. |
11461242 | Integration of application indicated minimum time to cache and maximum time to cache to least recently used track demoting schemes in a cache management system of a storage controller | Gupta Lokesh M.; Hayward Joseph; Anderson Kyler A.; Borlick Matthew G. |
11461292 | Quick data exploration | Kania Tomasz; Gedliczka Tymoteusz; Brandys Szymon; Pitula Krzysztof; Madej Maciej; Grzywna Piotr |
11461301 | Database index optimization | Chen Fang; Wang Xiaobo; Li Shuo; Sun ShengYan; Zhang Hong Mei |
11461315 | Batch job performance improvement in active-active architecture | Li Shuo; Wang Xiaobo; Zhong Jia Tian; Sun Sheng Yan |
11461321 | Technology to reduce cost of concatenation for hash array | Attaluri Gopi K.; Raman Vijayshankar |
11461375 | User interface for streaming spoken query | Tachibana Ryuki; Suzuki Masayuki A.; Yoshida Issei |
11461376 | Knowledge-based information retrieval system evaluation | Liu Zhe; Yin Peifeng; Mahmud Jalal; Akkiraju Rama Kalyani T.; Guo Yufan |
11461405 | Technology based commonality detection system | Kochura Nadiya; Lu Fang; Uong Anh; Yang Hanna; Kim Esther; Alexander Lincoln A |
11461412 | Knowledge management and communication distribution within a network computing system | Banatwala Mustansir; Brooks David A.; Estrada Miguel A.; Russo Joseph A. |
11461474 | Process-based virtualization system for executing a secure application process | Leenstra Jentje; Mackerras Paul; Herrenschmidt Benjamin; Frey Bradly George; Ludden John Martin; Hunt Guerney D. H.; Campbell David |
11461495 | Cognitive screening of attachments | Turano Mark; Silverstein Zachary A.; Grant Robert Huntington; Sandridge Thomas Jefferson |
11461533 | Generating a document preview | Carter Bernadette A.; Girata, Jr. John; Richard Derek; Small Joshua M. |
11461540 | Cross-document propagation of entity metadata | Carrier Scott; Mansjur Dwi Sianto; Felt Paul Lewis; Bull Brendan |
11461580 | Anchoring new concepts within a discussion community | Bastide Paul R.; Broomhall Matthew E.; Harpur Liam S.; Loredo Robert E. |
11461586 | Learned interaction with a virtual scenario | Fox Jeremy R.; Anders Kelley; Harpur Liam S.; Dunne Jonathan D. |
11461587 | Intelligent visual recognition translation | Ang Ai Kiar; Ho Teck Sang; Ng Bernard Chun Yang; Bernante Kristine Rodelas |
11461593 | Federated learning of clients | Tuor Tiffany; Wang Shiqiang; Liu Changchang; Ko Bong Jun; Lee Wei-Han |
11461640 | Mitigation of conductance drift in neural network resistive processing units | Tsai HsinYu; Ambrogio Stefano; Chuang Pierce I-Jen; Burr Geoffrey; Narayanan Pritish |
11461645 | Initialization of memory networks | Hekmatshoartabari Bahman; Nair Ravi |
11461648 | Standardizing disparate data points | Cmielowski Lukasz G.; Erazmus Maksymilian; Bigaj Rafal; Sobala Wojciech |
11461672 | Plug-and-ingest framework for question answering systems | Filoti Octavian F.; Ding Chengmin; Shek Elinna; Vernier Stanley J.; Decker Renee F.; Jamrog Daniel M. |
11461682 | System, method and computer program product for detecting policy violations | Canim Mustafa; Farrell Robert G. |
11461694 | Machine learning implementation in processing systems | Parnell Thomas; Duenner Celestine; Sarigiannis Dimitrios; Pozidis Charalampos |
11461703 | Determinantal reinforced learning in artificial intelligence | Osogami Takayuki; Harry Putra Rudy R. |
11461715 | Cognitive analysis to generate and evaluate implementation plans | Daley Stan Kevin; Liu Tao; Bharti Harish; Sinha Jayant Kumar; Xie Zheng; Patra Joy; Patra Abhay |
11461728 | System and method for unsupervised abstraction of sensitive data for consortium sharing | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11461788 | Matching a customer and customer representative dynamically based on a customer representative's past performance | Jones Steven Ware; Jauhari Arjun; Mallette Jennifer A.; Salve Vivek |
11461793 | Identification of behavioral pattern of simulated transaction data | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11461809 | Notifying a user of an instant messaging (IM) service about a modification made to an opportunity | Arroyo Jorge A.; Kruger Stephen P.; O'Sullivan Patrick J.; Silva Luciano |
11462038 | Interpreting text classification predictions through deterministic extraction of prominent n-grams | Brooks Alexander; Kumbhat Gaurav |
11462101 | Non-essential autonomous vehicle rerouting | Rakshit Sarbajit K.; Keen Martin G.; Ganci, Jr. John M.; Bostick James E. |
11462118 | Cognitive generation of learning path framework | Saxena Rajesh Kumar; Bharti Harish; Shinde Rakesh; Sukhija Sandeep |
11462295 | Microchip level shared array repair | Meehan Timothy; Peterson Kirk D.; DeForge John B.; Huott William V.; Srinivasan Uma; Kim Hyong Uk; Finnefrock Michelle E.; Rodko Daniel |
11462398 | Ligand selection for ternary oxide thin films | Frank Martin Michael; Rozen John; Ogawa Yohei |
11462512 | Three-dimensional microelectronic package with embedded cooling channels | Sikka Kamal K.; Lie Fee Li; Winstel Kevin; Bonam Ravi K.; Saraf Iqbal Rashid; Goldfarb Dario; Corliss Daniel; Gupta Dinesh |
11462583 | Embedding magneto-resistive random-access memory devices between metal levels | Dutta Ashim; Yang Chih-Chao; Edelstein Daniel Charles; Arnold John; Standaert Theodorus E. |
11462631 | Sublithography gate cut physical unclonable function | Cheng Kangguo; Miller Eric; Lie Fee Li; Karve Gauri; Bergendahl Marc A.; Sporre John Ryan |
11463242 | Padding oracle elimination in RSA encryption | Gray Michael W.; Madineni Narayana Aditya; Green Matthew; McMahon Simon D.; McLean Leigh S. |
11463249 | Fairness in gaming | Santhar Sathya; Rakshit Sarbajit K.; Sundararajan Mukundan; Sivaramalingam Balamurugaramanathan; Jawaharlal Samuel Mathew |
11463268 | Sensor calibration | Dittmann Gero; Jelitto Jens |
11463279 | Method and apparatus for implementing a flexible virtual local area network | Ge Yi; Liu Hang; Zhang Yue; Zheng Kai |
11463437 | Device activation verification | Covell Jacob Thomas; Grant Robert Huntington; Lu Jacky; Sandridge Thomas Jefferson |
11463478 | Remediation strategy optimization for development, security and operations (DevSecOps) | Nadgowda Shripad; Morari Alessandro; Doran James R. |
11463549 | Facilitating inter-proxy communication via an existing protocol | Marzorati Mauro; Özses Seda; Nyiri Juraj; Santelia Michael J. |
11463573 | Notification bot for topics of interest on voice communication devices | Crowell Susan F.; Nikolai Jason A.; Thorstensen Andrew T. |
11463581 | Managing phone identification via immutable connection verification | Ziskovsky Joseph Michael; Brooks Powell Natalie; Perpetua, Jr. Dennis Anthony; Fox Jeremy R. |
11463839 | Cognitive location and navigation services for custom applications | Jadav Divyesh; Mukherjee-Roy Moitreyee |
11464137 | Active control for two-phase cooling | Chainer Timothy J.; Parida Pritish R.; Silberman Joel A. |
11464464 | Internal and external proximate scanning | Rakshit Sarbajit K. |
11465611 | Autonomous vehicle behavior synchronization | Hewitt Trudy L.; Fox Jeremy R.; Kwatra Shikhar; Silverstein Zachary A.; Compton Christian |
11467735 | I/O operations in log structured arrays | Szczepanik Grzegorz Piotr; Palus Lukasz Jakub; Patel Kushal S.; Patel Sarvesh S. |
11467772 | Preemptive staging for full-stride destage | Gupta Lokesh Mohan; Hardy Clint A.; Rinaldi Brian Anthony; Nielsen Karl Allen |
11468050 | Learning user synonyms from sequenced query sessions | Ackermann Christopher F.; Beller Charles E.; Boxwell Stephen A.; Katz Edward G.; Summers Kristen M. |
11468134 | Provisioning a customized software stack for network-based question and answer services | Stelmar Netto Marco Aurelio; Silva Bruno; Bueno Gauch Eric; Correia Milanesi Castanhe Bruno Estima |
11468136 | Item inventory locating from search queries | Hoots Harry; Hochstedt Erik; Price Dana L. |
11468234 | Identifying linguistic replacements to improve textual message effectiveness | Alba Alfredo; Drews Clemens; Gruhl Daniel F.; Kau Christian B.; Lewis Neal R.; Mendes Pablo N.; Nagarajan Meenakshi; Ramakrishnan Cartic |
11468310 | Constraining actions for reinforcement learning under safety requirements | Pham Tu-Hoa; De Magistris Giovanni; Tachibana Ryuki |
11468334 | Closed loop model-based action learning with model-free inverse reinforcement learning | Chaudhury Subhajit; Kimura Daiki; Inoue Tadanobu; Tachibana Ryuki |
11468377 | System and method for creating a census hub in resource constrained regions | Bhattacharya Kamal; Kalton Alan; Weldemariam Komminist |
11468496 | Smart contact lenses based shopping | Rakshit Sarbajit K. |
11468617 | Selective redaction of images | Pinel Florian; Coldicott Peter Alan; Yeh, Jr. Frank; Bobbitt Russell Patrick |
11468664 | Machine learning to predict cognitive image composition | Borrel Paul; Buoro Alvaro B.; Barros Ruberth A. A.; Salles Civitarese Daniel |
11468783 | Communication devices | Weldemariam Komminist; Diriye Abdigani; Goldberg Itzhack; Pickover Clifford A. |
11469485 | Embedded microstrip transmission line | Lauer Isaac; Landers William Francis; Srinivasan Srikanth; Sundaresan Neereja |
11471105 | Two-layer adhesion of electronics to a surface | Pancoast Leanna; Sakuma Katsuyuki |
11472105 | Methods and systems for 3D printing with modifiable support | Hardee Christopher J.; Rakshit Sarbajit K. |
11474040 | Water-sensitive fluorophores for moisture content evaluation in hygroscopic polymers | Campbell Eric J.; Czaplewski-Campbell Sarah K.; Kuczynski Joseph; Tofil Timothy J. |
11474228 | Radar-based detection of objects while in motion | Tzadok Asaf; Valdes Garcia Alberto |
11474518 | Event validation using multiple sources | Yokoyama Satoshi; Saito Akira; Furuichi Sanehiro; Uenohara Hayato; Yamamoto Gaku; Iwasaki Norie |
11474582 | Automated validation of power topology via power state transitioning | Lefurgy Charles; Allen-Ware Malcolm S.; Omokpo Amos A.; Rajamani Karthick; Silva Guillermo Jesus |
11474697 | Opportunistic data analytics using memory bandwidth in disaggregated computing systems | Bivens John A.; Li Min; Mahindru Ruchi; Ramasamy HariGovind V.; Ruan Yaoping; Salapura Valentina; Schenfeld Eugen |
11474707 | Data loss recovery in a secondary storage controller from a primary storage controller | Carson Matthew D.; Mellgren Carol S.; Nielsen Karl A.; Sanchez Matthew; Sorenson Todd C. |
11474728 | Data storage volume record management for application-level recovery | Gallaher Shannon Lyn; Wilcock Glenn Randle; Cammarata James B. |
11474816 | Code review using quantitative linguistics | Hicks Andrew C. M.; Catalano Robert Peter; Rimaldi Tyler Vezio |
11474821 | Processor dependency-aware instruction execution | Turi Amir; Ayzenfeld Avraham; Merran Gilad Shimon; Danan Yanai; Shay Amit; Shapira Yossi; Fried Yair; Ben Gigi Oren; Rafaeli Omri |
11474829 | Customizing program logic for booting a system | Cerri Fabio; Mariani Gianluca; Marinelli Claudio; Pastorelli Bernardo; Secomandi Antonio |
11474854 | Transformation of inter-organization process for execution via blockchain | Nakamura Hiroaki; Kudo Michiharu; Miyamoto Kohtaroh |
11474866 | Tree style memory zone traversal | Jones Stephen Merwyn; Thompson Brian Keith; Hugenbruch Emily Kate |
11474883 | Cognitive agent for persistent multi-platform reminder provision | Dugan Casey; Muller Michael; Sharma Aabhas; Johnson James; Geyer Werner |
11474892 | Graph-based log sequence anomaly detection and problem diagnosis | Chan Yuk L.; Li Jia Qi; Han Zhi Shuai; Wu Tian; Yu Lei; Min Hong; Meng Fan Jing |
11474898 | Multiple responder approach to systems with different types of failures | Blaum Mario; Hetzler Steven Robert |
11474900 | Dynamic rebuild capability in redundant array of independent disks (RAID) arrays using compressing drives | O'Rourke Nicholas Michael; Izen Gemma; Elliott Mark Keith; Dent Daniel Paul; Tomkins Dominic; Cooper Alastair |
11474902 | Indicating data health in a DSN memory | Kazi Asimuddin |
11474905 | Identifying harmful containers | Wang Chen; Kanso Ali; Youssef Alaa S. |
11474907 | Apparatus, method, and program product for cluster configuration data backup and recovery | Shah Komal S.; Kulkarni Shweta; Soni Bharti; Bhagwat Shrirang S. |
11474920 | Dynamic mapping of logical to physical memory for increased performance | Pozidis Charalampos; Mittelholzer Thomas; Papandreou Nikolaos; Stanisavljevic Milos |
11474941 | Using multi-tiered cache to satisfy input/output requests | Peterson Beth Ann; Ash Kevin J.; Gupta Lokesh Mohan; Stanley Warren Keith; Hathorn Roger G. |
11474973 | User-based file search results | Ishikawa Miki; Iwasaki Norie; Aoyama Manami; Hoshino Mayumi |
11474983 | Entity resolution of master data using qualified relationship score | Pulipaty Geetha Sravanthi; Iyer Chitra A; Ramalingam Prabhakaran; Parkala Srinivas Shettigar |
11474989 | Online reorganization of database tables with concurrent updates | Geiselhart Reinhold; Beier Felix; Stolze Knut; Oliveira Lizardo Luis Eduardo |
11475000 | Aggregation in dynamic and distributed computing systems | Abrashkevich Dmitri; Attarian Ioanna Maria; Neugebauer Robert; Vyvyan David |
11475020 | Encryption scheme recommendation | Kesarwani Manish; Kaul Akshar; Singh Gagandeep; Mehta Sameep; Min Hong; Pickel James Willis |
11475032 | Analyzing multidimensional process traces under edit-distance constraint | Isahagian Vatche; Muthusamy Vinod; Nguyen Phuong; Slominski Aleksander; Bhattacharjee Bishwaranjan |
11475040 | Managing data replication sessions in response to an inability to access a storage volume | Trujillo Damian; Ciaravella Anthony; Schull Pamela; McBride Gregory E.; Rooney William J. |
11475043 | Machine learning based application of changes in a target database system | Butterstein Dennis; Ahmad Adnan; Costa da Rocha Jéssica; Tafasca Samy; Dimov Vassil Radkov; Zhang Zeyuan |
11475086 | User contribution engagement | Bastide Paul R.; Lu Fang; Srinivasan SathyaNarayanan |
11475138 | Creation and execution of secure containers | Bacher Utz; Buendgen Reinhard Theodor; Morjan Peter; Frank Janosch Andreas |
11475147 | Implementing policy-based container-level encryption | Lewis Cecilia C.; Rhoten Wayne E.; Rossman Eric D.; Nelson Mark A.; Dayka John C. |
11475151 | Security policy management for database | Sun Sheng Yan; Li Shuo; Wang Xiaobo; Zhang Hong Mei |
11475156 | Dynamically adjusted timeout quarantined code scanning | Yang Dan; Zhu Xianjun; Yang Pu; Zhang Bo |
11475167 | Reserving one or more security modules for a secure guest | Buendgen Reinhard Theodor; Urban Volker; Kisley Richard Victor; Bradbury Jonathan D.; Hendel Torsten; Freudenberger Harald; Klotz Benedikt; Werner Klaus; Selve Markus |
11475172 | Adjustable viewing angle for a computer privacy filter | Adachi Yuta; Miwa Yohichi; Kawai Yutaka |
11475175 | Intelligent design structure selection in an internet of things (IoT) computing environment | Jaiswal Peeyush; Jaiswal Priyansh; Krystek Paul; Kwatra Shikhar |
11475189 | Adaptive error correction in quantum computing | Gunnels John A.; Wegman Mark; Kaminsky David |
11475191 | Generating and adding additional control information to logic under test to facilitate debugging and comprehension of a simulation | Umbarger Paul; Chatterjee Debapriya; Yokum Karen; Schumann John A.; Cockcroft Bryant; Barnett Kevin |
11475211 | Elucidated natural language artifact recombination with contextual awareness | Baughman Aaron K.; Wilkin Nicholas Michael; Cannon Gray Franklin; Eggenberger Christian |
11475222 | Automatically extending a domain taxonomy to the level of granularity present in glossaries in documents | Beller Charles E.; Doyle Andrew; Katz Edward G.; Tonetti Alexander C. |
11475226 | Real-time optimized translation | Swift Mary D.; Manotas Irene Lizeth; Dunne Jonathan D. |
11475229 | Composite matrix code array | Song Tao; Yang Zhongfeng; Yin XiYuan; Yu Qiuyi |
11475253 | Data graph focus mode | Breedvelt-Schouten Ilse M. |
11475274 | Parameter criticality-aware resilience | Bose Pradip; Buyuktosunoglu Alper; Vega Augusto J. |
11475275 | Recurrent autoencoder for chromatin 3D structure prediction | Rapsomaniki Maria Anna; Cristescu Bianca-Cristina; Rodriguez Martinez Maria |
11475296 | Linear modeling of quality assurance variables | Trim Craig M.; Keen Martin G.; Bender Michael; Baughman Aaron K. |
11475297 | Cross-domain homophily quantification for transfer learning | Trim Craig M.; Baughman Aaron K.; Belinchon Ballesta Maria del Pilar; Sylvia Susan |
11475304 | Variational gradient flow | Kornuta Tomasz; Ozcan Ahmet Serkan; Rajan Deepta; Asseman Alexis; Shivade Chaitanya |
11475313 | Unsupervised, semi-supervised, and supervised learning using deep learning based probabilistic generative models | Karlinsky Leonid; Shtok Joseph |
11475324 | Dynamic recommendation system for correlated metrics and key performance indicators | Cmielowski Lukasz G.; Erazmus Maksymilian; Bigaj Rafal; Sobala Wojciech |
11475325 | Inferring cognitive capabilities across multiple cognitive analytics applied to literature | Weber Daniel; Rykal Nathaniel E. |
11475326 | Analyzing test result failures using artificial intelligence models | Cmielowski Lukasz G.; Erazmus Maksymilian; Bigaj Rafal; Sobala Wojciech |
11475331 | Bias source identification and de-biasing of a dataset | Bhide Manish Anand; Lohia Pranay Kumar; Saha Diptikalyan; Katari Madhavi |
11475332 | Selecting forecasting models by machine learning based on analysis of model robustness | Gormally Robert; Eck Bradley; Fusco Francesco; Purcell Mark; Tirupathi Seshu |
11475335 | Cognitive data preparation for deep learning model training | Routray Ramani; Bao Sheng Hua; Abu-Assal Claire; Ramakrishnan Cartic; Perera Pathirage Dinindu Sujan Udayanga; Kelgere Ramesh Abhinandan; Hillsberg Bruce L. |
11475339 | Learning unfamiliar measurement units in a deep question answering system | Beller Charles E.; Boxwell Stephen A.; Katz Edward G.; Summers Kristen M. |
11475345 | Controlled NOT gate parallelization in quantum computing simulation | Horii Hiroshi; Chiba Hitomi |
11475365 | Verification of stochastic gradient descent | Sarpatwar Kanthi; Shanmugam Karthikeyan; Ganapavarapu Venkata Sitaramagiridharganesh; Vaculin Roman |
11475376 | Cascaded machine learning travel agent | Nagar Raghuveer Prasad; Sodhi Manjit Singh; Jakkula Satyam; Shrivastava Kshitiz |
11475379 | Machine learning models of livestock value chain | Khan Naweed Aghmad; Mutahi Juliet; Ter-Minassian Lucile; Luus Francois Pierre; Akhalwaya Ismail Yunus; Weldemariam Komminist |
11475381 | Graphical user interface for receiving proposed and displaying alternative computer architectures | Dunne Jonathan; Kristiansen Morten; Sloyer Jeffrey B.; Stewart Ian D. |
11475382 | Graphical user interface for receiving proposed and displaying alternative computer architectures | Dunne Jonathan; Kristiansen Morten; Sloyer Jeffrey B.; Stewart Ian D. |
11475401 | Computation of supply-chain metrics | Enderby Ryan; Jagmohan Ashish; MacNaught Julie; Narendra Abhilash |
11475467 | System and method for unsupervised abstraction of sensitive data for realistic modeling | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11475468 | System and method for unsupervised abstraction of sensitive data for detection model sharing across entities | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11475485 | Automated curation of digital information and user interface for same | Byron Donna K.; Seabolt Edward E.; Swift Mary D.; Hull Brian |
11475507 | Prioritizing BOPIS order fulfillment | DeLuca Lisa Seacat; Kaufmann John |
11475781 | Modification of extended reality environments based on learning characteristics | Silverstein Zachary A.; Rakshit Sarbajit K.; Grant Robert Huntington; Ashlin Haley |
11475783 | Interest-based content customization | Jenkins Jana H.; Silverstein Zachary A.; Fox Jeremy R.; Lu Fang |
11475873 | Translating inputs for voice communication | Bharti Harish; Sukhija Sandeep |
11475919 | Extended air gap deep cells in a tape library | Nave Shawn M.; Jesionowski Leonard G. |
11475946 | Synapse weight update compensation | Yasuda Takeo; Okazaki Atsuya |
11476163 | Confined gate recessing for vertical transport field effect transistors | Xie Ruilong; Park Chanro; Suk Sung Dae; Wu Heng |
11476238 | Dense hybrid package integration of optically programmable chip | Libsch Frank Robert; Afzali-Ardakani Ali; Hannon James B. |
11476264 | Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices | Reznicek Alexander; Balakrishnan Karthik; Ning Tak; Hekmatshoartabari Bahman |
11476346 | Vertical transistor having an oxygen-blocking top spacer | Zhang Chen; Waskiewicz Christopher J.; Siddiqui Shahab; Xie Ruilong |
11476362 | Vertical transistors with various gate lengths | Li Juntao; Cheng Kangguo; Lee ChoongHyun; Mochizuki Shogo |
11476415 | Patterning magnetic tunnel junctions and the like while reducing detrimental resputtering of underlying features | Chung Kisup; Rizzolo Michael; Lie Fee Li |
11476418 | Phase change memory cell with a projection liner | Ok Injo; Bao Ruqiang; Simon Andrew Herbert; Brew Kevin W.; Saulnier Nicole; Saraf Iqbal Rashid; Bhosale Prasad |
11476678 | Predictive rechargeable battery management system | Werner John S.; Singer Noah; Tsfasman Arkadiy O.; Torok John G.; Notohardjono Budy D. |
11477007 | Packing arbitrary tensors into tiles with descriptive shapes | Soceanu Omri; Aharoni Ehud; Adir Allon; Greenberg Lev; Ezov Gilad; Masalha Ramy |
11477031 | Node characterization in a blockchain | Eshwar Bhavani K.; Manjunath Subramanian B.; Naganna Soma S.; Palaniappan Subramanian |
11477042 | Ai (artificial intelligence) aware scrum tracking and optimization | Silverstein Zachary A.; Emanuel Barton Wayne; Liu Su; Fox Jeremy R. |
11477142 | Question suggestion and agent transfer to advance a customer support conversation | Lewis Jacob; Asthana Ruchi; Mallette Jennifer A.; Jones Steven Ware |
11477172 | Securing data compression | Abali Bulent; Hunt Guerney D. H.; Crumley Paul Gregory |
11477182 | Creating a credential dynamically for a key management protocol | Bansal Rinkesh I.; Agrawal Mohit Niranjan; Mestri Prashant V. |
11477187 | API key access authorization | He Yi Fei; Tang Gang; Wang Hua Hong; Xu Xiaoli; Li Yu Chi |
11477198 | Distributed computing on the edge | Sukhija Sandeep; Bharti Harish; Saxena Rajesh Kumar |
11477199 | Access control in microservice architectures | Burckhardt Vincent; Fischer Andre; Pieczul Olgierd; Schmidt Jürgen; Yu Xiao F. |
11477215 | Scaling a processing resource of a security information and event management system | Colvin John; Paul Catherine; Cullen Sean Patrick; McErlean Joe |
11477229 | Personalized security testing communication simulations | Covell Jacob Thomas; Grant Robert Huntington; Ho Clarissa; Bokun Nicholas |
11477230 | Home automation risk assessment and mitigation via machine learning | Baughman Aaron K.; Nagar Raghuveer Prasad; Kwatra Shikhar; Rakshit Sarbajit K. |
11477236 | Trend-aware combo-squatting detection | Loyola Heufemann Pablo Salvador; Gajananan Kugamoorthy; Kitahara Hirokuni; Watanabe Yuji; Akiyama Fumiko |
11477251 | Transport communication | Ledet David Gerard |
11477285 | Contextual device command resolution | Decrop Clement; Ganci, Jr. John M.; Rakshit Sarbajit K.; Keen Martin G. |
11477293 | Optimize migration of cloud native applications in a mutli-cloud environment | Kairali Sudheesh S.; Gupta Abhinav; Seth Abhishek; Mohammed Shajeer K; Krishna Hariharan |
11477509 | Immersive cognitive reality system with real time surrounding media | Branch Joel W.; Guo Shang Qing; Lenchner Jonathan; Mukherjee Maharaj |
11477603 | Recommending targeted locations and optimal experience time | Danducci, II Angelo; Barnes Brittany L; Das Anindita |
11477688 | Advanced bearer management in a telecommunications network | Trim Craig M.; Hall Lakisha R. S.; Sivakumar Gandhi; Patel Kushal; Patel Sarvesh S. |
11478550 | Biodegradable hydrogel and methods for use thereof | Fevre Mareva B.; Hedrick James L.; Lee Ashlynn; Park Nathaniel H.; Wojtecki Rudy J.; Yang Yi Yan; Voo Zhi Xiang |
11479845 | Laminated magnetic inductor stack with high frequency peak quality factor | Deligianni Hariklia; Doris Bruce B.; O'Sullivan Eugene J.; Wang Naigang |
11480437 | Transportation system used by individuals having a visual impairment utilizing 5G communications | Trim Craig M.; Hall Lakisha R. S.; Sivakumar Gandhi; Patel Kushal; Patel Sarvesh S. |
11480537 | Methods and structure to probe the metal-metal interface for superconducting circuits | Lavoie Christian; Brink Markus; Wymore Benjamin; Yau Jeng-Bang |
11480612 | Scanning methods for creating time-resolved emission images of integrated circuits using a single-point single-photon detector and a scanning system | Stellari Franco; Song Peilin |
11480710 | Weather data collection through incentivized and collaborative drone flights | Pandit Sushain; Liu Su; Wang Fang; Xu Cheng |
11480868 | Determination of optical roughness in EUV structures | Bonam Ravi K.; Muthinti Gangadhara Raja |
11481069 | Physical cursor control in microfluidic display devices | Walcott Aisha; Rakshit Sarbajit K.; Crawford Catherine H.; Gunnels John A. |
11481088 | Dynamic data density display | Cardinal Matthew; Reynolds Spencer Thomas; Vennam Ramratan; Vennam Belinda Marie |
11481113 | Shortcut generation | Du Ke |
11481158 | Enabling compression based on queue occupancy | Nair Prashant; Hong Seokin; Healy Michael; Abali Bulent; Buyuktosunoglu Alper |
11481200 | Checking source code validity at time of code update | Huang Wen Ji; Chen Xiao Ling; Li Sheng Shuang; Han Wen Bin; Su Jun |
11481211 | Dynamically creating source code comments | Karri Venkata Vara Prasad; Rakshit Sarbajit K.; Dhoot Akash U.; Somani Kamal |
11481212 | Automatic identification of reference data | Dolby Julian Timothy; Srinivas Kavitha; Abdelaziz Ibrahim |
11481219 | Store prefetches for dependent loads in a processor | Karve Mohit; Gieske Edmund Joseph; Rohrbaugh, III George W. |
11481222 | Computation and prediction of linked access | Wiesman Mathew; Jagmohan Ashish; Pomerenk Alex; Manweiler Justin Gregory; Pitkofsky Joshua |
11481227 | Efficient sharing of artifacts between collaboration applications | Burris Thomas J.; Kataria Manish |
11481232 | Registry image management | Liu Hou Gang; Ren Yu Xing YX; Liu Guang Ya; He Jin Chi JC; Yu Dong; Cui Peng XA |
11481240 | Capturing traces of virtual machine objects combined with correlated system data | Shamaiah Srividya; Kannan Vijayalakshmi; Sebastian Deepthi; Punathil Gireesh |
11481243 | Service access across Kubernetes clusters | Wang Yue; Tang Gang; Ma Yun Feng; Zhang Huai Long; Zhao Xiong Wei; Men Shuang; Li Jin Shan |
11481253 | Managing the processing of streamed data in a data streaming application using query information from a relational database | Barsness Eric L.; Beuch Daniel E.; Cook Alexander; Santosuosso John M. |
11481255 | Management of memory pages for a set of non-consecutive work elements in work queue designated by a sliding window for execution on a coherent accelerator | Gaonkar Chetan L.; Behera Niranjan; Akoijam Geeta Devi; Thatikonda Vamshikrishna |
11481259 | Distributing computation workloads based on calculated compute gravity within differing computing paradigms | Baughman Aaron K.; Hammer Stephen C.; Cannon Gray; Kwatra Shikhar |
11481267 | Reinforcement learning approach to root cause analysis | Raghavendra Ramya; Srivatsa Mudhakar; Rosenkranz Joshua M.; Dewan Pranita Sharad |
11481268 | Blockchain management of provisioning failures | Jiang Jing Bo; Zhang Li Ni; Chen Li Long; Zhao Yu; Zhao Wen Rui; Luo Lan; Jiang Li |
11481281 | Predictive fog computing in an edge device | Baughman Aaron K.; Trim Craig M.; Kwatra Shikhar; Restrepo Conde Melissa; Rakshit Sarbajit K. |
11481296 | Detecting configuration errors in multiport I/O cards with simultaneous multi-processing | Srinivasan Muthulakshmi P.; Bondalakunta Asha Kiran; Sinha Sameer K.; Nair Ayush |
11481358 | Adapting a workflow | Falkenberg Matthias; Hepper Stefan Alfons; Laertz Stephan |
11481368 | Automatically rank and route data quality remediation tasks | Saillet Yannick; Kabra Namit; Bhide Manish Anand |
11481401 | Enhanced cognitive query construction | Baughman Aaron K.; Banipal Indervir Singh; Kwatra Shikhar; Povar Victor |
11481404 | Automated evaluation of information retrieval | Chowdhury Md Faisal Mahbub; Deng Yu; Gliozzo Alfio Massimiliano; Mahindru Ruchi; Mihindukulasooriya Nandana; Fauceglia Nicolas Rodolfo; Rossiello Gaetano |
11481415 | Corpus temporal analysis and maintenance | Sivakumar Gandhi; Lopez Rodolfo; Dickens Louie A. |
11481416 | Question Answering using trained generative adversarial network based modeling of text | Dua Dheeru; Nogueira Dos Santos Cicero; Zhou Bowen |
11481418 | Natural question generation via reinforcement learning based graph-to-sequence model | Wu Lingfei; Chen Yu; Zaki Mohammed J. |
11481425 | Automatic generation of presentation slides from documents | Wang Dakuo; Hou Yufang; Wang Xin Ru; Zhang Yunfeng; Gan Chuang; Sun Edward |
11481442 | Leveraging intent resolvers to determine multiple intents | Carrier Scott; Bull Brendan; Felt Paul Lewis; Mansjur Dwi Sianto |
11481460 | Selecting items of interest | Camus Kelly; Kwatra Shikhar; Jose Reji; Nagar Raghuveer Prasad |
11481466 | Multi-source electronic forms with concealed fields | Burckart Erik J; Howard Curtiss James; Ivory Andrew J.; Shook Aaron Kyle |
11481502 | Technology for adaptive software discovery scan | Paluch Michal; Godowski Piotr P.; Pietrzak Andrzej; Kowalczyk Szymon; Hanusiak Tomasz |
11481508 | Data access monitoring and control | Butovsky Tania; Rodniansky Leonid; Shpak Mikhail; Jerrell Richard Ory; Maniatis Peter; Shan Shidong |
11481534 | Trace-based generation of states within a system | De Paula Flavio M.; Bingham Bradley D.; Haran Arvind |
11481561 | Semantic linkage qualification of ontologically related entities | Carrier Scott; La Rocca Jennifer Lynn; Dahlman Rebecca Lynn; Lorenzo Mario J. |
11481598 | Auto scaling a distributed predictive analytics system with machine learning | Khapali Mahadev; Vagarali Shashank V. |
11481607 | Forecasting multivariate time series data | Fukuda Mari Abe; Takasaki Kenichi; Sasaki Yuka; Watanabe Shoichiro; Nishimura Yasutaka |
11481611 | RRAM crossbar array structure for multi-task learning | Ando Takashi; Vega Reinaldo; Mallela Hari |
11481620 | Intelligent retraining of deep learning models utilizing hyperparameter sets | Khapali Mahadev; Vagarali Shashank Vijaykumar; Rayanki Yugandhra; Padashetty Prabhu S. |
11481621 | Unsupervised, supervised and reinforced learning via spiking computation | Modha Dharmendra S. |
11481623 | Systems and methods for training a model using a few-shot classification process | Karlinsky Leonid; Shtok Joseph |
11481626 | Generating attribute-based samples | Das Payel; Sercu Tom D. J.; Wadhawan Kahini; Nogueira Dos Santos Cicero; Padhi Inkit; Gehrmann Sebastian |
11481667 | Classifier confidence as a means for identifying data drift | Raz Orna; Zalmanovici Marcel; Zlotnick Aviad |
11481680 | Verifying confidential machine learning models | Dayama Pankaj S.; Singh Nitin; Vinayagamurthy Dhinakaran; Pandit Vinayaka |
11481682 | Dataset management in machine learning | Pfitzmann Birgit Monika; Wiesmann Rothuizen Dorothea |
11481787 | Detecting user device usage and validating user claims | Dorenkamp Austin; Dorenkamp Alexandra Lynn |
11481985 | Augmented reality enabled appetite enhancement | Sivaswamy Hemant Kumar; Kwatra Shikhar; Tayal Tanvi; Karri Venkata Vara Prasad |
11482573 | Multi-junction photovoltaic cells | Bedell Stephen W.; Li Ning; Li Qinglong; Mukherjee Kunal; Sadana Devendra; Shahidi Ghavam G. |
11482612 | Vertical transistor having bottom spacers on source/drain regions with different heights along junction region | Mochizuki Shogo; Cheng Kangguo; Li Juntao; Lee Choonghyun |
11482617 | Vertical transport field-effect transistor including replacement gate | Xie Ruilong; Zhang Chen; Cheng Kangguo; Frougier Julien |
11482657 | Structure for an antenna chip for qubit annealing | Topaloglu Rasit Onur; Rosenblatt Sami |
11483154 | Artificial intelligence certification of factsheets using blockchain | Kannan Kalapriya; Lohia Pranay Kumar; Hoffman Samuel; Varshney Kush Raj; Mehta Sameep |
11483211 | Infrastructure discovery and analysis | Akhilesham Madhu; Karri Venkata Vara Prasad; Dhoot Akash U.; Lakhe Niteen D; Moyal Shailendra |
11483262 | Contextually-aware personalized chatbot | Kwatra Shikhar; Povar Victor; Banipal Indervir Singh; Trim Craig M. |
11483318 | Providing network security through autonomous simulated environments | Trim Craig M.; Ries Joseph B.; Griffin Adam Lee; Szkatulski Jennifer L.; Kwatra Shikhar |
11483319 | Security model | Okunlola Olanrewaju Oluwaseun |
11483371 | User-derived webpage activity control | Jaiswal Peeyush; Kwatra Shikhar; Jaiswal Priyansh |
11483381 | Distributing cloud migration | Gupta Manish; Surampalli Murali Krishna; Mukherjea Sougata; Thajudeen Ashraf Ali |
11483387 | Sensor-based communications network for remote and underground locations | Elmegreen Bruce Gordon; Klein Levente; Zafar Sufi |
11483407 | Environment sharing | Villalobos Laurent Alonso Andres; Fornash Sean Thomas; Golcher Ugalde Ricardo; Fuleihan Farid; Vissuskus Christopher Kevin |
11483472 | Enhancing quality of multimedia | Bastide Paul R.; Loredo Robert E.; Broomhall Matthew E. |
11484167 | Apparatus for disentanglement of fibers from rotors | Kane Michael; Porter Jacob; Khambati Suraush; Masterson Colin Edward; Cole Marie |
11484245 | Automatic association between physical and visual skin properties | George Yasmeen Mourice; Mehedy Lenin; Garnavi Rahil |
11484273 | Determining functional age indices based upon sensor data | Kochura Nadiya; Lu Fang |
11484731 | Cognitive optogenetics probe and analysis | Deligianni Hariklia; Lee Ko-Tao; Li Ning; Sadana Devendra; Yu Roy R. |
11485835 | Impact resistant cyclic phosphazenes | Kobilka Brandon M.; Kuczynski Joseph; Porter Jacob T.; Wertz Jason T. |
11486857 | Carrier-resolved photo-hall system and method | Gunawan Oki; Zhou Wang |
11486921 | Protection adapter for oscilloscope probes | Telles Felipe Ferraz; Sobierajski Mark; Franke Hubertus; Joshi Rajiv |
11486976 | Tamper-proof LiDAR | Cohen Guy M.; Falk Abram L. |
11487047 | Forecasting environmental occlusion events | Singh Jitendra; Tewari Mukul; Nagar Seema; Dey Kuntal |
11487276 | Salvaging outputs of tools | Hershkovitz Oz Dov |
11487308 | Ensuring IoT device functionality in the presence of multiple temperature dependencies | Mehta Haard Kamlesh; Wolpert David |
11487351 | Intelligent directing system in an internet of things (IoT) computing environment | Buesser Beat; Gazzetti Michele; Gkoufas Yiannis; Katrinis Kostas; Lonij Vincent; McKenna Sean A. |
11487400 | Aggregated multidimensional user interface display with electronic pen for holographic projection | Decrop Clement; Rakshit Sarbajit K.; Malvankar Abhishek; Ganci, Jr. John M. |
11487416 | Actionable business entity operating models to drive user interface behavior | Daley Stan K.; Hambrick Geoffrey M.; Haren Pierre; Jensen Claus T.; Ke Nicolas Changhai |
11487425 | Single-hand wide-screen smart device management | Bagarolo Antonio; Di Cocco Antonio; Ottaviano Paolo; Piccinini Sandro; Tortosa Andrea |
11487430 | Reducing data using a plurality of compression operations in a virtual tape library | Tsuda Takahiro; Masuda Koichi; Matsui Sosuke; Nohta Takeshi; Mitsuma Shinsuke; Kawamura Kousei |
11487457 | Reclassification of files in a hierarchical storage management system | Hasegawa Tohru; Itagaki Hiroshi; Miyamura Tsuyoshi; Mitsuma Shinsuke; Abe Atsushi; Yamamoto Noriko |
11487458 | Risk detection of data loss for 5G enabled devices | Trim Craig M.; Hodge Rashida A.; Sivakumar Gandhi; Patel Kushal; Patel Sarvesh S. |
11487506 | Condition code anticipator for hexadecimal floating point | Mueller Silvia Melitta; Leber Petra; Schelm Kerstin Claudia; Lichtenau Cedric |
11487508 | Magnetic tunnel junction based true random number generator | Topaloglu Rasit O.; Sun Jonathan Z.; Gottwald Matthias G.; Kothandaraman Chandrasekharan |
11487537 | Linking operational events with system changes | Nidd Michael Elton; Orumbayev Altynbek; Hwang Jinho; Shwartz Larisa; Krchak Jakub; Wang Qing; Bagehorn Frank; Sandr Ota; Ondrej Tomas; Parthasarathy Srinivasan; Mylek Michal |
11487542 | Instruction cache behavior and branch prediction | Liu Yang; Wang Ting; Li Qi; Zhang Qing; Haochen Gui; Guo Xiao Ping; Zeng Xiao Hua; Wang Yangming; Li Yi; Li Hua Qing; Fei Fei |
11487547 | Extended asynchronous data mover functions compatibility indication | Gomes Louis P.; Giamei Bruce; Siegel Timothy; Farrell Mark; Klein Matthias |
11487556 | Command-type filtering based on per-command filtering indicator | Gomes Louis P.; Kisley Richard Victor |
11487586 | Time-based element management in a computer system using temporal node trees | Hand Leonard Scott; Chess Catherine Anne; Murasso Christopher; Engeleit Christine |
11487602 | Multi-tenant integration environment | Reeve John Anthony; Thompson Benjamin Graham; Hosie John; Paton Alasdair John |
11487603 | Cognitive service request construction | Kalia Anup; Hwang Jinho; Vukovic Maja; Wu Frederick Y. |
11487604 | Cognitive service request construction | Kalia Anup; Hwang Jinho; Vukovic Maja; Wu Frederick Y. |
11487631 | Data refresh in a replication environment | Willoughby Austin; Cadarette Paul M.; Upton Gregg Andrew |
11487650 | Diagnosing anomalies detected by black-box machine learning models | Ide Tsuyoshi; Dhurandhar Amit; Navratil Jiri; Abe Naoki; Singh Moninder |
11487672 | Multiple copy scoping bits for cache memory | Rhee Chunggeon; Walters Craig R.; Bamdhamravuri Ram Sai Manoj; Bronson Timothy; Alexander Gregory William |
11487702 | Object mining and recognition system | Williamson Leigh; Lee Aaron; Johnston Marietta; McAvoy Kellin; Parsons Michael-James; Krishm Lakshminarayanan |
11487707 | Efficient file path indexing for a content repository | Victor David Brian |
11487710 | Method and system for providing storage checkpointing to a group of independent computer applications | Havemose Allan; Backensto Keith Richard |
11487714 | Data replication in a data analysis system | Beier Felix; Butterstein Dennis; Lueck Einar; Perathoner-Tschaffler Sabine; Martin Daniel |
11487727 | Resolving versions in an append-only large-scale data store in distributed data management systems | Tian Yuanyuan; Raman Vijayshankar; Barber Ronald J.; Sidle Richard S.; Tozun Pinar; Mueller Rene; Grosman Ronen; Storm Adam J.; Garcia-Arellano Christian M.; Lohman Guy M. |
11487730 | Storage resource utilization analytics in a heterogeneous storage system environment using metadata tags | Bhagwat Deepavali; Bhosale Nilesh; Dain Joseph; Hewitt James; Lee Frank N.; Sawdon Wayne |
11487735 | Combinators | Skrenta Rich; Dole Bryn Robert; Markson Michael; Peters Keith; Saliba Robert Michael; Truel Robert N.; Lindahl Gregory B. |
11487741 | Preservation of uniqueness and integrity of a digital asset | Gaur Nitin; Irazabal Jeronimo |
11487750 | Dynamically optimizing flows in a distributed transaction processing environment | Ananthapadmanabh Madhu B.; Gokavarapu Nageswararao V.; Moothoor Jithesh; Srinivasan Raghavendran |
11487760 | Query plan management associated with a shared pool of configurable computing resources | Konik Rafal P.; Mittelstadt Roger A.; Muras Brian R. |
11487767 | Automated object checklist | Vannini Enrico; Elliott Louise Suzanne; Choudhury Abdul Mumin; Ross Martin A. |
11487768 | Generating search results utilizing access control | Hasegawa Tohru; Tashiro Takahito |
11487770 | Sorting data elements of a given set of data elements | Maier Albert; Grasselt Mike W.; Saillet Yannick; Bremer Lars; Baessler Michael |
11487776 | Managing extract-transform-load operations | Bodziony Michal; Studzienny Lukasz Stanislaw; Laskawiec Andrzej; Piatek Monika; Luczynski Marcin; Filip Marcin; Zatorski Tomasz |
11487781 | Visualizing sparse multi-dimensional data | Parashar Rahul |
11487783 | Clone data object and software generation | Anders Kelley; Fox Jeremy R.; Dunne Jonathan; Xiao Ping |
11487801 | Dynamic data visualization from factual statements in text | Gibson Stephen D.; Watts Graham A. |
11487820 | Dynamic natural question generation via semantic knowledge representation | Mostafa Mohammed; Khanafer Ali; Habbouche Joyce Miryam; Shaar Rola |
11487822 | Facilitating spatial indexing on distributed key-value stores | Ganti Raghu Kiran; Srivatsa Mudhakar; Agrawal Dakshi; Lee Kisung |
11487824 | Automated database query filtering for spatial joins | Behnen Marion; Bhandari Pooja; Zentgraf Christian |
11487827 | Extended query performance prediction framework utilizing passage-level information | Roitman Haggai |
11487847 | Matrix factorization with two-stage data block dispatch associated with graphics processing units | Duesterwald Evelyn; Fong Liana Liyow; Tan Wei; Xie Xiaolong |
11487851 | Using blockchain for flexible application licensing | Jose Rojan; Arthur Brian M.; Dhoot Akash U.; Moyal Shailendra; Korting Joseph; Navani Karan K. |
11487856 | Enhanced security access | Bai Song; Cai Xiang; Deng Xue Mei; Huang Lu; Liang Yang; Qian Yin |
11487866 | Remote permissions monitoring and control | Bender Michael; Childress Rhonda L.; Kumhyr David B.; Spisak Michael John |
11487886 | Database private document sharing | Koorella Vijender; Verma Pramod; Gunjal Richard; Chao Ching-Yun; Irazabal Jeronimo |
11487894 | Performing secure queries from a higher security domain of information in a lower security domain | Bent Graham A.; Bergamaschi Flavio A. |
11487901 | Anonymizing relational and textual data | Choudhury Olivia; Gkoulalas-Divanis Aris |
11487906 | Storage sharing between a secure domain and a non-secure entity | Heller Lisa Cranton; Busaba Fadi Y.; Bradbury Jonathan D. |
11487918 | Resultant digital replica | Santhar Sathya; Jawaharlal Samuel Mathew; Sivaramalingam Balamurugaramanathan; Rakshit Sarbajit K. |
11487922 | Optimizing control actions of a control system via automatic dimensionality reduction of a mathematical representation of the control system | Zadorojniy Alexander |
11487931 | Replaying a webpage based on virtual document object model | Yin Wen Bao; Liao Jun; Shen Rui; Wang Dan Dan; He Shiwen |
11487938 | Methods and systems for improving language processing for ambiguous instances | Srinivasan Ramesh G; Katti Samir; Dani Mohan Nagraj; Changappa Harshavardhan; Pentakota Raviprasad |
11487940 | Controlling abstraction of rule generation based on linguistic context | Ishikawa Shunsuke; Kumagai Ken; Nakayama Akihiro; Fukuda Susumu |
11487949 | Image object disambiguation resolution using learner model based conversation templates | Ekambaram Vijay; Dey Prasenjit; Kokku Ravindranath; Mittal Ruhi Sharma |
11487963 | Automatically determining whether an activation cluster contains poisonous data | Angel Nathalie Baracaldo; Chen Bryant; Srivastava Biplav; Ludwig Heiko H. |
11487967 | Finetune image feature extraction using environmental data | Bera Jhilam; Ananthapur Bache Vijay Kumar; Vasudevan Rengia Ramaiyan; Ekambaram Vijay |
11487990 | Resistive crossbar arrays with reduced numbers of elements | Kim Seyoung; Gokmen Tayfun |
11488001 | Neuromorphic devices using layers of ion reservoirs and ion conductivity electrolyte | Li Ning; Sadana Devendra K. |
11488007 | Building of custom convolution filter for a neural network using an automated evolutionary process | Choudhury Mihir; Sood Atin; Puri Ruchir |
11488014 | Automated selection of unannotated data for annotation based on features generated during training | Zlotnick Aviad |
11488029 | Cognitive process code generation | Hull Richard B.; Motahari Nezhad Hamid R. |
11488036 | Multi-class classification evaluation metric determination | Bigaj Rafal; Cmielowski Lukasz G.; Malecki Bartlomiej Tomasz; Sobala Wojciech |
11488050 | Signal-to-noise based error detection | Shah Priti Ashvin; Wildstrom Jonathan Allen |
11488055 | Training corpus refinement and incremental updating | Kumar Prashant; Vaughan John |
11488064 | Machine learning model for micro-service compliance requirements | Bulut Muhammed Fatih; Hwang Jinho; Kanso Ali; Nadgowda Shripad |
11488083 | Risk failure prediction for line assets | Bertoni Scarton Tiago; Bianchi Thiago; Nascimento Vicente Marlon; Pereira Tarcisio; Souto Maior Joao; Fontes Iunes Thiago Roberto |
11488099 | Supply-chain simulation | Koc Ali; Harsha Pavithra; Jagmohan Ashish; Deshpande Ajay Ashok; Mohan Rakesh; Zhang Yun |
11488172 | Intelligent agent to simulate financial transactions | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11488181 | User satisfaction in a service based industry using internet of things (IoT) devices in an IoT network | Madduri Hari H.; Mukherjee Maharaj; Ng Joanna W. |
11488185 | System and method for unsupervised abstraction of sensitive data for consortium sharing | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11488207 | Sales assistance based on purchasing prediction | Adoni Siddique M.; Shanmugam Dhandapani |
11488227 | Topology based interoperability determination for information technology infrastructure | Li Qi Cheng; Mei Lijun; Chen Hao; Zhou Xin; Gan Chuang |
11488240 | Dynamic chatbot session based on product image and description discrepancy | Kochura Nadiya; Kwatra Shikhar; Banipal Indervir Singh; Mazumder Sourav |
11488331 | Smart interactive simulation-based content on a flexible display device | Kwatra Shikhar; Fox Jeremy R.; Trim Craig M.; Rakshit Sarbajit K. |
11488474 | Identifying available parking areas | Gambella Claudio; Dekusar Anton; Ordonez-Hurtado Rodrigo Hernan; Lassoued Yassine; Monteil Julien; Cabrero Barros Sergio |
11488571 | Anti-eavesdrop security device | Berge Layne A.; Bjorgaard Jason J.; Dangler John R.; Doyle Matthew; Liang Thomas W.; Schoneck Kyle; Walther Matthew A.; Judd Jeffrey N.; Newshutz Henry Michael; Kelly Matthew S |
11488585 | Real-time discussion relevance feedback interface | O'Connor James Philip; Ostrand Rachel |
11488615 | Real-time assessment of call quality | Fox Jeremy R.; Anders Kelley; Dunne Jonathan; Harpur Liam S. |
11488616 | Real-time assessment of call quality | Fox Jeremy R.; Anders Kelley; Dunne Jonathan; Harpur Liam S. |
11488620 | Fast playback in media files with reduced impact to speech quality | Jain Deepa |
11488629 | Protective layer for mitigating protruding defects in magnetic tape recording media | Biskeborn Robert G.; Lo Calvin S. |
11488634 | Generating video summaries based on notes patterns | Decrop Clement; Jepperson Jacob Ryan; Reynolds Spencer Thomas; Silverstein Zachary A.; Fox Jeremy R. |
11488664 | Distributing device array currents across segment mirrors | Mackin Charles; Narayanan Pritish; Burr Geoffrey |
11488701 | Cognitive health state learning and customized advice generation | Bettencourt Da Silva Joao H.; Kotoulas Spyros; Mulligan Natasha; Sbodio Marco Luca |
11488863 | Self-aligned contact scheme for pillar-based memory elements | Briggs Benjamin D.; Lanzillo Nicholas Anthony; Rizzolo Michael |
11489009 | Integrating embedded memory on CMOS logic using thin film transistors | Wu Heng; Frougier Julien; Doris Bruce B.; Zhang Chen; Xie Ruilong |
11489044 | Nanosheet transistor bottom isolation | Bi Zhenxing; Cheng Kangguo; Song Yi; Zou Lijuan |
11489045 | Nanosheet transistor with body contact | Reznicek Alexander; Xie Ruilong; Hekmatshoartabari Bahman; Ning Tak H. |
11489103 | Fabricating transmon qubit flip-chip structures for quantum computing devices | Chow Jerry M.; Rosenblatt Sami |
11489111 | Reversible resistive memory logic gate device | Chen Hsueh-Chung; Wang Junli; Fan Su Chen |
11489118 | Reliable resistive random access memory | Li Baozhen; Yang Chih-Chao; Wu Ernest Y; Kim Andrew Tae |
11489219 | Encapsulating in-situ energy storage device with electrode contact | Collins John; Sadana Devendra K.; Webb Bucknell C.; Andry Paul S. |
11489344 | Altering battery charging rate based on physical activity | Werner John S.; Candan Enver; Malcolm Brianna; Alzamora Matthew; Robles Chacon Hanami; Finch Richard Burton |
11489662 | Special relationships in a blockchain | Deshpande Pralhad Dinesh; Sood Raghav; Yuan Yuan |
11489663 | Correlation-based hash tree verification | Kawahara Ryo |
11489672 | Verification of conditions of a blockchain transaction | Linton Jeb R.; Kraemer James R. |
11489687 | Optimized electronic conference system | Bhide Manish Anand; Ayyagari Phani Kumar V. U.; Chukka Madan K; Jasti PurnaChandra Rao |
11489793 | Response qualification monitoring in real-time chats | Bastide Paul R.; Dunne Jonathan D. |
11489796 | Content relevance based on discourse attachment arrangement | Hewitt Trudy L.; Silverstein Zachary A.; Dunne Jonathan D.; Harpur Liam S.; Li Qi |
11489818 | Dynamically redacting confidential information | Werner John S.; Buschmann Luke N.; Hoover Bradley J. |
11489821 | Processing a request to initiate a secure data transfer in a computing environment | Zee Mooheng; Sczepczenski Richard Mark |
11489877 | Cybersecurity maturity determination | Jeffery Eric; Kwatra Shikhar; Griffin Adam Lee; Horowitz Jason |
11489911 | Transmitting data including pieces of data | Clerc David; Fontignie Jacques; Garcés-Erice Luis; Rooney John G.; Scotton Paolo |
11489939 | Smart egress in service mesh | Kairali Sudheesh S.; Rakshit Sarbajit K. |
11490035 | Dynamic activation of under-display camera | Abrahams Faried; Starks Kimberly Greene; Patel Kushal S.; Sivakumar Gandhi; Patel Sarvesh S. |
11493165 | Monitor position adjustment based on application metadata | Fitzsimmons Thomas D.; Golden Ryan; Freitas Zachary; Flagg Troy |
11493565 | Leakage characterization and management for electronic circuit enhancement | Doyle Matthew; Busby James; Cohen Edward N.; Dangler John R.; Fisher Michael; Higby Arthur; Long David Clifford |
11493901 | Detection of defect in edge device manufacturing by artificial intelligence | Ouyang Qiqing Christine; Khapov Igor |
11493995 | Tactile user interactions for personalized interactions | Sivakumar Gandhi; Omanwar Anil Manohar; Patel Kushal S.; Patel Sarvesh S. |
11494054 | Item recommendation based on computed similarity between automatically generated item and request profiles | Song Xiang Yu; Ma Xiao Yuan; Huang Chao Yuan; Gu Yu Jie |
11494174 | Remote system update and monitoring without inbound connection | Valentine Brian D; Eggleston John Dale; Boisvert Brent J.; Allen Michael J; Lucks Michael; Drew Brendon |
11494304 | Indicating extents of tracks in mirroring queues based on information gathered on tracks in extents in cache | Gupta Lokesh Mohan; Ash Kevin J.; Anderson Kyler A.; Kalos Matthew J. |
11494309 | Cache management based on types of I/O operations | Anderson Kyler A.; Ash Kevin J.; Gupta Lokesh M. |
11494338 | Caching files in a directory | Hasegawa Tohru; Itagaki Hiroshi; Miyamura Tsuyoshi; Yamamoto Noriko; Mitsuma Shinsuke |
11494341 | Inter-process communication in a multi-tenant environment | Bain Peter D. |
11494344 | Customized endorsement logic for blockchain | Jayachandran Praveen; Natarajan Senthilnathan; Viswanathan Balaji |
11494351 | Deduplication of encrypted data | Sanders Lee Jason; Jones Gareth Paul; Sasson Ben; Hutchison Gordon Douglas |
11494353 | Detecting interesting decision rules in tree ensembles | Spisic Damir; Xu Jing |
11494392 | Tracking entity activity using computer generation of values for blockchain network entries | Lewis Anil; Saikouski Andrei; Kim Joseph; Karmali Ally; Safadi Charbel |
11494432 | Micro-location based photograph metadata | Greenberger Jeremy A. |
11494433 | Expansion of a question and answer database | Kabeya Yoshinori; Nagano Toru; Suzuki Masayuki; Yoshida Issei |
11494439 | Digital modeling and prediction for spreading digital data | Sukhija Sandeep; Shinde Rakesh; Bharti Harish; Saxena Rajesh Kumar; Patra Abhay Kumar |
11494445 | Group-based tape storage access ordering | Sarafijanovic Slavisa; Lantz Mark Alfred; Greco Paul Merrill |
11494469 | Ownership assignment for a communication system component | Maier Albert; Schwarz Thomas; Gerstl Peter; Suhre Oliver |
11494488 | Security incident and event management use case selection | Syed Tousif Ahmed; Aboualy Tamer; Boshoff Dusty |
11494496 | Measuring overfitting of machine learning computer model and susceptibility to security threats | Grosse Kathrin; Lee Taesung; Park Youngja; Molloy Ian Michael |
11494532 | Simulation-based optimization on a quantum computer | Woerner Stefan; Zoufal Christa; Egger Daniel Josef; Barkoutsos Panagiotis; Tavernelli Ivano |
11494588 | Ground truth generation for image segmentation | Staar Peter Willem Jan; Dolfi Michele; Auer Christoph; Georgopoulos Leonidas; Bekas Konstantinos |
11494591 | Margin based adversarial computer program | Zhang Yang; Chang Shiyu; Yu Mo; Kung David S. |
11494611 | Metadata-based scientific data characterization driven by a knowledge database at scale | Souza Renan Francisco Santos; da Gama e Silva Reinaldo Mozart; da Silva Ferreira Rodrigo; Ashton Vital Brazil Emilio; Silva Viviane Torres da |
11494634 | Optimizing capacity and learning of weighted real-valued logic | Luus Francois Pierre; Riegel Ryan Nelson; Akhalwaya Ismail Yunus; Khan Naweed Aghmad; Vos Etienne Eben; Makondo Ndivhuwo |
11494637 | Layer-wise distillation for protecting pre-trained neural network models | Chakraborty Supriyo; Rigotti Mattia |
11494655 | Random matrix hardware for machine learning | Sun Xiao; Kim Youngseok; Yeh Chun-Chen |
11494677 | Recording inference using a blockchain | Witbrock Michael J. |
11494700 | Semantic learning in a federated learning system | Pastore Vito Paolo; Zhou Yi; Baracaldo Angel Nathalie; Anwar Ali; Bianco Simone |
11494718 | Runbook deployment based on confidence evaluation | Thornhil Matthew Richard James; Griffin David Jon; Taher Luke |
11494720 | Automatic contract risk assessment based on sentence level risk criterion using machine learning | Akella Raji Lakshmi; Dang Xuan-Hong; Shah Syed Yousaf; Zerfos Petros; Laverde Echeverria Milton Orlando; Potter Ashley |
11494732 | Need-based inventory | Goodman Zachary James; Williams, Jr. Ernest Bernard; Bissex H. Ramsey; Rakshit Sarbajit K.; Fox Jeremy R. |
11494742 | Dynamic workplace set-up using participant preferences | Janakiraman Janani; Lu Fang; Kochura Nadiya; Liu Su |
11494781 | Buyback provision mechanism | Maina Samuel; Diriye Abdigani; Weldemariam Komminist |
11494783 | Display and shelf space audit system | Elshishiny Hisham E.; Perumal Sivananthan |
11494796 | Context aware gamification in retail environments | Rakshit Sarbajit K.; Sundararajan Mukundan |
11494802 | Guiding customized textual persuasiveness to meet persuasion objectives of a communication at multiple levels | Shah Abhishek; Poddar Ananya Aniruddha; Padhi Inkit; Madaan Nishtha; Mehta Sameep; Dey Kuntal |
11494835 | Intelligent agent to simulate financial transactions | Harris Brandon; Kelton Eugene I.; Vollmer Chaz |
11494864 | Securing physical environments through combinatorial analytics | Bostick James E.; Ganci, Jr. John M.; Keen Martin G.; Rakshit Sarbajit K.; Trim Craig M. |
11494996 | Dynamic interaction deployment within tangible mixed reality | Baughman Aaron K.; Kwatra Shikhar; Patel Pritesh; Ekambaram Vijay; Dey Prasenjit |
11495038 | Digital image processing | Staar Peter Willem Jan; Dolfi Michele; Auer Christoph; Georgopoulos Leonidas; Bekas Konstantinos |
11495211 | Memory deterioration detection and amelioration | Kwatra Shikhar; Wilson John D.; Fox Jeremy R.; Rakshit Sarbajit K. |
11495216 | Speech recognition using data analysis and dilation of interlaced audio input | Baughman Aaron K.; Shelton Corey B.; Hammer Stephen C.; Kwatra Shikhar |
11495259 | Fabrication methods for magnetic recording tape having resilient substrate | Bradshaw Richard |
11495262 | Duplexing data from multiple file systems onto a shared tape | Mitsuma Shinsuke; Miyamura Tsuyoshi; Yamamoto Noriko; Itagaki Hiroshi; Hasegawa Tohru; Abe Atsushi |
11495368 | Hydrophobic, conductive organic materials for metallic surfaces | Kobilka Brandon M.; Kuczynski Joseph; Porter Jacob T.; Wertz Jason T. |
11495538 | Fully aligned via for interconnect | Xie Ruilong; Waskiewicz Christopher J.; Yang Chih-Chao; Clevenger Lawrence A.; Dutta Ashim |
11495668 | Full air-gap spacers for gate-all-around nanosheet field effect transistors | Ando Takashi; Hashemi Pouya; Lee Choonghyun; Reznicek Alexander; Zhang Jingyun |
11495669 | Full air-gap spacers for gate-all-around nanosheet field effect transistors | Ando Takashi; Hashemi Pouya; Lee Choonghyun; Reznicek Alexander; Zhang Jingyun |
11495673 | Vertical fin field effect transistor with a reduced gate-to-bottom source/drain parasitic capacitance | Zhang Chen; Cheng Kangguo; Miao Xin; Xu Wenyu |
11495688 | Source and drain epitaxy and isolation for gate structures | Cheng Kangguo; Li Juntao; Xu Peng; Bi Zhenxing |
11495724 | Superconducting structure and device surface termination with alloy | Wymore Benjamin; Lavoie Christian; Brink Markus |
11496094 | Voltage-controlled oscillator with centertap bias | Strom James; Ainspan Herschel Akiba; Davies Andrew D.; Borkenhagen John |
11496164 | Efficient multi-band transmitter | Chakraborty Sudipto; Joshi Rajiv |
11496285 | Cryptographic side channel resistance using permutation networks | Boivie Richard Harold; Friedman Daniel Joseph; Jutla Charanjit Singh; Shahidi Ghavam G. |
11496291 | Validating received sensor data using computer cryptographic processing | Chakra Al; Frey Bryce; Shrivastava Komal; Erskine Jonathan; Fletcher Matthew |
11496301 | Publish/subscribe messaging | Edwards Ian Charles; Levell Jonathan; Kufluk Bernard Zdzislaw |
11496302 | Securely processing secret values in application configurations | Ohms Konrad; Kim Diane; Kalsi Amardeep S. |
11496309 | Method for performing a disjunctive proof for two relations | del Pino Rafael; Lyubashevsky Vadim; Neven Gregory; Seiler Gregor |
11496503 | Event data fencing based on vulnerability detection | Vasudevan Cheranellore; Balasubramanian Swaminathan; Das Sibasis; Jaiswal Priyansh; Jaiswal Peeyush |
11496521 | Feedback loop for security audit logs | Byron Donna K.; Nair VinodKumar Raghavan; Sundararajan Monica; Subedi Sujana; Vijayadharan Nurdan |
11496598 | Caching data at network processing nodes based on device location | Hamilton, II Rick A.; Katz Neil A.; O'Connell Brian M.; Walker Keith R. |
11496602 | Fence computing | Chakra Al; Chan Yuk L.; Dunne Jonathan; Harpur Liam S. |
11496802 | Media stream delivery | Gritzman Ashley D.; Kurien Toby; Khan Naweed Aghmad; Akhalwaya Ismail Yunus; Weldemariam Komminist |
11496854 | Mobility based auditory resonance manipulation | Preiss Tanner Mark; Compton Christian; Fox Jeremy R.; Rakshit Sarbajit K. |
11497143 | Mechanically flexible cold plates for low power components | Coteus Paul W.; Schultz Mark D.; Takken Todd E.; Tian Shurong |
11497156 | Farm cultivation quality | Mutahi Juliet; Kaguma David W.; Karumba Samuel Maina; Bore Nelson Kibichii; Silva de Lucena Percival; Kinai Andrew; Weldemariam Komminist; Waweru Peninah M. |
11497455 | Personalized monitoring of injury rehabilitation through mobile device imaging | de Hoog Julian; Mahapatra Dwarikanath; Garnavi Rahil; Jalali Fatemeh |
11498063 | Selectively functionalized porous material | Dubois Geraud J.; Michel Bruno; Ruch Patrick; Saliba Sarmenio; Volksen Willi |
11498069 | Rapid testing device for wastewater analysis | Ferreira da Silva Ademir; Marçal Daniel Vitor Lopes Marcondes; Tirapu Azpiroz Jaione; Esteves Ferreira Matheus; Steiner Mathias B.; Ohta Ricardo Luis |
11498307 | Shape memory materials with reversible transitions | Buvid Daniel J.; Campbell Eric J.; Jandt Tyler; Kuczynski Joseph |
11498569 | Vehicle equipment for notification of traffic impacting events | Kussmaul Timo; Hansmann Uwe |
11498760 | Deployable and retrievable section dividers | Kane Michael; Masterson Colin Edward; Porter Jacob; Cole Marie; Khambati Suraush |
11499627 | Advanced vehicle transmission control unit based on context | Humble James; Kozloski James R.; Pickover Clifford A.; Rumbell Tim |
11499815 | Visual quality assessment augmentation employing holographic interferometry | Kline Eric V. |
11499953 | Feature tuning—application dependent feature type selection for improved classification accuracy | Adebiyi Aminat; Abdi Mohammed; Fasoli Andrea; Mannari Alberto; Bozano Luisa |
11499998 | Current sensor | Khaddam-Aljameh Riduan; Francese Pier Andrea |
11500118 | Seismic data representation and comparison | da Silva Ferreira Rodrigo; Ashton Vital Brazil Emilio; Fontoura de Gusmao Cerqueira Renato |
11500285 | Multifunctional polymers | Bozano Luisa D.; Domon Daisuke; Kawai Yoshio; Masunaga Keiichi; Sanchez Martha I.; Sanders Daniel P.; Sooriyakumaran Ratnam; Sundberg Linda K.; Watanabe Satoshi |
11500290 | Adhesion promoters | Goldfarb Dario; Kumar Bharat; De Silva Ekmini Anuja; Guo Jing |
11500293 | Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer | De Silva Ekmini Anuja; Seshadri Indira; Guo Jing; Dutta Ashim; Felix Nelson |
11500340 | Performance evaluation based on resource dynamics | Lu Yingdong; Sharma Mayank; Squillante Mark S.; Zhang Bo; Yamazaki Kazutoshi |
11500399 | Adjustable control of fluid processing networks based on proportions of server effort | Shindin Evgeny; Boni Odellia; Ship Harold Jeffrey |
11500430 | Data storage library with service mode for protecting data storage drives | Gale Ernest S.; Goodman Brian G.; Iben Icko E. T.; Jesionowski Leonard G.; Karp James M.; McIntosh Michael P.; Nave Shawn M.; Randall Lee C. |
11500545 | Adaptive tape library and humidity-based drive operations | Nave Shawn M.; Jesionowski Lee |
11500546 | Transparent checkpointing and process migration in a distributed system | Varadarajan Srinidhi; Ruscio Joseph |
11500554 | Access revocation messaging mechanism | Tav Doga; Tackabury Wayne F. |
11500568 | LPM management using contingent and conditional inputs | Salajan Raul; Ramagiri Vani D.; Barrett Cindy; Kalavakolanu Anil; Parthasarathi Srinivasa Raghavan Masthi; Griffith Douglas |
11500604 | Presenting a document on a computer | Li Hong; Ren Lei; Wang Yun Sheng; Zhao Jun Wei |
11500614 | Stacked FET multiply and accumulate integrated circuit | Hekmatshoartabari Bahman; Xie Ruilong; Reznicek Alexander; Zhang Jingyun |
11500619 | Indexing and accessing source code snippets contained in documents | Abdelaziz Ibrahim; Dolby Julian Timothy; Srinivas Kavitha |
11500642 | Assignment of microprocessor register tags at issue time | Battle Steven J.; Leenstra Jentje; Barrick Brian D.; Nguyen Dung Q.; Thompto Brian W. |
11500652 | Method and system for application loading | Liu Xin Peng; Xing Zhou; Zou Jia |
11500653 | Signal handling between programs associated with different addressing modes | Liu Ming Ran; Zhang Bao; Li Naijie; Lu Jing; Tang Xiao Yan; Mehta Kershaw S. |
11500654 | Selecting a set of fast computable functions to assess core properties of entities | Narayanam Ramasuri; Sanagapati Sahitya; Bellamkonda Radha; Garg Shweta |
11500660 | Self-learning artificial intelligence voice response based on user behavior during interaction | Kwatra Shikhar; Rakshit Sarbajit K.; Fox Jeremy R. |
11500661 | Performing an application snapshot using process virtual machine resources | Ajila Oluwatobi; Heidinga Daniel |
11500671 | System for operationalizing high-level machine learning training enhancements from low-level primitives | Duesterwald Evelyn; Murthi Anupama; Vijaykeerthy Deepak; Arya Vijay; Venkataraman Ganesh |
11500673 | Dynamically generating an optimized processing pipeline for tasks | Taher Luke; Ramos Diogo Alexandre Ferreira; Thai Vinh Tuan |
11500686 | Resource management of a software application with multiple software components | de Capoa Gabriele; Villani Massimo |
11500700 | Leasing prioritized items in namespace indices | Dubucq Thomas; Dhuse Gregory R. |
11500703 | Processing concurrent message sequences | Wei Jing Jing; Wang Yue; Wang Jia Lin; Wu Yi Fan; Zheng Qi Han; Ding Bing; Lu Jun Ying; Wang Haitao |
11500705 | Action recommendation to reduce server management errors | Adam Constantin M.; Bhamidipaty Anuradha; Nallacherry Jayan; Padhi Debasisha K.; Ruan Yaoping; Wu Frederick Y.-F. |
11500733 | Volatile database caching in a database accelerator | Beier Felix; Butterstein Dennis; Lueck Einar; Perathoner-Tschaffler Sabine |
11500774 | Virtual cache tag renaming for synonym handling | Campbell David; Lloyd Bryan |
11500830 | Learning-based workload resource optimization for database management systems | Quader Shaikh Shahriar; Jaramillo Duran Nicolas Andres; Mukhopadhyay Sumona; Papangelis Emmanouil; Litoiu Marin; Kalmuk David; Mierzejewski Piotr |
11500833 | Archiving accelerator-only database tables | Dörre Felix; Oliveira Lizardo Luis Eduardo; Laudien Sascha; Stolze Knut |
11500835 | Cohort management for version updates in data deduplication | Hutchison Gordon D.; Beeken Christopher B. E.; Sasson Ben; Sanders Lee J. |
11500840 | Contrasting document-embedded structured data and generating summaries thereof | Gupta Ankush; Munigala Vitobha; Agarwal Arvind; Guttula Shanmukha Chaitany; Vasa Mitesh H. |
11500841 | Encoding and decoding tree data structures as vector data structures | Fokoue-Nkoutche Achille; Crouse Maxwell; Witbrock Michael; Musa Ryan A.; Chang Maria |
11500844 | Synthetic data creation for dynamic program analysis | Tateishi Takaaki; Nakamura Yuichi; Takahashi Toshihiro |
11500849 | Universal streaming change data capture | Garcés Erice Luis; Rooney John G.; Urbanetz Peter; Bauer Daniel Nikolaus |
11500854 | Selective data synchronization to troubleshoot production environment failures | Munir Kushal S.; Reinhardt Edmund H.; Sze Hoi Wing Holman; Truong Trong |
11500855 | Establishing transaction metadata | Bohm Fraser P.; Brooks Michael D.; Cocks Martin W.; He Jenny J.; Horn Julian C. |
11500858 | Generating three-dimensional spikes using low-power computing hardware | Asif Umar; Roy Subhrajit; Tang Jianbin; Harrer Stefan |
11500864 | Generating highlight queries | Wood John Jesse; Kirwan Michael; Morgan Gareth James Hugh; Pook Pierre; Memarzia Kristian; Pywell Zachary Charles Beckett |
11500867 | Identification of multiple foci for topic summaries in a question answering system | Boxwell Stephen A.; Brake Kyle M.; Frost Keith G.; Vernier Stanley J. |
11500870 | Flexible query execution | Wang Xiaobo; Li Shuo; Sun Sheng Yan; Liu Xin Peng |
11500876 | Method for duplicate determination in a graph | Stuart Thuany Karoline; Elasioty Basem; Fanconi Claudio Andrea; Grasselt Mike W.; Babu Hemanth Kumar; Saillet Yannick; Kern Robert; Oberhofer Martin; Bremer Lars; Roesner Jonathan; Woods Jason Allen |
11500877 | Streaming tuple database control | Konik Rafal; Sun Jingdong; Mittelstadt Roger; Eidem Jessica R. |
11500886 | Finding locations of tabular data across systems | Rooney John G.; Garcés Erice Luis |
11500910 | Similarity based negative sampling analysis | Dash Sarthak; Gliozzo Alfio Massimiliano; Glass Michael Robert |
11500914 | Query recommendation to locate an application programming interface | Kalia Anup; Xiao Jin; Deng Yu; Vukovic Maja |
11500922 | Method for sensory orchestration | Fox Jeremy R.; Rice John C.; Harpur Liam S.; Kau Christian B. |
11500929 | Hierarchical federated learning using access permissions | Choudhury Olivia; Ranchal Rohit; Ramasamy HariGovind Venkatraj; Das Amarendra |
11500932 | Change-point driven feature selection for multi-variate time series clustering | Kulkarni Kedar; Seshadri Padmanabha Venkatagiri; Dwivedi Satyam |
11500940 | Expanding or abridging content based on user device activity | Werner John S.; Tsfasman Arkadiy O.; Gross Tyler; Kobilka Brandon M. |
11500949 | Matching users by leveraging indoor proximity and availability | Jadav Divyesh; Arar Raphael I. |
11500950 | Digital search results generation | Plant Laurence James; Poynter Christopher James |
11500963 | Fast PCA of evolving data using analog crossbar array | Ubaru Shashanka; Kalantzis Vasileios; Horesh Lior; Squillante Mark S.; Avron Haim |
11500973 | Electroencephalography (EEG) based authentication | Chen Hao; Dang Ya Bin; Li Qi Cheng; Li Shao Chun; Ma Jie; Mei Lijun; Wang Jian; Yu Yipeng; Zhou Xin |
11500980 | Automated and auditable framework to control software component changes in an application build process | Nadgowda Shripad; Doran James |
11500988 | Binding secure keys of secure guests to a hardware security module | Buendgen Reinhard T.; Visegrady Tamas; Franzki Ingo |
11500999 | Testing simulation sequence using industry specific parameters | Spisak Michael J.; Davis Colin Richard; Garrison Carter; Sams Jonathan; Oladunjoye Ibukunoluwa I.; Pittman Tristian; Peterson Christopher A. |
11501014 | Secure data replication in distributed data storage environments | Sofia Anthony Thomas; Katonica Jason G.; Balta Trent Matthew; Cohoon Michael Terrence; Reilly Torin |
11501023 | Secure chip identification using resistive processing unit as a physically unclonable function | Kumar Arvind; Ando Takashi; Pfeiffer Dirk |
11501046 | Pre-silicon chip model of extracted workload inner loop instruction traces | Wu Nelson; Rodriguez Daniel Isaac; Gomez Gonzalez Miguel; Kapoor Shakti |
11501047 | Error injection for timing margin protection and frequency closure | Carey Sean Michael; Rizzolo Richard Frank; Hoppe Bodo; Joshi Divya Kumudprakash; Logsdon Paul Jacob; Anandavally Sreekala; Rurik William |
11501056 | Document reference and reference update | Xu Ya Ling; Zhou Xiao Yin; Yan Zhenni; Liu Xin Peng |
11501059 | Methods and systems for auto-filling fields of electronic documents | Baysinger Bryan; Kwatra Shikhar; Rakshit Sarbajit; Wilson John |
11501061 | Extracting structured information from a document containing filled form images | Foncubierta Rodriguez Antonio; Jaume Guillaume; Gabrani Maria |
11501070 | Taxonomy generation to insert out of vocabulary terms and hypernym-hyponym pair induction | Pan Feifei; Chowdhury Md Faisal Mahbub; Gliozzo Alfio Massimiliano |
11501071 | Word and image relationships in combined vector space | Tagra Ankur; Kairali Sudheesh S.; Kalangumvathakkal Vijay |
11501083 | Facilitating automatic detection of relationships between sentences in conversations | Cai Ke Ke; Ding Jing; Su Zhong; Sun Chang Hua; Zhang Li; Zhao Shi Wan |
11501087 | Populating an expert-system knowledgebase based on correspondences between knowledgebase axioms and business processes | Byron Donna K.; Katahira Reinaldo T.; Krishnamurthy Lakshminarayanan; Trim Craig M. |
11501111 | Learning models for entity resolution using active learning | Qian Kun; Popa Lucian; Sen Prithviraj; Li Min |
11501114 | Generating model insights by progressive partitioning of log data across a set of performance indicators | Arnold Matthew; Duesterwald Evelyn; Reimer Darrell; Desmond Michael; Ossher Harold Leon; Yates Robert |
11501115 | Explaining cross domain model predictions | Dana Saswati; Garg Dinesh; Chemmengath Saneem; Kenkre Sreyash; Subramaniam L. Venkata |
11501137 | Feature engineering in neural networks optimization | Trim Craig M.; Rudden Mary E.; Baughman Aaron K.; Van Der Stockt Stefan A. G.; Freund Bernard; Ragwitz Augustina Monica |
11501140 | Runtime reconfigurable neural network processor core | Cassidy Andrew S.; Appuswamy Rathinakumar; Arthur John V.; Datta Pallab; Esser Steven K.; Flickner Myron D.; Klamo Jennifer; Modha Dharmendra S.; Penner Hartmut; Sawada Jun; Taba Brian |
11501148 | Area and power efficient implementations of modified backpropagation algorithm for asymmetric RPU devices | Gokmen Tayfun; Kim Seyoung; Onen Murat |
11501156 | Detecting adversarial attacks through decoy training | Zhang Jialong; Araujo Frederico; Taylor Teryl; Stoecklin Marc Philippe |
11501157 | Action shaping from demonstration for fast reinforcement learning | Pham Tu-Hoa; Agravante Don Joven Ravoy; De Magistris Giovanni; Tachibana Ryuki |
11501160 | Cloud computing data compression for allreduce in deep learning | Cho Minsik; Zhang Wei; Finkler Ulrich |
11501165 | Contrastive neural network training in an active learning environment | Lin Chen; Sun Hongtan; Rofrano John; Vukovic Maja |
11501176 | Video processing for troubleshooting assistance | Mitra Abhishek; Gupta Nitin; Mujumdar Shashank; Mehta Sameep |
11501179 | Cognitive robotics system that requests additional learning content to complete learning process | Trim Craig M.; Povar Victor; Rakshit Sarbajit K.; Sivakumar Gandhi |
11501181 | Point-and-shoot analytics via speculative entity resolution | Krauss Kirk J. |
11501187 | Opinion snippet detection for aspect-based sentiment analysis | Zhao Shiwan; Hu Meng Ting; Guo HongLei; Su Zhong |
11501188 | Technology for predictive natural disaster response | Hulugundi Jagadesh Ramaswamy; Solanki Abhishek; Shekhar Sudhanshu; Nagar Raghuveer Prasad |
11501191 | Recommending machine learning models and source codes for input datasets | Shaikh Samiulla; Mehta Sameep; Bhide Manish; Lobig William B. |
11501196 | Qubit tuning by magnetic fields in superconductors | Frisch Albert; Barowski Harry; Brink Markus |
11501199 | Probability index optimization for multi-shot simulation in quantum computing | Chiba Hitomi; Horii Hiroshi |
11501204 | Predicting a consumer selection preference based on estimated preference and environmental dependence | Katsuki Takayuki; Osogami Takayuki |
11501222 | Training operators through co-assignment | Taher Luke; Griffin David Jon; Thornhill Matthew Richard James |
11501225 | Intelligent method to identify complexity of work artifacts | Chen Yang; Cao Li; Yan Ya Ju; Kun Zhou; Qi Li Hong; Chen Xiao Juan |
11501237 | Optimized estimates for support characteristics for operational systems | Tiwari Pranshu; Bharti Harish; Dash Pradeep; Patil Santosh; Patel Swarnalata; Trehan Saurabh |
11501239 | Metric specific machine learning model improvement through metric specific outlier removal | Cmielowski Lukasz G.; Sobala Wojciech; Erazmus Maksymilian; Bigaj Rafal |
11501241 | System and method for analysis of workplace churn and replacement | Trim Craig M.; Rudden Mary; Kluger Leo; Buttan Aman |
11501276 | Resiliency in point of service transactions using distributed computing | Srikrishnan Priti; Nayak Kiran; Sundararajan Mukundan |
11501290 | Digital currency transfer | Harish Vishruth |
11501315 | Compliance verification of connected data | Novotny Petr; Zhang Qi; Sakthi Palani; Dillenberger Donna N Eng |
11501319 | Control point compliance prediction based on regulation in multi-media | Yang Bo; Sailer Anca; Nagpurkar Priya A Ashok; Steinder Malgorzata; Su Zhong |
11501337 | Route-based information slotting based on predicted acceptance | Takemura Reiya; Fukuda Mari Abe; Sasaki Taku; Takasaki Kenichi; Bat Ulzii Tsend Ochir; Hasegawa Yuhko Kanoh |
11501345 | Optimization of trusted invoicing | Shrinivasan Yedendra; Narayanam Krishnasuri; Goel Seep; Singh Abhishek; Choudhary Vishnu |
11501349 | Advertisement metadata communicated with multimedia content | Bender Michael; Fox Jeremy R.; Bhogal Kulvir Singh; Shetty Shilpa |
11501362 | Data-driven based online-local store match | Majdabadi Hamid; Chikkala Ravithej; Silverstein Zachary A.; Liu Su |
11501423 | Dot-matrix product information encoding for food traceability | Lin Ding Ding; Ren Changrui; Xue Yong Qing; Zhang Bo |
11501426 | Tracking of mass-produced products | Silverstein Zachary A.; Fox Jeremy R. |
11501502 | Augmented reality guided inspection | Greco Nancy Anne; Dubovsky Oded; Raz Goldfarb Adi; Nard John L. |
11501530 | Spatio-temporal relation based MR content positioning | Silverstein Zachary A.; Kwatra Shikhar; Marvaniya Smitkumar Narotambhai; Ekambaram Vijay |
11501532 | Audiovisual source separation and localization using generative adversarial networks | Gan Chuang; Zhang Yang |
11501550 | Optical character recognition segmentation | Yuan Zhong Fang; Pan Yu; Liu Tong; Zhong Yi Chen; Gao Li Juan; Wu Qiong; Wu Dan Dan |
11501654 | Automated decision making for selecting scaffolds after a partially correct answer in conversational intelligent tutor systems (ITS) | D'Helon Cassius; Kasireddy Vinay Kumar Reddy; Pisciotta Sanabria Fabrizio Jose; Prabhu Srijith |
11501660 | Spatial weather map for the visually impaired | Welch Jessika Ann; Kraft Maureen |
11501749 | Selective allowance of sound in noise cancellation headset in an industrial work environment | Moyal Shailendra; Rakshit Sarbajit K.; Karri Venkata Vara Prasad |
11501752 | Enhanced reproduction of speech on a computing system | Errore Stefania; De Gregorio Marco; Colussi Agostino; Gargaro Gianluca; Matrone Salvatore |
11501810 | Amorphous spin diffusion layer for modified double magnetic tunnel junction structure | Worledge Daniel; Hu Guohan |
11501969 | Direct extreme ultraviolet lithography on hard mask with reverse tone | Mignot Yann; Xu Yongan; De Silva Ekmini Anuja; Dutta Ashim; Liu Chi-Chun |
11502169 | Nanosheet semiconductor devices with n/p boundary structure | Xie Ruilong; Zhang Jingyun; Miao Xin; Reznicek Alexander |
11502171 | Leakage-free implantation-free ETSOI transistors | De Souza Joel P.; Fogel Keith E.; Kim JeeHwan; Sadana Devendra K. |
11502202 | Transistors with uniform source/drain epitaxy | Cheng Kangguo; Xie Ruilong; Yeh Chun-Chen; Yamashita Tenko |
11502242 | Embedded memory devices | Dutta Ashim; Yang Chih-Chao; Rizzolo Michael; Standaert Theodorus E. |
11502243 | Structured pedestal for MTJ containing devices | Marchack Nathan P.; Doris Bruce B. |
11502252 | Resistive switching memory cell | Ando Takashi; Adusumilli Praneet; Vega Reinaldo; Chi Cheng |
11502738 | Transmitter with multiple signal paths | Chakraborty Sudipto; Joshi Rajiv |
11502820 | Privacy-enhanced decision tree-based inference on homomorphically-encrypted data | Ratha Nalini K.; Sarpatwar Kanthi; Shanmugam Karthikeyan; Pankanti Sharathchandra; Nandakumar Karthik; Vaculin Roman |
11502821 | Compressible (F)HE with applications to PIR | Gentry Craig B.; Halevi Shai |
11502822 | Static analysis of smart contract determinism | Iwama Futoshi; Tateishi Takaaki; Saito Shin; Amano Shunichi; Yoshihama Sachiko |
11502828 | Authenticating chaincode to chaincode invocations of a blockchain | Androulaki Elli; De Caro Angelo; Portmann Fabian; Sorniotti Alessandro |
11502834 | Refreshing keys in a computing environment that provides secure data transfer | Zee Mooheng; Sczepczenski Richard Mark; Colonna Christopher J.; Rivera Evan |
11502855 | Certificate mirroring | Jerrell Richard Ory; Rockar Mae; Diamant Galia |
11502899 | Dynamic product installation based on user feedback | Creasman James D.; Dillard Kevin D.; Johnson Jeffrey K.; Pellas Barry J.; Pellas Matthew T.; Thomas Matthew K.; Titus Paul A. |
11502907 | Monitoring VNFCs that are composed of independently manageable software modules | Melkild Keith William |
11503030 | Service processor and system with secure booting and monitoring of service processor integrity | Callaghan Patrick J.; Goldman Kenneth A.; Hunt Guerney D. H.; Palmer Elaine R.; Pendarakis Dimitrios; Safford David R.; Valentine Brian D.; Wilson George C.; Zohar Miriam |
11503047 | Relationship-based conversion of cyber threat data into a narrative-like format | Sherwood Nathan; Zeng Tina L. |
11503055 | Identifying siem event types | Tavallaee Mahbod; Bhatia Aankur |
11503093 | System and method for identifying external connections in a streaming application | Cook Alexander; Nicholls Peter A.; Nikolai Jason A.; Santosuosso John M. |
11503526 | Predictive communication compensation | Kwatra Shikhar; Awwad Ashraf Mahmoud Mohamed Ahmed; Griffin Adam Lee; Trim Craig M. |
11504844 | Maneuvering collaborative devices for a rescue mission | Murali Srikanth K.; Seshadri Padmanabha Venkatagiri; Ananthapur Bache Vijay Kumar; Ekambaram Vijay |
11507077 | Data analysis for selecting container with integrated energy source based on predicted transport energy usage | Chantz Hyman David |
11507352 | Reducing semantic errors in code generated by machine learning models | Dolby Julian Timothy; Hirzel Martin; Kate Kiran A; Mandel Louis; Shinnar Avraham Ever; Srinivas Kavitha |
11507353 | Adapting pre-compiled eBPF programs at runtime for the host kernel by offset inference | Soeters Wilhelmus Franciscus Hieronymus |
11507355 | Enforcement of signatures for software deployment configuration | McKay Michael S.; Kitchener Jacob Andrew; King Richard Adam; Lewitt Alexander |
11507535 | Probabilistic verification of linked data | Irazabal Jeronimo; Garagiola Andres |
11507557 | Dynamic sampling of streaming data using finite memory | Cecil Roy Robert |
11507601 | Matching a first collection of strings with a second collection of strings | Fusco Francesco; Ineichen Yves G.; Speiser Michel F. |
11507605 | Relationship discovery | Sadacharam Saravanan; Bhide Manish Anand; Ekambaram Vijay; Ananthapur Bache Vijay Kumar |
11507670 | Method for testing an artificial intelligence model using a substitute model | Cmielowski Lukasz G.; Bigaj Rafal; Sobala Wojciech; Erazmus Maksymilian |
11507715 | Detection of vehicle defects | Ananthapur Bache Vijay Kumar; Seshadri Padmanabha Venkatagiri; Ekambaram Vijay; Sadacharam Saravanan |
11507716 | Predicting life expectancy of machine part | Yokoyama Michihiro; Shimizu Junya |
11507721 | Scan chain wirelength optimization using Q-learning based reinforcement learning | Abdul Naiju Karim; Rao Rahul M; Antony George |
11507769 | Interpreting sensor transmission patterns to analyze anomalies in a smart environment | Keohane Susann Marie; Gerard Scott; Heching Aliza; Adams Samuel Scott; Alam Mohammad Arif Ul |
11507787 | Model agnostic contrastive explanations for structured data | Dhurandhar Amit; Chen Pin-Yu; Shanmugam Karthikeyan; Pedapati Tejaswini; Balakrishnan Avinash; Puri Ruchir |
11507828 | Unsupervised hypernym induction machine learning | Chowdhury Md Faisal Mahbub; Farrell Robert G.; Monath Nicholas Brady Garvan; Glass Michael Robert; Sultan Md Arafat |
11507840 | Region constrained regularized adversarial examples for model interpretability | Ratner Vadim; Shoshan Yoel |
11507862 | Determining skill adjacencies using a machine learning model | Mitchell Stephen; George David Glenn; Morehouse Matthew; Medicke John Arthur; Gerard Scott |
11507879 | Vector representation of words in a language | Shmueli Oded |
11507890 | Ensemble model policy generation for prediction systems | Bouillet Eric; Chen Bei; Cogill Randall L.; Hoang Thanh L.; Laumanns Marco; Lynch William K.; Nair Rahul; Pompey Pascal; Sheehan John |
11507928 | Blockchain and cryptocurrency for real-time vehicle accident management | Kundu Ashish; Weldemariam Komminist; Pickover Clifford A. |
11507993 | Modification of food recipes based on geographic origin of produce | Lu Fang; Kochura Nadiya; Janakiraman Janani; Cox Susan Marie |
11508438 | RRAM filament location based on NIR emission | Stellari Franco; Ando Takashi; Cabral, Jr. Cyril; Cartier Eduard Albert; Frank Martin Michael; Song Peilin; Pfeiffer Dirk |
11508480 | Online partially rewarded learning | Upadhyay Sohini; Yurochkin Mikhail; Agarwal Mayank; Bouneffouf Djallel; Khazaeni Yasaman |
11508643 | Thermal interface formed by condensate | Schultz Mark D.; Karidis John Peter |
11508823 | Low capacitance low RC wrap-around-contact | Xie Ruilong; De Silva Ekmini Anuja; Guo Jing; Tang Hao; Chi Cheng |
11509770 | Live agent recommendation for a human-robot symbiosis conversation system | Ma Jie; Zhou Xin; Chen Hao; Mohammed Rachel; Davis Christopher J.; Kancharla Sharath; Shu Zhongzheng; Knoertzer Manon; Guan Ran |
11510598 | PH sensitive surgical tool | Zafar Azeem; Zafar Sufi |
11512861 | Anomaly detection based on airflow measurement | Tackabury Wayne Francis; Rodriguez Bravo Cesar Augusto; Tav Doga |
11513520 | Formally safe symbolic reinforcement learning on visual inputs | Das Subhro; Fulton Nathan; Hunt Nathan; Hoang Trong Nghia |
11513695 | Vital product data synchronization | Yardley Brent William |
11513700 | Split-n and composable splits in a dispersed lockless concurrent index | Dhuse Greg R.; Freese Kevin M.; Dubucq Thomas |
11513704 | Selectively evicting data from internal memory during record processing | Compton Scott B.; Suarez Jeffrey Richard; Pardini Matthew Michael Garcia; Jacobi Christian |
11513741 | Automatic volume statistical and performance information tracking | Ahmad Said; Ngo Khanh Vi; Swanson David Lee; Borisevich Illarion |
11513762 | Controlling sounds of individual objects in a video | Karri Venkata Vara Prasad; Rakshit Sarbajit K.; Dhoot Akash U.; Moyal Shailendra; Pasala Murali |
11513781 | Simulating container deployment | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Hulugundi Jagadesh Ramaswamy; Pillai Prashant |
11513809 | Kernel-integrated instance-specific operational resources with virtualization | Buswell John I. |
11513822 | Classification and visualization of user interactions with an interactive computing platform | Kwatra Shikhar; Marvaniya Smitkumar Narotambhai; Miles Caleb; Decrop Clement |
11513842 | Performance biased resource scheduling based on runtime performance | Wang Chen; Costache Stefania V.; Youssef Alaa S.; Kanso Ali; Suk Tonghoon; Tantawi Asser Narsreldin |
11513861 | Queue management in solid state memory | Srivastava Ankur; Patel Kushal; Patel Sarvesh S.; Roy Subhojit |
11513867 | Synchronization between processes in a coordination namespace | Jacob Philip; Strenski Philip N.; Johns Charles |
11513899 | Selectively capturing snapshots of volumes based on modified capacity | Caughman Matthew Hulsey; Santos Yves Alexandre Beraldo dos; Fuente Carlos F. |
11513930 | Log-based status modeling and problem diagnosis for distributed applications | Chan Yuk L.; Yang Lin; Wu Tian; Li Jia Qi; Yu Lei; Min Hong; Meng Fan Jing |
11513970 | Split virtual memory address loading mechanism | Candido De Lima Junior Juscelino; Leitao Breno H.; Seo Carlos Eduardo |
11513983 | Interrupt migration | Schimke Timothy J.; Arroyo Jesse; Kommineni Prathima; Bussa Vinod |
11513999 | Client-server multimedia archiving system with metadata encapsulation | Winarski Daniel J.; Mou Yun; Bates Allen K.; Schwartz Stephen Leonard; Klein Craig A.; Dickens Louie A.; Johnson Timothy A.; Topol Anna W. |
11514013 | Data governance with custom attribute based asset association | Lu Liang; Hua Sun Chun; Shi Jian Ling; Ren Yi Yang; Leng Chun |
11514016 | Paging row-based data stored as objects | Raavi Raghuveer; Allen Joshua S. |
11514020 | Tracking change data capture log history | Froese Florian Hermann; Garces Erice Luis; Bauer Daniel Nikolaus; Rooney John G. |
11514087 | Analyzing metagenomics data | Haiminen Niina S.; Parida Laxmi P. |
11514091 | Extracting entity relations from semi-structured information | Wang Ke; Liu Pei Ni; Sun Wen; Xu Jing Min; Huang Songfang; Qin Yong |
11514094 | Search and navigation of hidden elements of a web page | Ashraf Uwais; Morten Craig J.; Horsfield Alexander; Wilkin Nicholas |
11514095 | Tiered retrieval of secured documents | Ackermann Christopher F.; Drzewucki Michael; Beller Charles E. |
11514113 | Structural geographic based cultural group tagging hierarchy and sequencing for hashtags | Fox Jeremy R.; Bender Michael; Hewitt Trudy L.; Grant Robert Huntington; Delaney Mark |
11514121 | Webpage customization | Li Dong Rui; Yi Ang; Wang Hai Cheng; Zhao Jun Hong; Chen Ye; Lian Xiao Jian; Chen Jing |
11514124 | Personalizing a search query using social media | Kussmaul Timo; Hansmann Uwe K.; Brennenstuhl Kai; Prokoph Andreas; Steinheber Thomas |
11514127 | Missing web page relocation | Mahadik Vineet P.; Isaacs Frank; Silva Ashley Kristin; Rendahl Randy A |
11514173 | Predicting software security exploits by monitoring software events | McHugh Manus Kevin; Woods Kirk M.; Matthews Schuyler Bruce; Thomas Lee |
11514220 | Predicting power usage of a chip | Li Zhichao; Wei Yaoguang; Liu Kai; Liu Su; Ravi Manjunath |
11514235 | Information extraction from open-ended schema-less tables | Allen Joshua; Freed Andrew R.; La Thai T. |
11514246 | Providing semantic completeness assessment with minimal domain-specific data | Thomas John J.; Allard Maxime; Petrov Aleksandr Evgenyevich; Dandin Vinay R.; Wang Wanting |
11514258 | Table header detection using global machine learning features from orthogonal rows and columns | Nadim Hassan; Freed Andrew R.; Allen Joshua S.; Christianson Kyle G. |
11514292 | Grad neural networks for unstructured data | Horesh Lior; Horesh Raya; Holtham Elliot |
11514298 | High-frame-rate real-time multiscale spatiotemporal disparity on distributed low-power event-based neuromorphic hardware | Andreopoulos Alexander; Kashyap Hirak Jyoti; Flickner Myron D. |
11514311 | Automated data slicing based on an artificial neural network | Brill Rachel; Farchi Eitan; Raz Orna; Zlotnick Aviad |
11514314 | Modeling environment noise for training neural networks | Baughman Aaron K.; Reiss Gary William; Kwatra Shikhar; Ouyang Qiqing Christine |
11514318 | Multi-source transfer learning from pre-trained networks | Lee Joshua Ka-Wing; Sattigeri Prasanna; Wornell Gregory |
11514326 | Drift regularization to counteract variation in drift coefficients for analog accelerators | Tsai Hsinyu; Kariyappa Sanjay |
11514332 | Voice prompt avatar | Clark Adam T.; Lee Nathaniel D.; Strauss Daniel J. |
11514334 | Maintaining a knowledge database based on user interactions with a user interface | Figueredo de Santana Vagner; Goncalves Bernardo; Binotto Alecio Pedro Delazari; Appel Ana Paula; de Freitas Cunha Renato Luiz |
11514335 | Root cause identification in audit data | Marascu Alice-Maria; Marinescu Radu; Sacaleanu Bogdan E. |
11514340 | Machine learning for technical tool selection | Zhao Wen Rui; Zhao Yu; Zhang Li Ni; Luo Lan; Jiang Jing Bo; Chen Li Long; Jiang Li |
11514348 | Detecting deviations between event log and process model | Li Jing; Li Xiang; Liu Haifeng; Xie Guo Tong; Yu Yi Qin; Zhang Shi Lei |
11514361 | Automated artificial intelligence radial visualization | Salonidis Theodoros; Eversman John; Wang Dakuo; Swain Alex; Bramble Gregory; Ju Lin; Mazzitelli Nicholas; Supadulya Voranouth |
11514381 | Providing customized integration flow templates | Xing Chengxuan; Harrison Ashley Donald; Chapman Matthew Paul; Daniel Andrew James |
11514391 | Authenticating a user by delivery device using unique voice signatures | Miller Brent; Patwardhan Sachin Suhas; Sivaswamy Hemant Kumar |
11514458 | Intelligent automation of self service product identification and delivery | Chen Bei; Botea Adi; Daly Elizabeth; Alkan Oznur; Vejsbjerg Inge; Mattetti Massimiliano |
11514495 | Creating custom objects from a static list of objects and turning the custom objects into trends | Pinel Florian; Byron Donna K.; DiMascio Carmine |
11514501 | Navigation method, system, and computer program product based on user specification | Hagemann Carsten |
11514507 | Virtual image prediction and generation | Banipal Indervir Singh; Kwatra Shikhar; Silverstein Zachary A.; Suto Tiberiu |
11514605 | Computer automated interactive activity recognition based on keypoint detection | Zhang Dan; Zhang Hong Bing; Xin Chao; Liu Xue Ping; Peng Zhi Xing; Cai Zhuo |
11514630 | Scalable visual analytics for remote sensing applications | Albrecht Conrad M.; Freitag Marcus Oliver; Pankanti Sharathchandra; Lu Siyuan; Hamann Hendrik F. |
11514691 | Generating training sets to train machine learning models | Perera Pathirage D. S. U.; Farchi Eitan D.; Raz Orna; Routray Ramani; Bao Sheng Hua; Zalmanovici Marcel |
11514699 | Text block recognition based on discrete character recognition and text information connectivity | Yuan Zhong Fang; Cai Zhuo; Liu Tong; Pan Yu; Zhang Li Ni; Li Jian Long |
11514740 | Securing access to restricted areas from visitors | Ullmann Cristi Nesbitt; Chow Brian; Corley Carole Rhoads |
11514781 | Generating dynamic electrochromic visualizations | Trim Craig M.; Hodge Rashida A.; Sivakumar Gandhi; Patel Kushal S.; Patel Sarvesh S. |
11514805 | Education and training sessions | Olivieri Ricardo N.; Williamson Leigh |
11514813 | Smart fitness system | Bell Denise; Jenkins Jana H.; Kusnitz Jeffrey A.; Morales Adriana |
11514814 | Wearable device enablement for visually impaired user | Wilson John D.; Kwatra Shikhar; Fox Jeremy R.; Rakshit Sarbajit K. |
11514892 | Audio-spectral-masking-deep-neural-network crowd search | Samn Jonathan; Bikki Poojitha; Linton Jeb R.; Lee Minsik |
11514904 | Filtering directive invoking vocal utterances | Greenberger Jeremy A.; Sandonato Nicholas R. |
11514924 | Dynamic creation and insertion of content | Osebe Samuel; Wachira Charles Muchiri; Weldemariam Komminist; Cintas Celia |
11514962 | Two-bit magnetoresistive random-access memory cell | Yogendra Karthik; Evarts Eric Raymond |
11514981 | Programming devices and weights in hardware | Ambrogio Stefano; Narayanan Pritish |
11515005 | Interactive-aware clustering of stable states | Purandare Mitra; Manica Matteo; Polig Raphael; Rodriguez Martinez Maria |
11515009 | Biomarker quantification in a tissue sample | Kaigala Govind; Fomitcheva Khartchenko Anna; Kashyap Aditya; Gabrani Maria; Pati Pushpak |
11515011 | K-mer based genomic reference data compression | Waddington Daniel; Kunitomi Mark; Abboud Amir; Rao Samyukta Satish |
11515038 | Generating and evaluating dynamic plans utilizing knowledge graphs | Stevens Richard J.; Suarez Saiz Fernando Jose |
11515214 | Threshold voltage adjustment by inner spacer material selection | Ando Takashi; Zhang Jingyun; Lee Choonghyun; Hashemi Pouya |
11515217 | Complementary metal oxide semiconductor device having fin field effect transistors with a common metal gate | Ando Takashi; Lee Choonghyun; Hashemi Pouya; Zhang Jingyun |
11515230 | Variable pin fin construction to facilitate compliant cold plates | Schultz Mark Delorman |
11515401 | Vertical fin field effect transistor with a reduced gate-to-bottom source/drain parasitic capacitance | Zhang Chen; Cheng Kangguo; Miao Xin; Xu Wenyu |
11515427 | Precise bottom junction formation for vertical transport field effect transistor with highly doped epitaxial source/drain, sharp junction gradient, and/or reduced parasitic capacitance | Zhao Kai; Siddiqui Shahab; Dechene Daniel James; Krishnan Rishikesh; Adams Charlotte DeWan |
11515430 | Tilted nanowire transistor | Hashemi Pouya; Cheng Kangguo; Reznicek Alexander; Balakrishnan Karthik |
11515431 | Enabling residue free gap fill between nanosheets | Seshadri Indira; De Silva Ekmini Anuja; Guo Jing; Bao Ruqiang; Sankarapandian Muthumanickam; Felix Nelson |
11515460 | Majorana fermion quantum computing devices with charge sensing fabricated with ion implant methods | Holmes Steven J.; Sadana Devendra K.; Hart Sean; Li Ning; Bedell Stephen W.; Gumann Patryk |
11515461 | Superconductor devices having buried quasiparticle traps | Abdo Baleegh; Bangsaruntip Sarunya |
11515566 | Liquid cathode formulation for rechargeable metal halide battery | Giammona Maxwell; Kim Jangwoo; Na Young-hye; Oda Masafumi; Itakura Tsubasa; Yamazawa Sho; Suzuki Katsutoshi; Takeda Kazunari |
11516000 | Approximate hash verification of unused blockchain output | Jayachandran Praveen |
11516094 | Service remediation plan generation | Dwivedi Aditya; Seshadri Padmanabha Venkatagiri; Kumar Arun; Singhee Amith; Dey Kuntal; Sree Prakash Ashok Pon Kumar |
11516137 | Content propagation control | Fox Jeremy R.; Anders Kelley; Harpur Liam S.; Dunne Jonathan D. |
11516138 | Determining network flow direction | Bowie Dale |
11516164 | Establishing social network connections | Kadashevich A. Julie; Marcus Jane B.; Piziak Jessica L.; Trivedi Purvi K. |
11516230 | Selective encryption of data in motion | Branson Michael J.; Cradick Ryan K. |
11516251 | File resharing management | Zurko Mary Ellen; Toth David J.; Seshasai Satwiksai; de Saint-Aignan Charles P.; Paul Christopher J. |
11516290 | Sharing tuples across independent coordination namespace systems | Jacob Philip; Strenski Philip Neil; Johns Charles |
11516298 | Integrating a plurality of third party service interactions into a portal system | Buehler Dieter; Berger Marco; Falkenberg Matthias; Fischer Peter; Jacob Richard; Kirchmann Simon; Laertz Stephan; Steinheber Thomas |
11516579 | Echo cancellation in online conference systems | Feng Kai; Yang Yun Juan; Zhu Xiao Zhen; Dong Ming; Cao Yang; Jiang Jing Hua; Wang Yan Hui; Rui Jia Lei; Li Qi |
11517072 | Adaptive electrostatic discharge and electric hazard footwear | Borrillo Steven Chandler; Campbell Eric J.; Bennett Jennifer I.; Czaplewski-Campbell Sarah K. |
11517825 | Autonomic cloud to edge compute allocation in trade transactions | Baughman Aaron K.; Gudimetla Sai Krishna Reddy; Forster Micah; Shelton Corey |
11517873 | Controlled chemical synthesis using polymer substrates and nanofluidic separation systems | Wunsch Benjamin H.; Gifford Stacey M.; Kim Sung-Cheol; Smith Joshua T. |
11518368 | Dynamic center of gravity monitoring and tilt prevention | Notohardjono Budy; Khambati Suraush; Canfield Shawn; Ecker Richard M. |
11518849 | Post polymerization modification in flow | Park Nathaniel H.; Piunova Victoria A; Zubarev Dmitry; Hedrick James L.; Yang Yi Yan; Tan Eddy Wei Ping |
11519743 | Stalled self-driving vehicle rescue system | Sasaki Yuka; Takemura Reiya; Uchida Kenji; Furuichi Sanehiro |
11519957 | Ball grid array current meter with a current sense wire | Berge Layne A.; Doyle Matthew; Schoneck Kyle; Liang Thomas W.; Walther Matthew A.; Bjorgaard Jason J.; Dangler John R. |
11520228 | Mass fabrication-compatible processing of semiconductor metasurfaces | Drechsler Ute; Löertscher Emanuel Marc |
11520310 | Generating control settings for a chemical reactor | Zubarev Dmitry; Piunova Victoria A.; Park Nathaniel H.; Hedrick James L.; Swaminathan Sarath |
11520455 | Dioramic user interface having a user customized experience | Pavlovski Christopher J.; Plant Laurence J. |
11520510 | Extending the lifespan of a flash-based storage device | Thangaraj Krishna; Galbraith Kenneth; Edouard James; Ross Brittany; Franke Hubertus |
11520515 | Reduction of processing overhead for point in time copy to allow access to time locked data | Borlick Matthew G.; Gupta Lokesh M. |
11520564 | Intelligent recommendations for program code | Malvankar Abhishek; Rosenthal Sara; Fonseca Carlos A.; Ayachitula Naga A. |
11520585 | Prefetch store preallocation in an effective address-based cache directory | Lloyd Bryan; Thompto Brian W.; Rohrbaugh, III George W.; Karve Mohit; Britto Vivek |
11520588 | Prefetch filter table for storing moderately-confident entries evicted from a history table | Karve Mohit; Gieske Edmund Joseph |
11520591 | Flushing of instructions based upon a finish ratio and/or moving a flush point in a processor | Fatehi Ehsan; Eickemeyer Richard J.; Griswell, Jr. John B. |
11520601 | Device linked context identification and notification | Griffin Adam L.; Kwatra Shikhar; Fox Jeremy R.; Trim Craig M. |
11520609 | Template-based software discovery and management in virtual desktop infrastructure (VDI) environments | Babol Adam; Galda Jan; Godowski Piotr P.; Jȩda Lukasz Tomasz; Midura Jacek |
11520612 | Virtual machine migration detection by a hosted operating system | Veale Brian Frank; Casas, Jr. Juan M.; Olson Caleb Russell; Liem Amanda |
11520616 | Virtual server creation monitoring and resource allocation system | Lefurgy Charles; Silva Guillermo Jesus; Allen-Ware Malcolm S.; Bloom Jeffrey; Galtenberg Christopher Michael |
11520631 | Determination of memory access patterns of tasks in a multi-core processor | Borlick Matthew G.; Gupta Lokesh M.; Nguyen Trung N. |
11520643 | Clipboard object sharing for instant messaging | Miller Steven M.; Rich Lawrence Scott; Schacher Ritchard L. |
11520649 | Storage mounting event failure prediction | Asmussen Ole; Beiderbeck Robert; Li Ying; Rueger Erik; Schäfer Markus |
11520659 | Refresh-hiding memory system staggered refresh | Meaney Patrick James; Gilda Glenn David; Cadigan David D.; Jacobi Christian; Jones Lawrence; Powell Stephen J. |
11520664 | Metadata based data replication | Pandey Ashish; Puvvada Venkateswara Rao; Jain Abhishek; Eda Sasikanth |
11520678 | Set diagnostic parameters command | Guendert Stephen Robert; Riedy Dale F |
11520679 | Resource access based on user access ratings during constrained system performance | Silverstein Zachary A.; Jepperson Jacob Ryan; Reynolds Spencer Thomas; Fox Jeremy R. |
11520692 | Performing software testing with best possible user experience | Miller Grant Douglas; Chung Alan; Fox Jeremy R.; Rakshit Sarbajit K. |
11520704 | Writing store data of multiple store operations into a cache line in a single cycle | Cordes Robert A.; Lloyd Bryan |
11520709 | Memory based encryption using an encryption key based on a physical address | Sawan Tony; Hale Adam Samuel |
11520713 | Distributed bus arbiter for one-cycle channel selection using inter-channel ordering constraints in a disaggregated memory system | Syrivelis Dimitrios; Reale Andrea; Katrinis Kostas |
11520746 | Apparatus, systems, and methods for accelerated replication of file metadata on different sites | Nallasivam Subramaniyan; Basham Robert B.; Roberson, II Harold Joseph; Rajagopalan Nair Ranjith |
11520752 | Remote control of a change data capture system | Rooney John; Urbanetz Peter |
11520757 | Explanative analysis for records with missing values | Xu Jing James; Xu Jing; Ma Xiao Ming; Wang Jian Jun; Wang Jun; Zhang A Peng; Wei Xing |
11520762 | Performing fine-grained question type classification | Dash Sarthak; Rossiello Gaetano; Gliozzo Alfio Massimiliano; Farrell Robert G.; Makni Bassem; Sil Avirup; Castelli Vittorio; Florian Radu |
11520764 | Multicriteria record linkage with surrogate blocking keys | Gschwind Thomas; Miksovic Czasch Christoph Adrian; Scotton Paolo |
11520768 | Vertical transistor and method of forming the vertical transistor | Lie Fee Li; Mochizuki Shogo; Wang Junli |
11520769 | Block level lock on data table | Zheng Li Fei; Jin Di; Fang Min; Wang Ye |
11520773 | Blockchain notification board storing blockchain resources | Iwama Futoshi; Tateishi Takaaki; Amano Shunichi; Yoshihama Sachiko |
11520781 | Efficient bulk loading multiple rows or partitions for a single target table | Stolze Knut; Beier Felix; Oliveira Lizardo Luis Eduardo; Geiselhart Reinhold |
11520783 | Automated validity evaluation for dynamic amendment | Lin Chen; Rofrano John; Kalia Anup; Vukovic Maja; Hwang Jinho; Ma Jie; Mei Lijun; Dang Ya Bin |
11520786 | System and method for optimizing execution of rules modifying search results | Dickens Rachael Marie Huston; Hansmann Uwe Karl; Buehler Dieter; Gordon Kelley |
11520790 | Providing character encoding | Beier Felix; Stolze Knut; Oliveira Lizardo Luis Eduardo; Geiselhart Reinhold |
11520804 | Association rule mining | Barbas Pedro Miguel; Kelly David; Liu Clara; Cialini Enzo; Bashyam Manohar |
11520809 | Checkpoint management in a database system | Jiang Peng Hui; Wang Meng; Su Jun; Cowie Douglas J.; Meng Li Jia |
11520813 | Entailment knowledge base in natural language processing systems | Boguraev Branimir K.; Chu-Carroll Jennifer; Kalyanpur Aditya A.; McClosky David J.; Murdock, IV James W.; Patwardhan Siddharth A. |
11520828 | Methods for representing and storing data in a graph data structure using artificial intelligence | Agarwal Pulkit; Chakrabarty Santanu; C Ajitha; Lahoti Siddhant |
11520829 | Training a question-answer dialog sytem to avoid adversarial attacks | Rosenthal Sara; Sil Avirup; Bornea Mihaela Ancuta; Florian Radu |
11520830 | Semantic queries based on semantic representation of programs and data source ontologies | Soares Ioana Monica Baldini; Patterson Evan; Varshney Kush Raj; Mojsilovic Aleksandra |
11520839 | User based network document modification | Kabra Namit; Sivaswamy Hemant Kumar; Ekambaram Vijay; Marvaniya Smitkumar Narotambhai |
11520842 | Figure driven search query | Habbouche Joyce Miryam; Kalil Mohamad F.; Gibson Stephen David |
11520846 | Petition creation through social analytics | Dunne Jonathan D.; Kochura Nadiya; Casella Alex Xingqi; Lu Fang |
11520847 | Learning interpretable strategies in the presence of existing domain knowledge | Weber Daniel; Christenson David A.; Rykal Nathaniel E. |
11520866 | Controlling processor instruction execution | Sundaresan Vijay; Stoodley Mark Graham; Wang Zhong Liang |
11520871 | Authentication with face covering | Nicol, II William Bruce; Srinivasan Lakshminarayanan; Yim Peter; Chen David De-Hui |
11520880 | Identifying internet of things network anomalies using group attestation | Huang Heqing; Singh Kapil K. |
11520913 | Secure execution support for A.I. systems (and other heterogeneous systems) | Boivie Richard H. |
11520919 | Sharing of data among containers running on virtualized operating systems | Piccinini Sandro; Gorga Ilaria; Barillari Fabio; Ziantoni Francesca |
11520939 | Protecting computer systems from malicious USB devices via a USB firewall | Beitler Anton; Jang Jiyong; Kirat Dhilung Hang; Kurmus Anil; Neugschwandtner Matthias; Stoecklin Marc Philippe |
11520949 | Digital design of an area | Reynolds Spencer Thomas; Tonev Raden; Silverstein Zachary A.; Fox Jeremy R.; Kwatra Shikhar |
11520961 | Heterogeneous-computing based emulator | Lu Yan Heng; Liu Heng; Wang He; Qian Chen |
11520972 | Future potential natural language processing annotations | Lavery Andrew J; Carrier Scott; Hake Paul Joseph; Ramos Igor S. |
11520985 | Named entity recognition | Sizemore Robert Christian; Smith Sterling Richardson; Herbeck David Gerard; Werts David Blake |
11520986 | Neural-based ontology generation and refinement | Ganesan Balaji; Dasgupta Riddhiman; Parekh Akshay; Patel Hima; Reinwald Berthold; Mehta Sameep |
11521007 | Accelerator resource utilization by neural networks | Wang Junsong; Xu Chang; Wang Tao; Gong Yan |
11521014 | L2-nonexpansive neural networks | Qian Haifeng; Wegman Mark |
11521025 | Selective image compression of an image stored on a device based on user preferences | Ghuge Deepak; Jain Abhishek; Roy Subhojit; Eda Sasikanth; Eda Manojkiran |
11521044 | Action detection by exploiting motion in receptive fields | Mac Khoi-Nguyen C.; Yeh Raymond Alexander; Joshi Dhiraj; Do Minh N.; Feris Rogerio; Xiong Jinjun |
11521055 | Optical synapse | Abel Stefan; Deshpande Veeresh V.; Fompeyrine Jean |
11521062 | Neural network training using a data flow graph and dynamic memory management | Janssen Gradus; Zolotov Vladimir; Le Tung D. |
11521065 | Generating explanations for context aware sequence-to-sequence models | Reddy Rachamalla Anirudh; Lohia Pranay Kumar; Shaikh Samiulla Zakir Hussain; Saha Diptikalyan; Mehta Sameep |
11521067 | Decentralized distributed deep learning | Zhang Wei; Zhang Li; Finkler Ulrich; Cho Minsik; Kung David |
11521078 | Leveraging entity relations to discover answers using a knowledge graph | Croutwater Kyle; Zhang Zhe; Zhang Le; Verma Vikrant |
11521085 | Neural network weight distribution from a grid of memory elements | Sawada Jun; Modha Dharmendra S.; Cassidy Andrew S.; Arthur John V.; Nayak Tapan K.; Otero Carlos O.; Taba Brian; Akopyan Filipp A.; Datta Pallab |
11521090 | Collaborative distributed machine learning | Wang Shiqiang; Salonidis Theodoros |
11521091 | Leveraging correlation across agents for enhanced distributed machine learning | Liu Changchang; Wang Shiqiang; Lee Wei-Han; Calo Seraphin Bernard |
11521097 | Sparse modeling for optimizing sensor placement | Ishikawa Tatsuya |
11521142 | Predictive asset maintenance | Bianchi Thiago; Bertoni Scarton Tiago; Fontes Iunes Thiago Roberto; Nascimento Vicente Marlon; Pereira Tarcisio |
11521143 | Supply chain disruption advisor | Smith Galen Kilpatrick; Dell'Arciprete John; Polanco Vienna C.; Rubotham Damien G. |
11521156 | Trustable product delivery with RFID and smart chip | Pacheco Daniel; Koorella Vijender; Chao Ching-Yun; Gunjal Richard Daniel |
11521158 | Devices, systems, and methods for secure and adaptable transportation of goods and/or persons | Byron Donna K.; DiMascio Carmine M.; Johnson Benjamin L.; Martino Jacquelyn A.; Pinel Florian |
11521160 | Intelligent vehicle delivery | Trim Craig M.; Griffin Adam Lee; Kwatra Shikhar; Kozhaya Joseph |
11521202 | Distributed computing and storage network implementing high integrity, high bandwidth, low latency, secure processing | Coleman Andrew J.; O'Dowd Anthony J. |
11521220 | Generating classification and regression tree from IoT data | Trim Craig M.; Bender Michael; Keen Martin G.; Silverstein Zachary A. |
11521276 | Decentralized computing with auditability and taxability | Kraemer James R.; Linton Jeb R. |
11521324 | Terrain-based automated detection of well pads and their surroundings | Schmidt Tim; Klein Levente |
11521338 | Computer automated generation of work-flow diagram from technology specific literature | Bharti Harish; Bhattacharya Pinaki; Mittal Rajeev; Saxena Rajesh Kumar; Sukhija Sandeep; Wadekar Dinesh |
11521378 | Refined searching based on detected object configurations | Grant Robert Huntington; Bengani Ruchika; Kwatra Shikhar; Silverstein Zachary A. |
11521409 | Hybrid clustering and pairwise comparison document matching | Tzoref-Brill Rachel; Liu Lucas; Midei Brian; Sraj Dagmawi; Adams Thomas North; Wang Tianqiong |
11521425 | Cognitive enablement of presenters | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Hulugundi Jagadesh Ramaswamy |
11521426 | Cognitive enablement of presenters | Nagar Raghuveer Prasad; Rakshit Sarbajit K.; Hulugundi Jagadesh Ramaswamy |
11521448 | Intelligent datacenter floor access | Holyoake Colin Ian; Bettle-Shaffer Michael James; Eames Graham Jon; Gray Maurice Francis |
11521602 | Intent recognition model creation from randomized intent vector proximities | Yuan Zhong Fang; Yin Kun Yan; Yang Yuan Lin; Liu Tong; Li He |
11521617 | Speech-to-text auto-scaling for live use cases | Bolanos Daniel; Lee Antonio Rogelio |
11521655 | Dynamic audiovisual segment padding for machine learning | Baughman Aaron K.; Hammer Stephen C.; Cannon Gray |
11521705 | Random sequence generation for gene simulations | Polig Raphael; Purandare Mitra |
11521724 | Personalized patient engagement in care management using explainable behavioral phenotypes | Das Subhro; Almoguera Gema; Barker Kenneth J.; Chen Ching-Hua; Faulkner Adam R.; Hsueh Pei-Yun; Maduri Chandramouli; Rosenthal Sara |
11521728 | Optimization of medicines delivery | Bagwell Derek P.; Balasubramanian Swaminathan; Jamil Mamnoon; Vasudevan Cheranellore |
11521740 | Natural language processing of a motion alphabet for unsupervised clinical scoring | Abrami Avner; Caggiano Vittorio; Rice John Jeremy |
11521747 | Library screening for cancer probability | Chatterjee Avijit; Wang Wendy |
11521749 | Library screening for cancer probability | Chatterjee Avijit; Wang Wendy |
11521894 | Partial wrap around top contact | Xie Ruilong; Frougier Julien; De Silva Ekmini Anuja; Miller Eric |
11521927 | Buried power rail for scaled vertical transport field effect transistor | Xie Ruilong; Wang Junli; Lee Choonghyun; Reznicek Alexander |
11521952 | Spacer for die-to-die communication in an integrated circuit and method for fabricating the same | Arvin Charles L.; Singh Bhupender; Indyk Richard Francis; Ostrander Steve; Weiss Thomas; Kapfhammer Mark |
11522116 | Vertical AL/EPI SI/AL, and also AL/AL oxide/AL, josephson junction devices for qubits | Holmes Steven J.; Sadana Devendra K. |
11522243 | Hermetic packaging of a micro-battery device | Chen Qianwen; Nah Jae-Woong; Dang Bing; Pancoast Leanna; Knickerbocker John |
11522387 | Virtualization of large-scale energy storage | de Hoog Julian; Vishwanath Arun; Lynar Timothy M. |
11522681 | Securing a path at a node | Driever Patricia G.; Hathorn Roger G.; Colonna Christopher; Flanagan John; Kuch George P.; Sczepczenski Richard M.; Jaquette Glen |
11522683 | Multi-phase protection for data-centric objects | Sofia Anthony Thomas; Caffrey James M.; Ginader Thomas; Katonica Jason G. |
11522724 | SRAM as random number generator | Leobandung Effendi |
11522730 | Customized meeting notes | Asthana Ruchi; Mallette Jennifer A.; Jones Steven Ware; Fong Nicholas; Salve Vivek |
11522758 | Preserving data integrity in cognitive multi-agent systems | Mutalikdesai Mandar; Rao Ashish; Singh Yash Vardhan; Ratnakar Shivam; Tak Shivangi; Neogi Sandipto; M Anagha; Srivastava Pranjal |
11522806 | Migration of files contained on virtual storage to a cloud storage infrastructure | Suit John Michael |
11522814 | Virtualized fabric login server for storage area network | Guendert Stephen Robert; Becht Michael James; Catalano Pasquale A.; Colonna Christopher J. |
11522839 | Remote access service inspector | Feeser Colin Lee; Ondrus Anthony W.; Sanders Steven J. |
11522880 | Analytics engine for data exploration and analytics | Vajipayajula Sulakshan; Coccoli Paul; Peterson James Brent; Le Michael Vu; Molloy Ian Michael |
11522897 | Detecting and patching network vulnerabilities | Casella Alex; Singh Manu; Covell Grant |
11522905 | Malicious virtual machine detection | Gan Seng Chai; Hardter Stanley Bryan; Griffin Adam Lee; Ngo HuyAnh Dinh |
11522948 | Dynamic handling of service mesh loads using sliced replicas and cloud functions | Kairali Sudheesh S.; Rakshit Sarbajit K. |
11523519 | Fabricating an asymmetric printed circuit board with minimized warpage | Chamberlin Bruce J.; Kuczynski Joseph; Nixa Paula M. |
11524292 | Programmable hydraulic resistor array for microfluidic chips | Salva Marie; Temiz Yuksel; Arango Yulieth Cristina; Gökçe Onur; Delamarche Emmanuel |
11525036 | Chemical compositions with antimicrobial functionality | Fevre Mareva B.; Hedrick James L.; Park Nathaniel H.; Piunova Victoria A.; Tan Pang Kern Jeremy; Yang Chuan; Yang Yi Yan |
11525684 | Assistive mechanism via edge device personalization | Kozhaya Joseph N.; Kwatra Shikhar; Freed Andrew R.; Allen Corville O. |
11525736 | Temperature monitoring for printed circuit board assemblies during mass soldering | Coliukos Stevana; Zeman Bradley; Samari Pourya; Roth Michael; Mallery Eric |
11526360 | Adaptive utilization mechanism for a first-line defense branch predictor | Gorti Naga P.; Levitan Dave S. |
11526379 | Application building in a distributed computing environment | Xiao Ping; Jiang Peng Hui; Liu Xin Peng; Sui Guang Han |
11526404 | Exploiting object tags to produce a work order across backup engines for a backup job | Cox Sean P.; Derk David G.; Gibble Kevin L.; Hochberg Avishai H.; Smith James P.; Voyk Steven V.; Wedlake Martine B.; Yu Jean X. |
11526433 | Data structure allocation into storage class memory during compilation | Ravindar Archana; Sethuraman Saravanan; Srinivasan Vaidyanathan |
11526437 | Heap space management | Zhang Gan; Shen Xing Xing; Gao Shan; Chang Le; Zhang Ming Lei; Peng Zeng Yu |
11526467 | Document storage and verification | Shrinivasan Yedendra |
11526473 | Database upgrade in a distributed database cluster | Liu Jing Jing; Zheng Yun; Wang Jing; Zhou Zan; Jiang Peng Hui |
11526481 | Incremental dynamic document index generation | Bloomfield Neil G. |
11526487 | Database world state integrity validation | Novotny Petr; Zhang Qi; Kundu Ashish; Yuan Yuan |
11526490 | Database log performance | Zhang Hong Mei; Li Shuo; Wang Xiaobo; Sun Sheng Yan |
11526499 | Adaptively updating databases of publish and subscribe systems using optimistic updates | Tock Yoav; Naaman Nir; Harpaz Avraham |
11526501 | Materialized views assistant | Gruszecki Artur M.; Wrobel Andrzej Jan; Sekman Tomasz; Kazalski Tomasz |
11526509 | Increasing pertinence of search results within a complex knowledge base | Cenciotti Glauco; Rea Aniello Alessandro; Guarda Roberto; Carullo Vittorio; Vercalli Emanuele |
11526515 | Replacing mappings within a semantic search application over a commonly enriched corpus | Carrier Scott; Hsiao Pai-Fang |
11526522 | Collaborative search of databases | Takeuchi Emiko; Takuma Daisuke; Toyoshima Hirobumi |
11526534 | Replicating data changes through distributed invalidation | Sofia Anthony Thomas; Katonica Jason G. |
11526543 | Aggregate comment management from forwarded media content | Keen Martin G.; Kwatra Shikhar; Sivaswamy Hemant Kumar; Nagar Raghuveer Prasad |
11526544 | System for object identification | Sekar Deepak; Omanwar Anil Manohar; Johnson Drew; Ahuja Salil |
11526559 | Content filtering based on user state | Srinivasan Sathyanarayanan; Farley Eliza Reed; Rice Alexander Kenneth; Kraft Maureen; Lu Fang |
11526567 | Contextualizing searches in a collaborative session | Wadsted Jack; Denholm Ashleigh; Dawson Emma J.; Lee Eunjin |
11526571 | Requesting an IP address using a non-textual based graphical resource identifier | Logasundaram Jaganathan Venkataramana |
11526575 | Web browser with enhanced history classification | Waterton Thomas James; Thomas Caroline J.; Hewitt James; Jacks Richard |
11526583 | Subset-difference broadcast encryption with blacklisting | Geagan John B.; Ponceleon Dulce B. |
11526584 | Apparatus, systems, and methods for assigning access permission to social media | Jain Abhishek; Eda Sasikanth; Patil Sandeep Ramesh; Punadikar Sachin Chandrakant |
11526599 | Clustered application policy generation | Kudo Ruriko; Kitahara Hirokuni; Gajananan Kugamoorthy; Watanabe Yuji |
11526612 | Computer file metadata segmentation security system | Trim Craig M.; Kwatra Shikhar; Ankad Iranna Dharmaraya; Silverstein Zachary A. |
11526623 | Information display considering privacy on public display | Maruyama Daisuke; Kuwata Tomoko; Adachi Yuta; Suzuki Yuya; Kurihara Mikio |
11526651 | Predictive antenna diode insertion in a macro having a clock mesh | Venton Amanda Christine; Chen Bijian; Lai Eric Chien; Nasveschuk Peter Milton |
11526667 | Language-model-based data augmentation method for textual classification tasks with little data | Kantor Amir; Anaby Tavor Ateret; Carmeli Boaz; Goldbraich Esther; Kour George; Shlomov Segev; Tepper Naama; Zwerdling Naama |
11526669 | Keyword analysis in live group breakout sessions | Werner John S.; Gross Tyler; Tsfasman Arkadiy O.; Kobilka Brandon M. |
11526681 | Dynamic multilingual speech recognition | Liu Su; Hwang Inseok; Rozner Eric; Yoo Chungkuk |
11526688 | Discovering ranked domain relevant terms using knowledge | Mihindukulasooriya Nandana; Mahindru Ruchi; Chowdhury Md Faisal Mahbub; Deng Yu; Gliozzo Alfio Massimiliano; Dash Sarthak; Fauceglia Nicolas Rodolfo; Rossiello Gaetano |
11526694 | Model training using fully and partially-annotated images | Wang Hongzhi; Syeda-Mahmood Tanveer Fathima; Francis John Paul |
11526700 | Annotating unlabeled data using classifier error rates | Levanony Dana; Hexter Efrat |
11526703 | GPU accelerated perfusion estimation from multispectral videos | Moore Stephen Michael; Zhuk Sergiy; Tirupathi Seshu; Gazzetti Michele; MacAonghusa Pol |
11526707 | Unsupervised contextual label propagation and scoring | Mutalikdesai Mandar; Srivastava Sheetal; Vats Kartikeya; Kanhar Debasish |
11526729 | Discovering higher-level actions from expert's action demonstration | Tatsubori Michiaki; Fall, III Roland Everett; Agravante Don Joven R.; Asai Masataro; Munawar Asim |
11526735 | Neuromorphic neuron apparatus for artificial neural networks | Wozniak Stanislaw; Pantazi Angeliki |
11526759 | Large model support in deep learning | Cho Minsik; Finkler Ulrich Alfons; Zolotov Vladimir; Kung David S. |
11526768 | Real time cognitive reasoning using a circuit with varying confidence level alerts | Erickson Karl R.; Paone Phil C.; Paulik George F.; Paulsen David P.; Sheets, II John E.; Uhlmann Gregory J. |
11526769 | Encoding knowledge graph entries with searchable geotemporal values for evaluating transitive geotemporal proximity of entity mentions | Beller Charles E.; Katz Edward G.; Purdy Michael; Behrens, Jr. Richard |
11526770 | Latent computing property preference discovery and computing environment migration plan recommendation | Hwang Jinho; Vukovic Maja; Rofrano John; Kalia Anup; Dang Ya Bin; Ma Jie; Mei Lijun |
11526781 | Automatic sentence inferencing network | Liu Su; Lee Jinho; Hwang Inseok; Tong Matthew Harrison |
11526791 | Methods and systems for diverse instance generation in artificial intelligence planning | Katz Michael; Sohrabi Araghi Shirin |
11526796 | Qubit pulse calibration via canary parameter monitoring | Lauer Isaac |
11526798 | Parking availability predictor | Pinel Florian; Roth Tova |
11526800 | Determining value of corpora for machine learning using coresets | Srivatsa Mudhakar; Wang Shiqiang; Rosenkranz Joshua M; Chakraborty Supriyo; Ko Bong Jun |
11526801 | Conversational search in content management systems | Scott, II Willie L.; Snider Sharon D. |
11526802 | Model training using a teacher-student learning paradigm | Liu Zhe; Misra Amita; Gundecha Pritam; Mahmud Jalal; Bhalgat Yash |
11526806 | Discover unidirectional associations among terms or documents | Singh Ritu; Ranjan Rakesh; Liu Simao |
11526828 | Calculating developer time during development process | Radcliffe Rosalind Toy Allen; Neumann Hannah Justine; Ireddy Arthi |
11526929 | Ecommerce essential order fulfillment | Reynolds Spencer Thomas; Silverstein Zachary A.; Jepperson Jacob Ryan; Fox Jeremy R. |
11526930 | Optimization of order fulfillment conditions | Yadav Saurabh; Kwatra Shikhar |
11527059 | Reservoir computing | Takeda Seiji; Yamane Toshiyuki; Nakano Daiju |
11527062 | Method and system for crop recognition and boundary delineation | Albrecht Conrad M.; Lu Siyuan; Marianno Fernando J.; Hamann Hendrik F.; Freitag Marcus O.; Klein Levente I. |
11527152 | Preemptive traffic routing based on parsing of emergency dispatches | Kane Michael; Masterson Colin Edward; Khambati Suraush |
11527283 | Single ended bitline current sense amplifiers | Chakraborty Sudipto; Joshi Rajiv; Fritsch Alexander; Wetter Holger |
11527327 | Systems and methods for detecting likelihood of malignancy for a patient using a pair of medical images | Ratner Vadim; Shoshan Yoel |
11527434 | Line cut patterning using sacrificial material | Philip Timothy Mathew; Dechene Daniel James; Ghosh Somnath; Robison Robert |
11527446 | Transistor having strain-inducing anchors and a strain-enhancing suspended channel | Cheng Kangguo; Li Juntao; Frougier Julien; Xie Ruilong |
11527462 | Circuit substrate with mixed pitch wiring | Sakuma Katsuyuki; Li Shidong; Sikka Kamal K. |
11527535 | Variable sheet forkFET device | Frougier Julien; Xie Ruilong; Cheng Kangguo; Park Chanro |
11527566 | Interpreting optical signals from tailored arrays of metasurfaces | Löertscher Emanuel Marc; Dittmann Gero |
11527574 | Stacked resistive memory with individual switch control | Ando Takashi; Zhang Jingyun; Hashemi Pouya; Reznicek Alexander; Lee Choonghyun |
11527616 | Vertical transport CMOS transistors with asymmetric threshold voltage | Ando Takashi; Lee Choonghyun; Zhang Jingyun; Reznicek Alexander |
11527647 | Field effect transistor (FET) devices | Vega Reinaldo; Ando Takashi; Chi Cheng; Adusumilli Praneet |
11527669 | Atomic layer deposition for photovoltaic devices | Kim Jeehwan; Mitzi David B.; Shin Byungha; Todorov Teodor K.; Winkler Mark T. |
11527697 | Qubit frequency tuning structures and fabrication methods for flip chip quantum computing devices | Shao Dongbing; Brink Markus; Solgun Firat; Hertzberg Jared Barney |
11527707 | In-situ annealing and etch back steps to improve exchange stiffness in cobalt iron boride based perpendicular magnetic anisotropy free layers | Brown Stephen L.; Hu Guohan; Sun Jonathan Z.; Worledge Daniel C. |
11527953 | Higher yielding improved matching reference circuit especially applicable for high speed mixed signal applications and phase locked loops and charge pumps | Strom James; Borkenhagen John; Wu Ann Chen; Unterborn Erik; Kesselring Grant P. |
11528102 | Built-in-self-test and characterization of a high speed serial link receiver | Yilma Dereje; Blanchard Nathan Ross; English Erik; Marquart Chad Andrew; Wiedemeier Glen A.; Okyere Jeffrey Kwabena; Crugnale James; Steffen Christopher; Raj Vikram B; Harper Michael Wayne; Nammi Venkat Harish |
11528134 | Authentication using transformation verification | Aharoni Ehud; Adir Allon; Murik Dov; Farkash Ariel; Soceanu Omri |
11528140 | Compromised access token invalidation in a singleton process | Tiffany Bruce; Cohen David Alex; Liang Chunlong |
11528183 | EMS assisted split-brain resolution in virtual network function components | Melkild Keith William |
11528197 | Request facilitation for approaching consensus for a service transaction | Youssef Alaa S.; Laredo Jim Alain |
11528306 | Capturing missing media frames during a virtual collaboration | Subbaiyan Sivaraj; Bhat Ramprasad; Kumar Ponnala Praveen; Shankar Prabhat |
11528513 | Preemptively altering advertising content | Hardee Christopher J.; Joroff Steven; Schneider Scott E.; Kwatra Shikhar |
11529627 | Layered silicon and stacking of microfluidic chips | Smith Joshua T.; Yang Cornelia Tsang; Wunsch Benjamin H. |
11531119 | Coordinated smart contract-based satellite management and operation | Rakshit Sarbajit K. |
11531485 | Throttling access to high latency hybrid memory DIMMs | Armstrong Troy David; Vossen Kenneth Charles; Ouren Wade Byron |
11531486 | Migrating data from a large extent pool to a small extent pool | Zhang Hui; Hardy Clint A.; Nielsen Karl A.; Kalos Matthew J.; Xie Qiang |
11531546 | Hexadecimal floating point multiply and add instruction | Schwarz Eric Mark; Payer Stefan; Leber Petra; Schelm Kerstin Claudia; Klein Michael; Slegel Timothy; Copeland Reid; Guo Xin |
11531548 | Fast perfect issue of dependent instructions in a distributed issue queue system | Barrick Brian D.; Nguyen Dung Q.; Thompto Brian W.; Nguyen Tu-An T.; Ayub Salma |
11531555 | Selective pruning of a system configuration model for system reconfigurations | Noorshams Qais; Böwing Norman Christopher; Spinner Simon; Stapels Jason Matthew |
11531627 | Secure storage isolation | Bradbury Jonathan D.; Heller Lisa Cranton; Bacher Utz; Busaba Fadi Y. |
11531628 | Protecting cache accesses in multi-tenant processing environments | Martin Brian Keith; Tuteja Mukul; Lo Flannan Lok-Hang; Erwin Anthony |
11531656 | Duplicate determination in a graph | Bremer Lars; Stuart Thuany Karoline; Babu Hemanth Kumar; Oberhofer Martin |
11531661 | Vehicle incident documentation for blockchain | Catalano Pasquale A.; Crimmins Andrew G.; Green Byron S.; Tsfasman Arkadiy O.; Werner John S. |
11531705 | Self-evolving knowledge graph | Sharma Bhuvan; Beaty Kirk Alan; Michelini Vanessa |
11531708 | System and method for question answering with derived glossary clusters | Beller Charles E.; Katz Edward Graham; Riendeau John A; Thatcher Sean Thomas |
11531717 | Discovery of linkage points between data sources | Hassanzadeh Oktie; Hernandez-Sherrington Mauricio A.; Ho Ching-Tien; Popa Lucian |
11531780 | Deep learning-based identity fraud detection | Kundu Ashish; Natarajan Arjun; Singh Kapil Kumar; Payne Joshua F. |
11531787 | Management of securable computing resources | Hicks Andrew C. M.; Rawlins Ryan Thomas; DeRobertis Christopher V.; McMillan Khaalid Persaud Juggan |
11531796 | Guided design generation | Sree Prakash Ashok Pon Kumar; Ravisankar Meenakshi; Singhee Amith |
11531849 | Device management system | Rodriguez Bravo Cesar Augusto; Baughman Aaron K.; Rakshit Sarbajit K.; Trim Craig M. |
11531858 | Cognitive conversational agent for providing personalized insights on-the-fly | Khabiri Elham; Mazzoleni Pietro; Kuang Lei |
11531878 | Behavior prediction with dynamic adaptation to environmental conditions | Osogami Takayuki |
11531898 | Training of artificial neural networks | Le Gallo-Bourdeau Manuel; Khaddam-Aljameh Riduan; Kull Lukas; Francese Pier Andrea; Toifl Thomas H.; Sebastian Abu; Eleftheriou Evangelos Stavros |
11531902 | Generating and managing deep tensor neural networks | Horesh Lior; Newman Elizabeth; Kilmer Misha E.; Avron Haim |
11531974 | Tracking transactions through a blockchain | Bordash Michael J.; Hudson Michael J.; Wong Chih-Hong |
11531975 | Network node management on a blockchain | Verma Shalaka; Patel Sarvesh; Patel Kushal |
11532025 | Deep cognitive constrained filtering for product recommendation | Roy Chowdhury Sujoy Kumar; Khan Tanveer Akhter; Chakraborty Ria; Narasimha Yogesh; Vats Kartikeya; Baradia Khyati |
11532059 | Geo-spatial analysis to determine boundaries of traffic regions and classifications of the boundaries for controlling drop-off/pick-up traffic | Baker Ronald Bruce; Achtermann Jeffrey Mark |
11532086 | Systems and methods to facilitate determination of interaction between medications and the brain using a brain measure and a brain model | Kozloski James R; Gurev Viatcheslav; Hoang Trong Tuan Minh; Ponzi Adamo |
11532174 | Product baseline information extraction | Sun Changhua; Guo HongLei; Pfitzmann Birgit Monika; Wiesmann Rothuizen Dorothea; Mitchell Lynette Yvonne; Goebel Brent Alan |
11532384 | Personalized offline retrieval of data | Verma Archit; Mallette Jennifer A.; Asthana Ruchi |
11532386 | Generating and customizing summarized notes | Petri John E.; Stanich David R. |
11532387 | Identifying information in plain text narratives EMRs | Dandala Bharath; Poddar Ananya Aniruddha; Devarakonda Murthy V. |
11532421 | Magnetic cores with high reluctance differences in flux paths | Yao Yuan; Takken Todd Edward; Ferencz Andrew; Zhang Xin; McAuliffe Liam Daley |
11533023 | Embedded transmit/receive switch | Lee Wooram; Sadhu Bodhisatwa |
11533072 | Transmission of body status information by a wearable computing device | Geiselhart Reinhold; Beier Felix; Stolze Knut; Oliveira Lizardo Luis Eduardo |
11533174 | Binding secure objects of a security module to a secure guest | Buendgen Reinhard Theodor; Kisley Richard Victor; Urban Volker |
11533279 | Method for electronic messaging using image based noisy content | Hansmann Uwe Karl; Ekambaram Vijay; Marvaniya Smitkumar Narotambhai; Kussmaul Timo; Stober Thomas; Mondal Sneha |
11533325 | Automatic categorization of IDPS signatures from multiple different IDPS systems | Hu Xin; Jang Jiyong; Schales Douglas Lee; Stoecklin Marc Philippe; Wang Ting |
11533362 | Network interface controller aware placement of virtualized workloads | Govindan Kannan Pravein; Naik Priyanka Prakash; Jayachandran Praveen |
11533384 | Predictive provisioning of cloud-stored files | Seul Matthias; Korchemniy Alexandr Pavlovich |
11533427 | Multimedia quality evaluation | Bastide Paul R.; Loredo Robert E.; Broomhall Matthew E. |
11533518 | Audio customization in streaming environment | Liu Ching-Chun; Yu Ting-Chieh; Chen Yu-Siang; Young Ryan |
11534585 | Fluid delivery device with hydrophobic surface | Liu Yang; Wright Steven L. |
11534752 | Rapid test device having multiple heterogeneous diagnostic methods | Silva Ademir Ferreira da; Tirapu Azpiroz Jaione; Esteves Ferreira Matheus; Steiner Mathias B; Marçal Daniel Vitor Lopes Marcondes |
11536780 | Radio-frequency (RF) to direct current (DC) converter and bipolar quantized supercurrent generator (QSG) | Beck Matthew |
11537115 | Digital replica based simulation to predict preventative measures and/or maintenance for an industrial location | Karri Venkata Vara Prasad; Rakshit Sarbajit K. |
11537178 | Server rack for improved data center management | Bermudez Rodriguez Sergio A.; Hamann Hendrik F.; Wehle Hans-Dieter |
11537290 | Managing high performance storage systems with hybrid storage technologies | Cher Chen-Yong; Franceschini Michele M.; Jagmohan Ashish |
11537381 | Quantum software developer kit and framework | Gambetta Jay M.; Faro Sertage Ismael |
11537402 | Execution elision of intermediate instruction by processor | Barrick Brian D.; Lloyd Bryan; Nguyen Dung Q.; Thompto Brian W.; Gieske Edmund Joseph; Griswell, Jr. John B. |
11537445 | Dynamic integration flows in hybrid cloud environments | Reeve John Anthony; Dolby Trevor Clifford; Coleman Andrew John; Golby-Kirk Matthew E. |
11537454 | Reducing write operations in middleware | Ponnuswamy Umamahesh |
11537519 | Marking in-flight requests affected by translation entry invalidation in a data processing system | Williams Derek E.; Guthrie Guy L.; Shen Hugh; Campbell David; Lloyd Bryan; Kirchhoff Samuel David; Stuecheli Jeffrey A. |
11537552 | Rule generation in a data governance framework | Grasselt Mike W.; Saillet Yannick; Schaefer Marvin |
11537556 | Optimized content object storage service for large scale content | Reimer James A.; Yang Xiaoyang; Yaung Alan T. |
11537576 | Assisted problem identification in a computing system | Reichert Michael; Tschaffler Matthias |
11537584 | Pre-caching of relational database management system based on data retrieval patterns | Balasa Ramnath Santhosh K.; Maycock Ken; McAndrew Joseph M.; Scullion Niambh |
11537598 | Effective ensemble model prediction system | Yu Dong Hai; Bo Song; Wang Jun; Kang Jiang Bo; Liu Yao Dong |
11537602 | Computer implemented live cross walks in compliance mappings in response to regulatory changes and assessing risks of changes | Bulut Muhammed Fatih; Kumar Arun; Dey Kuntal; Adam Constantin Mircea; Hernandez Milton H. |
11537650 | Hyperplane optimization in high dimensional ontology | Rudden Mary; Trim Craig M.; Kluger Leo; Basu Abhishek |
11537653 | Automated personalized identifier switching in view of closeness | Zhang Juan; Fan Si Bin; Mao Jie; Dunne Jonathan |
11537654 | Automated personalized identifier switching in view of closeness | Zhang Juan; Fan Si Bin; Mao Jie; Dunne Jonathan |
11537660 | Targeted partial re-enrichment of a corpus based on NLP model enhancements | Carrier Scott; Bull Brendan; Felt Paul Lewis; Mansjur Dwi Sianto |
11537666 | Crowdsourced prevention or reduction of dissemination of selected content in a social media platform | Dugan Casey; Geyer Werner; Muller Michael; Johnson James; Sharma Aabhas |
11537678 | Fast-tracking of web requests using a request digest | Punathil Gireesh |
11537694 | Motion-based challenge-response authentication mechanism | Greenberger Jeremy Adam; Trim Craig M.; Marzorati Mauro; Fox Jeremy R. |
11537724 | Generating data migration plan for in-place encryption of data | Kaul Akshar; Saha Diptikalyan; Singh Gagandeep; Kesarwani Manish |
11537821 | Evaluating text classification anomalies predicted by a text classification model | Tan Ming; Potdar Saloni; Krishnamurthy Lakshminarayanan |
11537831 | Generating measurement data using image data | Bauchot Frederic; Szalai Zsolt; Viale Joel |
11537847 | Time series forecasting to determine relative causal impact | Calmon Flavio D.; Heath, III Fenno F.; Hull Richard B.; Khabiri Elham; Riemer Matthew D.; Vempaty Aditya |
11537852 | Evolving graph convolutional networks for dynamic graphs | Chen Jie; Pareja Aldo; Domeniconi Giacomo; Ma Tengfei; Suzumura Toyotaro; Kaler Timothy; Schardl Tao B.; Leiserson Charles E. |
11537855 | Low spike count ring buffer mechanism on neuromorphic hardware | Andreopoulos Alexander |
11537859 | Flexible precision neural inference processing unit | Cassidy Andrew S.; Appuswamy Rathinakumar; Arthur John V.; Datta Pallab; Esser Steve; Flickner Myron D.; McKinstry Jeffrey; Modha Dharmendra S.; Sawada Jun; Taba Brian |
11537863 | Resistive processing unit cell having multiple weight update and read circuits for parallel processing of data using shared weight value | Leobandung Effendi; Ren Zhibin; Rasch Malte |
11537872 | Imitation learning by action shaping with antagonist reinforcement learning | Pham Tu-Hoa; De Magistris Giovanni; Agravante Don Joven Ravoy; Tachibana Ryuki |
11537875 | Detecting and reducing bias in machine learning models | Kozhaya Joseph; Kwatra Shikhar; Allen Corville O.; Freed Andrew R. |
11537915 | Targeted data acquisition for model training | Kabra Namit; Gupta Ritesh Kumar; Ekambaram Vijay; Marvaniya Smitkumar Narotambhai |
11537925 | System and method for latency-aware mapping of quantum circuits to quantum chips | Javadiabhari Ali; Lekuch Scott D.; Inoue Ken |
11537927 | Quantum readout error mitigation by stochastic matrix inversion | Bravyi Sergey; Gambetta Jay M.; Mckay David C.; Sheldon Sarah E. |
11537929 | Superconducting interposer for the transmission of quantum information for quantum error correction | Bronn Nicholas T.; Bogorin Daniela F.; Gumann Patryk; Hart Sean; Olivadese Salvatore B. |
11537932 | Guiding machine learning models and related components | Bobroff Norman; Braz Alan; Hirzel Martin; Mummert Todd; Westerink Peter |
11537985 | Anonymous inventory tracking system | Ramos Igor S.; Taft Kimberly J.; Danducci, II Angelo; Mensching Devon E. |
11537994 | Mitigating disruptive effects of detected diminished working capacity | Cohen Aaron Michael |
11538078 | System and method for usage billing of hosted applications | Havemose Allan |
11538083 | Cognitive fashion product recommendation system, computer program product, and method | Sewak Mohit; Choudhury Iman |
11538088 | Monitoring derived requirements for devices and services | Rakshit Sarbajit K.; Keen Martin G.; Bostick James E.; Ganci, Jr. John M. |
11538147 | Using photonic emission to develop electromagnetic emission models | Bahgat Shehata Andrea; Song Peilin; Stellari Franco |
11538236 | Detecting backdoor attacks using exclusionary reclassification | Angel Nathalie Baracaldo; Chen Bryant; Ludwig Heiko H. |
11538248 | Summarizing videos via side information | Panda Rameswar; Gan Chuang; Chen Pin-Yu; Wu Bo |
11538378 | Digital content adjustment in a flexible display device | Hussain Mohamed Jawahar; Rakshit Sarbajit K.; Sodhi Manjit Singh; Nagar Raghuveer Prasad |
11538520 | Negative-capacitance ferroelectric transistor assisted resistive memory programming | Cheng Kangguo |
11538576 | Illustrative medical imaging for functional prognosis estimation | Kozloski James R.; Gurev Viatcheslav; Hoang Trong Tuan M.; Ponzi Adamo |
11538586 | Clinical decision support | Wang Ke; Jiang Jian Min; Hao Bibo; Xu En Liang; Qin Yong |
11538638 | Co-axial grid array capacitor assembly | Berge Layne A.; Doyle Matthew; Dangler John R.; Schoneck Kyle; Liang Thomas W.; Walther Matthew A.; Bjorgaard Jason J. |
11538854 | Coupled-line bus to suppress classical crosstalk for superconducting qubits | Solgun Firat; Shao Dongbing; Brink Markus |
11538939 | Controlled bottom junctions | Anderson Brent; Xie Ruilong; Li Juntao; Cheng Kangguo |
11538977 | Qubits with ion implant Josephson junctions | Gordon Ryan T.; Rodbell Kenneth P.; Sandstrom Robert L.; Sleight Jeffrey W. |
11539080 | Miniaturized electronics package with patterned thin film solid state battery | Chen Qianwen; Dang Bing; Knickerbocker John U. |
11539081 | Miniaturized electronics package with patterned thin film solid state battery | Chen Qianwen; Dang Bing; Knickerbocker John U. |
11539088 | Ultra-thin microbattery packaging and handling | Dang Bing; Pancoast Leanna; Nah Jae-Woong; Knickerbocker John |
11539347 | Current-mode frequency translation circuit with programmable gain | Chakraborty Sudipto; Bulzacchelli John Francis; Frank David James; Davies Andrew D. |
11539521 | Context based secure communication | Liu Su; Xia Yin; Xu Cheng; Wang Xinya |
11539527 | Peer node recovery via approximate hash verification | Jayachandran Praveen |
11539540 | Ameliorative resource action during an e-conference | Decrop Clement; Keen Martin G.; Ganci, Jr. John M.; Silverstein Zachary A. |
11539553 | Onboarding a VNF which includes a VDU with multiple VNFCs | Melkild Keith William |
11539650 | System and method for alerts for missing coverage of chatbot conversation messages | Wayne Eric Donald; Croutwater Kyle; Whitley Michael David; Verma Vikrant; Zhang Zhe |
11539651 | Cooperative messaging environments | Page Simon; Cawood Sean |
11539784 | Content-based distribution and execution of analytics applications on distributed datasets | Ko Bong Jun; Salonidis Theodoros; Urgaonkar Rahul; Verma Dinesh C. |
11539808 | Dynamic enablement of available modes | Bhide Manish Anand; Mundhe Prashant Pandurang |
11539810 | Data stream management | Jaiswal Peeyush; Jaiswal Priyansh; Llamas Virgen Paul |
11539915 | Transmission confirmation in a remote conference | Watanabe Takeshi; Saito Akira; Uenohara Hayato |
11540014 | User based electronic media alteration | Aithal Sharath Kumar; Komperla Parthasarthi; Pusapati Srinivas; Cholleti Vamshidhar |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-6-2 17:54
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社