|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第44个技术领域是基本电子电路,主要包括非开关状态的有源元件电路、调制和解调电路、放大电路、谐振电路,以及脉冲和一般编码、译码电路。2021年,美国专利商标局在该领域共授权专利7036项(增长率为-21%),占总授权量的2.1%,是专利数量第47多的领域。
2021年,美国在该领域获得专利权3123项,占该领域专利授权总量的35%。中国在该领域做出专利发明501项,获得专利权496项,流失专利发明5项。日本和韩国获得的专利权数量分别为1122和672项。
表17.44-1 2021年各国基本电子电路领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 2663 | 3123 | -460 | -17.3% | 37.8% | 44.4% | -6.5% |
2 | 日本 | 1139 | 1122 | 17 | 1.5% | 16.2% | 15.9% | 0.2% |
3 | 韩国 | 589 | 672 | -83 | -14.1% | 8.4% | 9.6% | -1.2% |
4 | 中国 | 501 | 496 | 5 | 1.0% | 7.1% | 7.0% | 0.1% |
5 | 德国 | 321 | 245 | 76 | 23.7% | 4.6% | 3.5% | 1.1% |
6 | 法国 | 168 | 100 | 68 | 40.5% | 2.4% | 1.4% | 1.0% |
7 | 加拿大 | 155 | 52 | 103 | 66.5% | 2.2% | 0.7% | 1.5% |
8 | 英国 | 150 | 83 | 67 | 44.7% | 2.1% | 1.2% | 1.0% |
9 | 瑞士 | 58 | 82 | -24 | -41.4% | 0.8% | 1.2% | -0.3% |
10 | 荷兰 | 50 | 81 | -31 | -62.0% | 0.7% | 1.2% | -0.4% |
11 | 瑞典 | 49 | 68 | -19 | -38.8% | 0.7% | 1.0% | -0.3% |
12 | 以色列 | 95 | 39 | 56 | 58.9% | 1.4% | 0.6% | 0.8% |
13 | 意大利 | 63 | 50 | 13 | 20.6% | 0.9% | 0.7% | 0.2% |
14 | 印度 | 198 | 10 | 188 | 94.9% | 2.8% | 0.1% | 2.7% |
15 | 其他 | 837 | 813 | 24 | 2.9% | 11.9% | 11.6% | 0.3% |
小计 | 7036 | 7036 | 0 | 0% | 100% | 100% | 0% |
图17.44-1 2021年各国基本电子电路领域的在美专利发明和专利权数量对比
2021年,在基本电子电路领域上获得美国专利授权最多的机构是三星电子公司、德州仪器公司、村田制造公司。中国专利最多的机构是华为技术公司,获得151项专利。
表17.44-2 2021年基本电子电路领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 278 | 248 |
2 | 德州仪器公司 | 美国 | TEXAS INSTRUMENTS INCORPORATED | 240 | 329 |
3 | 村田制造公司 | 日本 | MURATA MANUFACTURING CO., LTD. | 236 | 290 |
4 | 英特尔公司 | 美国 | INTEL CORPORATION | 160 | 214 |
5 | 华为技术公司 | 中国 | HUAWEI TECHNOLOGIES CO., LTD. | 151 | 129 |
6 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 151 | 191 |
7 | SK海力士公司 | 韩国 | SK HYNIX INC. | 141 | 133 |
8 | 高通公司 | 美国 | QUALCOMM INCORPORATED | 135 | 201 |
9 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 111 | 122 |
10 | 苹果公司 | 美国 | APPLE INC. | 107 | 128 |
注:本表数据按照第一权利人进行统计。
图17.44-2 2021年基本电子电路领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10881297 | In-situ sensor | TECHNISCHE UNIVERSITÄT HAMBURG |
10881370 | Radiographic image capturing system | KONICA MINOLTA, INC. |
10882444 | Control system with textile having conductive element for controlling operation of a vehicle system | LEAR CORPORATION |
10882471 | In-vehicle semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10882501 | Switching device and method for switching loads | KNORR-BREMSE SYSTEME FUER NUTZFAHRZEUGE GMBH |
10883674 | Lighting device | -- |
10883811 | Mobile device and method for sensor data based antenna selection | FUTUREWEI TECHNOLOGIES, INC. |
10883889 | Display device including a pressure sensor with an opening in the electrode | SAMSUNG DISPLAY CO., LTD. |
10884018 | Piezoelectric rotational MEMS resonator | MURATA MANUFACTURING CO., LTD. |
10884035 | Semiconductor device, semiconductor system, and control method of semiconductor device | RENESAS ELECTRONICS CORPORATION |
10884041 | Physical quantity measurement apparatus, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10884043 | Power converter with phase error correction | APPLE INC. |
10884046 | Calibration and load pull method for RF and baseband frequencies | -- |
10884050 | Test of stacked transistors | PSEMI CORPORATION |
10884195 | Techniques to support multiple interconnect protocols for a common set of interconnect connectors | INTEL CORPORATION |
10884442 | Bandgap reference power generation circuit and integrated circuit | AUTOCHIPS INC. |
10884448 | Clock glitch detection circuit | -- |
10884449 | Wideband LO signal generation | QUALCOMM INCORPORATED |
10884450 | Clock distribution system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10884465 | Memory controller with processor for generating interface adjustment signals | RAMBUS INC. |
10884476 | Autonomously controlling a buffer of a processor | INTEL CORPORATION |
10884486 | Pulse width compensation circuit and a semiconductor apparatus using the pulse width compensation circuit | SK HYNIX INC. |
10884517 | Input device and electronic apparatus comprising same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10884557 | Touch input device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10884569 | Touch display apparatus by using electromotive force and method for controlling thereof | SAMSUNG ELECTRONICS CO., LTD. |
10884648 | Temporary relocation of data within local storage of a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884665 | Data reading method, storage controller and storage device for optimizing read voltages | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. |
10884674 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10884702 | Floating point to fixed point conversion | IMAGINATION TECHNOLOGIES LIMITED |
10884705 | Approximate mixed-mode square-accumulate for small area machine learning | -- |
10884846 | Method for checking the availability and integrity of a distributed data object | AIT AUSTRIAN INSTITUTE OF TECHNOLOGY GMBH |
10884854 | Method and system for identifying erased memory areas | WESTERN DIGITAL TECHNOLOGIES, INC. |
10884855 | Performance in reading memory cells affected by neighboring memory cells | APPLE INC. |
10884858 | LDPC decoding device, memory system including the same and method thereof | SK HYNIX INC. |
10884861 | Write-balanced parity assignment within a cluster | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10884967 | Low voltage drive circuit with variable frequency characteristics and methods for use therewith | SIGMASENSE, LLC. |
10884987 | Block compression of tables with repeated values | SAP SE |
10884989 | Tape drive memory deduplication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885074 | Memory optimization system for inverted indexes | SAP SE |
10885214 | Additive manufacturing system and method for validating additively manufactured components | AIRBUS OPERATIONS GMBH |
10885460 | Dispersive-resistive hybrid attenuator for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885934 | Magnetoresistance effect device with shaped high-frequency signal line overlapping magnetoresistance effect element | TDK CORPORATION |
10885947 | Power gating system and memory system including the power gating system | SK HYNIX INC. |
10885974 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10885989 | Data storage apparatus and internal voltage trimming circuit and method for trimming an internal voltage | SK HYNIX INC. |
10886049 | Coiled coupled-line hybrid coupler | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10886058 | Inductor and low-noise amplifier including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886105 | Impedance matching method, impedance matching device and plasma generating apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10886187 | Thermal management in integrated circuit using phononic bandgap structure | TEXAS INSTRUMENTS INCORPORATED |
10886213 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10886218 | Fabric die to fabric die interconnect for modularized integrated circuit devices | INTEL CORPORATION |
10886220 | Semiconductor integrated circuit device | SOCIONEXT INC. |
10886266 | Integration of vertical GaN varactor with HEMT | QUALCOMM INCORPORATED |
10886382 | Cascode amplifier optimization | SKYWORKS SOLUTIONS, INC. |
10886393 | High electron mobility transistor with tunable threshold voltage | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10886417 | Device, system, and method to change a consistency of behavior by a cell circuit | INTEL CORPORATION |
10886586 | Packaging and thermalization of cryogenic dispersive-resistive hybrid attenuators for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886588 | High dynamic range probe using pole-zero cancellation | KEYSIGHT TECHNOLOGIES, INC. |
10886593 | Structure of integrated radio frequency multi-chip package and method of fabricating the same | -- |
10886605 | Scattered void reservoir | KYMETA CORPORATION |
10886692 | CMOS externally modulated laser driver | INPHI CORPORATION |
10886725 | Switching power supply, over-temperature control and protection method, and power control method | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10886730 | Filter having an ESD protection device | MURATA MANUFACTURING CO., LTD. |
10886732 | Reverse direction high-electron-mobility transistor circuit | -- |
10886751 | Wireless connector transmitter module | NUCURRENT, INC. |
10886774 | Method and apparatus to switch power supply for low current standby operation | NXP USA, INC. |
10886838 | Semiconductor integrated circuit for discharging and power supply system | MITSUMI ELECTRIC CO., LTD. |
10886842 | Power supply circuit and control method for power supply circuit | KABUSHIKI KAISHA TOSHIBA |
10886846 | Power converter with switching control | TEXAS INSTRUMENTS INCORPORATED |
10886862 | Semiconductor device | JTEKT CORPORATION |
10886864 | Motor driving device and control method for motor driving device | KABUSHIKI KAISHA TOSHIBA |
10886877 | Adaptive microphonics noise cancellation | VIASAT, INC. |
10886878 | Modulation circuitry with N.5 division | INTEL IP CORPORATION |
10886879 | Digital modulator and digital-to-analog conversion techniques associated therewith | INTEL DEUTSCHLAND GMBH |
10886880 | Apparatus and methods for low noise amplifiers with mid-node impedance networks | SKYWORKS SOLUTIONS, INC. |
10886881 | Multilevel class-D power stage including a capacitive charge pump | TEXAS INSTRUMENTS INCORPORATED |
10886882 | Load circuit of amplifier and driver circuit for supporting multiple interface standards | -- |
10886883 | Apparatus for processing an input audio signal and corresponding method | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10886884 | Inductively coupled filter and wireless fidelity WiFi module | HUAWEI TECHNOLOGIES CO., LTD. |
10886886 | Filter device, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10886887 | Aluminum nitride film, acoustic wave device, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
10886888 | Bulk acoustic wave resonator having openings in an active area and a pillar beneath the opening | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10886889 | Acoustic wave device and method of fabricating the same, filter and multiplexer | TAIYO YUDEN CO., LTD. |
10886890 | Composite substrate for surface acoustic wave device, method of producing composite substrate for surface acoustic wave device, and surface acoustic wave device using composite substrate | SHIN-ETSU CHEMICAL CO., LTD. |
10886891 | Acoustic wave device, module, and multiplexer | TAIYO YODEN CO., LTD. |
10886892 | Filter apparatus, multiplexer, radio-frequency front end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10886893 | Reduced-size guided-surface acoustic wave (SAW) devices | QORVO US, INC. |
10886894 | Acoustic wave filter, multiplexer, radio frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10886895 | Ladder-type frequency-variable filter, multiplexer, radio-frequency front end circuit, and communication terminal | MURATA MANUFACTURING CO., LTD. |
10886896 | Acoustic wave device, high-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10886897 | Filter device and filter module | MURATA MANUFACTURING CO., LTD. |
10886898 | ZQ calibration using current source | MICRON TECHNOLOGY, INC. |
10886899 | Low-power-consumption constant-on-time timing circuit design method and timing circuit | SICHUAN ENERGY INTERNET RESEARCH INSTITUTE, TSINGHUA UNIVERSITY |
10886900 | Multi-phase multi-frequency pulse width modulation | TEXAS INSTRUMENTS INCORPORATED |
10886901 | Low supply voltage ring oscillator and method thereof | -- |
10886902 | Superconducting circuit and method for detecting a rising edge of an input signal | MICROSOFT TECHNOLOGY LICENSING, LLC |
10886903 | Programmable clock skewing for timing closure | APPLE INC. |
10886904 | Area-efficient non-overlapping signal generator | QUALCOMM INCORPORATED |
10886905 | Signal generator with coherent phase output | DIALOG SEMICONDUCTOR B.V. |
10886906 | Duty-cycle correction using balanced clocks | XILINX, INC. |
10886907 | Method of controlling resolution of digital pulse width modulation | -- |
10886909 | Electric assembly including an insulated gate bipolar transistor device and a wide-bandgap transistor device | INFINEON TECHNOLOGIES AG |
10886910 | Semiconductor device with current sense element | FUJI ELECTRIC CO., LTD. |
10886911 | Stacked FET switch bias ladders | PSEMI CORPORATION |
10886912 | Gate circuit and gate drive circuit for power semiconductor switch | -- |
10886913 | Drive method and drive circuit for power switch, and power supply system | JOULWATT TECHNOLOGY (HANGZHOU) CO., LTD |
10886914 | Semiconductor switch with magnetic coupling device | ABB SCHWEIZ AG |
10886915 | Device modifying the impedance value of a reference resistor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886916 | Signal transmission circuit | ZTE CORPORATION |
10886917 | Power transistor control circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886918 | Systems and methods for impedance calibration of a semiconductor device | MICRON TECHNOLOGY, INC. |
10886919 | Clock adjusting techniques | ARM LIMITED |
10886920 | Output buffer circuit | DB HITEK CO., LTD. |
10886921 | Multi-chip stacked devices | XILINX, INC. |
10886922 | Test circuitry and techniques for logic tiles of FPGA | FLEX LOGIX TECHNOLOGIES, INC. |
10886923 | Bridged integrated circuits | GOOGLE LLC |
10886924 | Logic drive using standard commodity programmable logic IC chips | -- |
10886925 | Method and system for providing regional electrical grid for power conservation in a programmable device | GOWIN SEMICONDUCTOR CORPORATION |
10886926 | Synchronization method and controller | FANUC CORPORATION |
10886927 | Signal generation circuit synchronized with a clock signal and a semiconductor apparatus using the same | SK HYNIX INC. |
10886928 | Fast phase frequency detector | MONTAGE TECHNOLOGY CO., LTD. |
10886929 | Oscillator calibration from over-the-air signals for low power frequency/time references wireless radios | WILIOT, LTD. |
10886930 | Voltage controlled oscillator based analog-to-digital converter including a maximum length sequence generator | INFINEON TECHNOLOGIES AG |
10886931 | Circuitry for low input charge analog to digital conversion | STMICROELECTRONICS INTERNATIONAL N.V. |
10886932 | Method and apparatus for alignment adjustment of encoder systems | TT ELECTRONICS PLC |
10886933 | Analog-to-digital converter | TEXAS INSTRUMENTS INCORPORATED |
10886934 | Time to digital converter and A/D conversion circuit | SEIKO EPSON CORPORATION |
10886935 | SAR-DAC device and method for operating an SAR-DAC device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886936 | Image processing apparatus and image processing method | -- |
10886937 | Method to embed ELD DAC in SAR quantizer | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10886938 | Active analog front-end | ATMOSIC TECHNOLOGIES INC. |
10886939 | Sample-hold circuit and AD converter | KABUSHIKI KAISHA TOSHIBA |
10886940 | Circuits and methods providing a switched capacitor integrator | QUALCOMM INCORPORATED |
10886941 | Pulse density modulation method and pulse density value signal conversion circuit | HANGZHOU QISU TECHNOLOGY CO., LTD. |
10886942 | Floating point to fixed point conversion using exponent offset | IMAGINATION TECHNOLOGIES LIMITED |
10886943 | Method and apparatus for variable rate compression with a conditional autoencoder | SAMSUNG ELECTRONICS CO., LTD. |
10886944 | Low-density parity-check code scaling method | -- |
10886945 | Transmitter and method for generating additional parity thereof | SAMSUNG ELECTRONICS CO., LTD. |
10886946 | Parallel bit interleaver | PANASONIC CORPORATION |
10886947 | Efficient decoding of n-dimensional error correction codes | TOSHIBA MEMORY CORPORATION |
10886948 | Method for determining a decoding task and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10886949 | Forward error control coding | TEXAS INSTRUMENTS INCORPORATED |
10886950 | Method and apparatus for generating a code word | HUAWEI TECHNOLOGIES CO., LTD. |
10886953 | Devices and methods related to multi-band power amplifier | SKYWORKS SOLUTIONS, INC. |
10886955 | Parallel use of serial controls in improved wireless devices and power amplifier modules | SKYWORKS SOLUTIONS, INC. |
10886959 | Apparatuses and methods involving buffer circuits with linear transfer functions | NXP B.V. |
10887047 | Apparatus and method for encoding and decoding channel in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
10887049 | Methods and systems for data transmission | INPHI CORPORATION |
10887050 | Downlink signal reception method and user equipment, and downlink signal transmission method and base station | LG ELECTRONICS INC. |
10887126 | Automatic device volume adjustment based on learned volume preferences | ROVI GUIDES, INC. |
10887416 | Efficient high availability and storage efficiency in a multi-site object storage environment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10887540 | Solid-state imaging apparatus, method for driving solid-state imaging apparatus, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10887623 | Method for producing video coding and programme-product | SIEMENS AKTIENGESELLSCHAFT |
10887624 | Method for producing video coding and computer program product | SIEMENS AKTIENGESELLSCHAFT |
10887711 | Sound recording circuit | -- |
10887780 | Receivers incorporating uniform and non-uniform constellations and adaptive selection | CONSTELLATION DESIGNS, LLC |
10887791 | Techniques and apparatuses for low density parity check base graph determination and indication | QUALCOMM INCORPORATED |
10887845 | Radio frequency integrated circuit including a local oscillator and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10889266 | Method and system for detecting the presence of a human hand on a motor vehicle opening element | CONTINENTAL AUTOMOTIVE FRANCE |
10890548 | Resistive gas sensor and gas sensing method therefor | -- |
10890605 | Load detection circuit and load driver having load detection circuit | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10890607 | Sense circuit for piezoresistive sensor, circuit including array of piezoresistive sensors, and operation method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10890609 | Signal source, test system and method for testing a device under test | ROHDE & SCHWARZ GMBH & CO. KG |
10890616 | Self-check system and method thereof | -- |
10890623 | Power saving scannable latch output driver | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10890654 | Radar system comprising coupling device | NXP USA, INC. |
10890674 | Dynamic noise shaping in a photon counting system | TEXAS INSTRUMENTS INCORPORATED |
10890791 | Light control device | TOPPAN PRINTING CO., LTD. |
10890937 | Apparatus and methods for reducing clock-ungating induced voltage droop | QUALCOMM INCORPORATED |
10890938 | Clock duty cycle adjustment and calibration circuit and method of operating same | -- |
10890953 | Capacitance sensing electrode with integrated I/O mechanism | APPLE INC. |
10891059 | Object synchronization in a clustered system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891068 | Temporary relocation of data within local storage of a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891080 | Management of non-volatile memory arrays | MENTIUM TECHNOLOGIES INC. |
10891082 | Methods for accelerating compression and apparatuses using the same | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
10891110 | AES/CRC engine based on resource shared galois field computation | THE BOARD OF REGENTS OF THE UNIVERSITY OF TEXAS SYSTEM |
10891186 | Semiconductor device and semiconductor system including the same | RENESAS ELECTRONICS CORPORATION |
10891189 | Customized parameterization of read parameters after a decoding failure for solid state storage devices | SEAGATE TECHNOLOGY LLC |
10891191 | Apparatuses and methods for generating probabilistic information with current integration sensing | MICRON TECHNOLOGY, INC. |
10891528 | Demodulator and method of demodulating ask signal | BEKEN CORPORATION |
10891536 | Artificial neural network for reservoir computing using stochastic logic | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10891557 | Quantum computer hardware with reflectionless filters for thermalizing radio frequency signals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891759 | Method for lossless compression and regeneration of digital design data | -- |
10891832 | System and method for tracking machine use | -- |
10891846 | Information processing device, information processing method, and program | SONY CORPORATION |
10891933 | Audio processing system | NXP B.V. |
10891963 | Decoder, encoder, and method for informed loudness estimation in object-based audio coding systems | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10891990 | Memory device | -- |
10891996 | Signal receiver with skew-tolerant strobe gating | RAMBUS INC. |
10892002 | Selectively controlling clock transmission to a data (DQ) system | MICRON TECHNOLOGY, INC. |
10892009 | Magnetic wall utilization-analog memory element and magnetic wall utilization analog memory | TDK CORPORATION |
10892030 | Memory system with controller and memory chips, where controller can change a set value read level and instruct memory chip to execute read operation with the changed set value | TOSHIBA MEMORY CORPORATION |
10892037 | Methods for compression of molecular tagged nucleic acid sequence data | LIFE TECHNOLOGIES CORPORATION |
10892080 | Tunable inductor arrangement, transceiver, method, and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10892099 | Fringe capacitor for high resolution ADC | NXP USA, INC. |
10892123 | Safety switch with detection of the driving of an auxiliary unlocking control | PIZZATO ELETTRICA S.R.L. |
10892139 | ICP antenna and substrate processing device including the same | EUGENE TECHNOLOGY CO., LTD. |
10892140 | Nanosecond pulser bias compensation | EAGLE HARBOR TECHNOLOGIES, INC. |
10892141 | Nanosecond pulser pulse generation | EAGLE HARBOR TECHNOLOGIES, INC. |
10892260 | Capacitor | -- |
10892299 | Magnetic field controlled transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892354 | Field plates on two opposed surfaces of double-base bidirectional bipolar transistor: devices, methods, and systems | IDEAL POWER INC. |
10892398 | Qubit hardware for electrons on helium | -- |
10892401 | Spin current magnetization rotational element, magnetoresistance effect element and magnetic memory | TDK CORPORATION |
10892591 | High speed driver for particle beam deflector | FERMI RESEARCH ALLIANCE, LLC |
10892617 | High speed wide dynamic range input structure | NXP USA, INC. |
10892650 | Multi-coil large area wireless power system | EFFICIENT POWER CONVERSION CORPORATION |
10892675 | Voltage converting circuit and control circuit thereof | -- |
10892709 | Electronic precision timing device | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10892710 | LC oscillator powering arrangement and method of powering an LC oscillator | STICHTING IMEC NEDERLAND |
10892711 | Oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10892712 | Stacked-die bulk acoustic wave oscillator package | TEXAS INSTRUMENTS INCORPORATED |
10892713 | RF power amplifier | ROBERT BOSCH GMBH |
10892714 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10892715 | Wideband power combiner and splitter | SKYWORKS SOLUTIONS, INC. |
10892716 | Amplifier | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
10892717 | Highly linear transconductance amplifier and method thereof | -- |
10892718 | Impedance transformation circuit for amplifier | SKYWORKS SOLUTIONS, INC. |
10892719 | Multistage power amplifier with linearity compensating function | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892720 | Control circuit for power amplifier | MURATA MANUFACTURING CO., LTD. |
10892721 | Apparatus and methods for oscillation suppression of cascode power amplifiers | SKYWORKS SOLUTIONS, INC. |
10892722 | Method of and apparatus for reducing the influence of a common mode signal on a differential signal and to systems including such an apparatus | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10892723 | Integration-based low noise amplifiers for sensors | MELEXIS TECHNOLOGIES SA |
10892724 | Wideband distributed power amplifiers and systems and methods thereof | LOCKHEED MARTIN CORPORATION |
10892725 | Domain-distributed cryogenic signaling amplifier | RAMBUS INC. |
10892726 | Pulse based automatic gain control for analog and pulse domain regulation | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10892727 | Adaptive equalization apparatus and method of using the same | QUALITAS SEMICONDUCTOR CO., LTD. |
10892728 | Virtual inductors using ferroelectric capacitance and the fabrication method thereof | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10892729 | Passive non-ferromagnetic circulator | MISSION MICROWAVE COMPONENTS LLC |
10892730 | Acoustic filter with packaging-defined boundary conditions and method for producing the same | VANGUARD INTERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD. |
10892731 | Bulk acoustic wave filter device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892732 | Resonator and device including the same | KABUSHIKI KAISHA TOSHIBA |
10892733 | Piezo-actuated MEMS resonator with surface electrodes | SITIME CORPORATION |
10892734 | Resonator element, resonator, oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10892735 | Passive wireless sensor including piezoelectric MEMS resonator | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
10892736 | Fine dust concentration sensor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892737 | Bulk-acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10892738 | Acoustic wave filter device and multiplexer | MURATA MANUFACTURING CO., LTD. |
10892739 | Acoustic wave filter device | MURATA MANUFACTURING CO., LTD. |
10892740 | Digital filtering method, corresponding circuit and device | STMICROELECTRONICS S.R.L. |
10892741 | Power device driving apparatus | DENSO CORPORATION |
10892742 | Duty-cycle calibration based on differential clock sensing | TEXAS INSTRUMENTS INCORPORATED |
10892743 | Fine delay structure with programmable delay ranges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892744 | Correcting duty cycle and compensating for active clock edge shift | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892745 | Signal detector for GPON optical line terminal | SEMTECH CORPORATION |
10892746 | Switch on-time controller with delay line modulator | TEXAS INSTRUMENTS INCORPORATED |
10892747 | Circuits, methods and systems for setting a current level to be used by a current-mode gate driver | INFINEON TECHNOLOGIES AUSTRIA AG |
10892748 | Power module | SHARP KABUSHIKI KAISHA |
10892749 | Electronic circuit, method, and non-transitory recording medium | KABUSHIKI KAISHA TOSHIBA |
10892750 | Semiconductor apparatus | SK HYNIX INC. |
10892751 | Lossless switch controlled by the phase of a microwave drive | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892752 | Sensor system for protecting movable objects and method for operating a sensor system | MAYSER GMBH & CO. KG |
10892753 | Input device with an array of force sensors of a laminated construction with backlighting | PREH GMBH |
10892754 | Semiconductor apparatus including power gating circuits | SK HYNIX INC. |
10892755 | Driver circuitry for fast, efficient state transitions | COGNIPOWER, LLC |
10892756 | Reducing noise effects in electrostatic discharge circuits | TEXAS INSTRUMENTS INCORPORATED |
10892757 | Reverse body biasing of a transistor using a photovoltaic source | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10892758 | Tracking voltage reference for single ended receiver | NXP B.V. |
10892759 | Bus driver module with controlled circuit and transition controlled circuit thereof | -- |
10892760 | Dynamic transistor gate overdrive for input/output (I/O) drivers and level shifters | QUALCOMM INCORPORATED |
10892761 | Inverting WPL gates with edge-triggered readout | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10892762 | Phase-continuous reference clock frequency shift for digital phase locked loop | INTEL CORPORATION |
10892763 | Second-order clock recovery using three feedback paths | CREDO TECHNOLOGY GROUP LIMITED |
10892764 | Delay locked loop device and update method thereof | -- |
10892765 | Relocking a phase locked loop upon cycle slips between input and feedback clocks | AURA SEMICONDUCTOR PVT. LTD |
10892766 | Reconfigurable digital converter for converting sensing signal of plurality of sensors into digital value | DAEGU GYEONGBUK INSTITUTE OF SCIENCE AND TECHNOLOGY |
10892767 | High accuracy matching system and method therefor | NXP USA, INC. |
10892768 | Low noise and low distortion test method and system for analog-to-digital converters | TEXAS INSTRUMENTS INCORPORATED |
10892769 | Analog-to-digital converter with hysteresis | TEXAS INSTRUMENTS INCORPORATED |
10892770 | Noise shaping in a digital-to-analog convertor | TEXAS INSTRUMENTS INCORPORATED |
10892771 | Segmented resistor digital-to-analog converter | TEXAS INSTRUMENTS INCORPORATED |
10892772 | Low power always-on microphone using power reduction techniques | INVENSENSE, INC. |
10892773 | Analog-to-digital converter and sensor arrangement including the same | TDK ELECTRONICS AG |
10892774 | Re-quantization device having noise shaping function, signal compression device having noise shaping function, and signal transmission device having noise shaping function | NAGOYA INSTITUTE OF TECHNOLOGY |
10892775 | Transmitting system, apparatus and method for unifying parallel interfaces | SAMSUNG ELECTRONICS CO., LTD. |
10892776 | Memory controller and method of accessing flash memory | -- |
10892777 | Fast error recovery with error correction code (ECC) syndrome weight assist | SEAGATE TECHNOLOGY LLC |
10892778 | Encoding method and device and decoding method and device for structured LDPC | ZTE CORPORATION |
10892779 | Error correction device, operating method thereof and electronic device including the same | SK HYNIX INC. |
10892780 | Polar polar code encoding and decoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10892781 | Method and devices for a reduced repair and update erasure code | ZEBWARE AB |
10892782 | Flexible system and method for combining erasure-coded protection sets | EMC IP HOLDING COMPANY LLC |
10892783 | Apparatus and method for decoding polar codes | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10892784 | Memory device with enhanced error correction via data rearrangement, data partitioning, and content aware decoding | WESTERN DIGITAL TECHNOLOGIES, INC. |
10892787 | Load modulation in signal transmission | AIRBUS DEFENCE AND SPACE LIMITED |
10892788 | Low complexity MIMO digital pre-distortion | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10892790 | Reception apparatus and reception method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10892796 | UWB spread spectrum power spatial combining antenna array | ROCKWELL COLLINS, INC. |
10892800 | Systems and methods for wireless power transfer including pulse width encoded data communications | NUCURRENT, INC. |
10892848 | Devices and methods implementing polar codes | HUAWEI TECHNOLOGIES CO., LTD. |
10892849 | Polar code coding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10892851 | Polar coding method, apparatus, and device | HUAWEI TECHNOLOGIES CO., LTD. |
10892852 | Communication apparatus, communication method, program, and communication system | SONY CORPORATION |
10892876 | Methods and apparatus for dynamic acknowledgement list selection in detection of uplink control channel formats | CAVIUM, LLC |
10892923 | Signal output circuit, transmission circuit and integrated circuit | SOCIONEXT INC. |
10892925 | Communication receiver interface for current loop circuit | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10893085 | Audio stagger casting | COHERENT LOGIX, INCORPORATED |
10893354 | Headset | AUDIO-TECHNICA CORPORATION |
10893358 | Gain adjustment device, remote conversation device, and gain adjustment method | YAMAHA CORPORATION |
10893359 | Speaker excursion prediction and protection | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10893360 | Pop sound suppression method, audio output circuit, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
10893362 | Addition of virtual bass | GUOGUANG ELECTRIC COMPANY LIMITED |
10893782 | Hand dryer comprising a detection volume | FFUUSS 2013, S.L. |
10894713 | Temperature-compensated micro-electromechanical device, and method of temperature compensation in a micro-electromechanical device | STMICROELECTRONICS S.R.L. |
10895391 | Overvoltage recovery circuit and a controller and HVAC system including the same | LENNOX INDUSTRIES INC. |
10895478 | Sensor unit | KEYENCE CORPORATION |
10895601 | System and method of monitoring a switching transistor | INFINEON TECHNOLOGIES AG |
10895604 | Reduced stack voltage circuitry for energy storage system diagnostics | BALLARD POWER SYSTEMS INC. |
10895848 | Methods and apparatus for selective histogramming | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10895849 | Time-to-digital conversion circuit | SHARP KABUSHIKI KAISHA |
10895850 | Mixed-domain circuit with differential domain-converters | SI-WARE SYSTEMS S.A.E. |
10895884 | Low dropout (LDO) voltage regulator with soft-start circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10896022 | Sorting using pipelined compare units | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896143 | Configurable termination circuitry | MICRON TECHNOLOGY, INC. |
10896147 | Methods and devices for reducing array size and complexity in automata processors | MICRON TECHNOLOGY, INC. |
10896719 | Techniques for clock signal jitter generation | MICRON TECHNOLOGY, INC. |
10896780 | Resonant LC tank package and method of manufacture | INTEL IP CORPORATION |
10896876 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10896883 | Integrated circuit security | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896905 | Adaptive thermal overshoot and current limiting protection for MOSFETs | TEXAS INSTRUMENTS INCORPORATED |
10896919 | Semiconductor integrated circuit device | RENESAS ELECTRONICS CORPORATION |
10897002 | Acoustic resonator and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10897108 | Device for use in explosive atmosphere zones | BARTEC GMBH |
10897130 | Micro plasma limiter for RF and microwave circuit protection | THE BOEING COMPANY |
10897139 | Switching control circuit and control method | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10897140 | Method of operating a wireless connector system | NUCURRENT, INC. |
10897142 | Half bridge circuit with bootstrap capacitor charging circuit | NAVITAS SEMICONDUCTOR LIMITED |
10897162 | Antenna array element by element power tracking | PSEMI CORPORATION |
10897183 | On-vehicle motor-driven compressor | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10897192 | Scheme to reduce static power consumption in analog controller based power converters requiring an external high voltage startup circuit | STMICROELECTRONICS INTERNATIONAL N.V. |
10897197 | Switch-mode power supply with frequency adjustment in discontinuous conduction mode | TEXAS INSTRUMENTS INCORPORATED |
10897198 | Voltage conversion apparatus and control method therefor | -- |
10897203 | Buck converter with inductor sensor | AMBIQ MICRO, INC. |
10897224 | Oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10897225 | Oscillator failure detection circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897226 | Oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10897227 | Oscillation circuit, oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10897228 | Systems and methods for detecting local oscillator leakage and image tone in I/Q mixer based transceivers | SAMSUNG ELECTRONICS CO., LTD. |
10897229 | Compensation circuit for operational amplifier, integrated circuit and display panel | SEEYA OPTRONICS CO., LTD. |
10897230 | Bias circuit and amplification apparatus | TOHOKU UNIVERSITY |
10897231 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10897232 | Multi-level capacitive digital-to-analog converter for use in a sigma-delta modulator | AMS AG |
10897233 | Switching amplifiers and power converters | GOOGLE LLC |
10897234 | Fully differential operational amplifier common mode current sensing feedback | STMICROELECTRONICS S.R.L. |
10897235 | Superconducting signal amplifier | PSIQUANTUM CORP. |
10897236 | Wideband signal buffer | APPLE INC. |
10897237 | Filter for suppressing 5G signal interference and television antenna | SHENZHEN ANTOP TECHNOLOGY CO., LTD. |
10897238 | Piezoelectric package-integrated contour mode filter devices | INTEL CORPORATION |
10897239 | Granular variable impedance tuning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897240 | Low power transmitter oscillator circuits and methods | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10897241 | Hysteresis control method for inverter and an inverter with hysteresis control | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10897242 | Frequency demultiplication adjustment method of PLL | AMLOGIC (SHANGHAI) CO., LTD. |
10897243 | Cable and connection device | SONY CORPORATION |
10897244 | Apparatuses and methods for voltage dependent delay | MICRON TECHNOLOGY, INC. |
10897245 | Clockless delay adaptation loop for random data | TEXAS INSTRUMENTS INCORPORATED |
10897246 | Radio frequency switching circuitry with reduced switching time | QORVO US, INC. |
10897247 | Intelligent semiconductor switch | INFINEON TECHNOLOGIES AG |
10897248 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10897249 | Switching circuits having drain connected ferrite beads | TRANSPHORM TECHNOLOGY, INC. |
10897250 | Systems and methods for controlling dynamic avalanche in switching devices | TRANSPORTATION IP HOLDINGS, LLC |
10897251 | Isolation barrier communication system in a package for isolated gate driver communication | INFINEON TECHNOLOGIES AUSTRIA AG |
10897252 | Methods and apparatus for an auxiliary channel | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10897253 | Calibration circuit and calibration apparatus including the same | SK HYNIX INC. |
10897254 | Power semiconductor drive circuit, power semiconductor circuit, and power module circuit device | ROHM CO., LTD. |
10897255 | Drive circuit | FUJI ELECTRIC CO., LTD. |
10897256 | Integrated circuit based microsensor chemical detection system and elements | NONVOLOGIC LLC |
10897257 | Chemical detection mixture with integrated circuit microsensor elements | NONVOLOGIC LLC |
10897258 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10897259 | Phase locked circuit, method of operating the same, and transceiver | SAMSUNG ELECTRONICS CO., LTD. |
10897260 | Systems and methods for performing phase error correction | MARVELL ASIA PTE, LTD. |
10897261 | Analog-to-digital converter with a supplementary digital-to-analog converter for offset and gain error measurements | INFINEON TECHNOLOGIES AG |
10897262 | Methods and apparatus to determine non linearity in analog-to-digital converters | TEXAS INSTRUMENTS INCORPORATED |
10897263 | Multiple paths bootstrap configuration for sample and hold circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10897264 | Data receiver for communication system | BOOZ ALLEN HAMILTON INC. |
10897265 | Analog-to-digital conversion device, photoelectric conversion device, photoelectric conversion system, and movable object | CANON KABUSHIKI KAISHA |
10897266 | RF quadrature mixing digital-to-analog conversion | JARIET TECHNOLOGIES, INC. |
10897267 | Multi-output digital to analog converter | TEXAS INSTRUMENTS INCORPORATED |
10897268 | Probability-based synchronization of a serial code stream | CIRRUS LOGIC, INC. |
10897269 | Hierarchical point cloud compression | APPLE INC. |
10897270 | Dynamic dictionary-based data symbol encoding | -- |
10897271 | Multi-dimensional quasi-cyclic (QC) low-density parity-check (LDPC) code constructions | WESTERN DIGITAL TECHNOLOGIES, INC. |
10897272 | Transmission method and reception device | SONY CORPORATION |
10897273 | System-level error correction coding allocation based on device population data integrity sharing | WESTERN DIGITAL TECHNOLOGIES, INC. |
10897279 | DC-coupled SERDES receiver | SAMSUNG ELECTRONICS CO., LTD. |
10897280 | Electronic device including plurality of antenna arrays | SAMSUNG ELECTRONICS CO., LTD. |
10897323 | Code block segmentation for new radio | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10897329 | Method and apparatus for performing HARQ on basis of polar code | LG ELECTRONICS INC. |
10897372 | Communication device, communication system, communication method and program | SONY CORPORATION |
10897384 | HART FSK digital demodulator | TEXAS INSTRUMENTS INCORPORATED |
10897388 | Transmitter, receiver, transmission method, and reception method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10897592 | Combined programmable gain amplifier and comparator for low power and low area readout in image sensor | FOVEON, INC. |
10897670 | Excursion and thermal management for audio output devices | AMAZON TECHNOLOGIES, INC. |
10897679 | Zone scene management | SONOS, INC. |
10897681 | Method and apparatus to evaluate audio equipment for dynamic distortions and or differential phase and or frequency modulation effects | -- |
10897733 | Apparatuses and methods for measuring neighboring inter-frequency or inter-rat cells | APPLE INC. |
10897808 | Filter device and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10897814 | Characterization vehicles for printed circuit board and system design | PDF SOLUTIONS, INC. |
10900766 | Integrated passive circuit elements for sensing devices | 3M INNOVATIVE PROPERTIES COMPANY |
10900923 | Moisture detection and ingression monitoring systems and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900931 | Continuous flow fluid contaminant sensing system and method | QUANSOR CORP |
10901009 | Power detector for radiofrequency power amplifier circuits | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10901012 | Calibration of current sense amplifier with common-mode rejection | CIRRUS LOGIC, INC. |
10901020 | Digital duty-cycle monitoring of a periodic signal | QUALCOMM INCORPORATED |
10901248 | Voltage amplifier circuit and associated amplifying method for flexible waveform adjustment | -- |
10901442 | Active-matrix substrate, display panel and display device including the same | SHARP KABUSHIKI KAISHA |
10901443 | Connection and disconnection differential surge limiter circuit for AC coupled transceiver | SYNOPSYS, INC. |
10901444 | Driver circuit, corresponding device, apparatus and method | STMICROELECTRONICS S.R.L. |
10901449 | Electronic drive circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
10901453 | Semiconductor integrated circuit, and method for supplying clock signals in semiconductor integrated circuit | RICOH COMPANY, LTD. |
10901454 | Clock buffering to reduce memory hold time | QUALCOMM INCORPORATED |
10901455 | Time arbitration circuit | SCPTIME |
10901486 | Configurable interconnect apparatus and method | INTEL CORPORATION |
10901547 | Touch sensor assembly having a pressing tab, piezo disc, and oxidation prevention film | LG ELECTRONICS INC. |
10901606 | Methods of direct manipulation of multi-layered user interfaces | QUALCOMM INCORPORATED |
10901609 | Surface wrapped user interface touch control | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10901618 | Storage unit (SU) operative within non-disruptive and performant migration | PURE STORAGE, INC. |
10901682 | Electronic device with embedded microchip | AFTERMASTER, INC. |
10901836 | Systems and methods for mitigating faults in combinatory logic | UNIVERSITY OF SOUTHERN CALIFORNIA |
10901837 | Error correction code (ECC) operations in memory | MICRON TECHNOLOGY, INC. |
10901840 | Error correction decoding with redundancy data | WESTERN DIGITAL TECHNOLOGIES, INC. |
10901842 | Memory system and operating method thereof | SK HYNIX INC. |
10901844 | Multiple node repair using high rate minimum storage regeneration erasure code | NETAPP, INC. |
10901849 | Dynamic authorization batching in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901851 | Delay circuitry to hold up power to a mass storage device and method therefor | SANMINA CORPORATION |
10901949 | Method and apparatus for compressing metadata in a file system | EMC IP HOLDING COMPANY LLC |
10901950 | Efficient data compression and analysis as a service | AMAZON TECHNOLOGIES, INC. |
10902086 | Subspace-constrained partial update method for high-dimensional adaptive processing systems | -- |
10902154 | Data security | MEMOSCALE AS |
10902790 | Semiconductor device, display panel, display device, input/output device, and data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902806 | Half-power buffer amplifier, source driver, and display apparatus including the same | DB HITEK CO., LTD. |
10902814 | Semiconductor device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902816 | Integrated circuit for driving display panel and fan-out compensation method thereof | -- |
10902865 | Post-processing gains for signal enhancement | DOLBY LABORATORIES LICENSING CORPORATION |
10902892 | Input buffer circuit having differential amplifier | MICRON TECHNOLOGY, INC. |
10902895 | Configuration bit sequencing control of nonvolatile domain and array wakeup and backup | TEXAS INSTRUMENTS INCORPORATED |
10902896 | Memory circuit and method thereof | -- |
10902897 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. |
10902904 | Apparatuses and methods for providing multiphase clocks | MICRON TECHNOLOGY, INC. |
10902908 | Josephson memory and logic circuits using quasi-long-junction interconnect | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10903048 | Substrate processing method and apparatus for controlling phase angles of harmonic signals | APPLIED MATERIALS, INC. |
10903049 | Plasma processing apparatus and measurement circuit | TOKYO ELECTRON LIMITED |
10903145 | Symmetric input circuitry for IC in two-pin package | MICROCHIP TECHNOLOGY INCORPORATED |
10903173 | Pre-conditioned substrate | PALO ALTO RESEARCH CENTER INCORPORATED |
10903176 | Method of forming a photodiode | PALO ALTO RESEARCH CENTER INCORPORATED |
10903182 | Amplifier die bond pad design and amplifier die arrangement for compact Doherty amplifier modules | NXP USA, INC. |
10903214 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903353 | Double gate transistor device and method of operating | INFINEON TECHNOLOGIES AUSTRIA AG |
10903355 | Power switch arrangement | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10903541 | Packaging and thermalization of cryogenic dispersive resistive hybrid attenuators for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903542 | Variable radio frequency attenuator | THE BOEING COMPANY |
10903544 | Magnetic balun/transformer with post processing adjustments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903545 | Method of making a mechanically stabilized radio frequency transmission line device | 3D GLASS SOLUTIONS, INC. |
10903641 | Fast overvoltage protection circuit with digital control | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10903644 | Control device | AUTONETWORKS TECHNOLOGIES, LTD. |
10903660 | Wireless connector system circuit | NUCURRENT, INC. |
10903735 | Semiconductor integrated circuit device and power supply device | RENESAS ELECTRONICS CORPORATION |
10903744 | Signal generation circuit | KABUSHIKI KAISHA TOSHIBA |
10903774 | Half-bridge inverter modules with advanced protection through high-side to low-side control block communication | POWER INTEGRATIONS, INC. |
10903790 | Frequency reference generator | NXP B.V. |
10903791 | Super-regenerative transceiver with improved frequency discrimination | MUMEC, INC. |
10903792 | Self-oscillating spread spectrum frequency control loop | LITTELFUSE, INC. |
10903793 | Voltage regulators having regulated voltage output irrespective of input voltage | INTEL CORPORATION |
10903794 | Power amplifier device with improved response speed | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903795 | Semiconductor amplifier | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10903796 | Voltage generation circuit and related envelope tracking amplifier apparatus | QORVO US, INC. |
10903797 | Bias circuit based on BiFET technology for supplying a bias current to an RF power amplifier | -- |
10903798 | Ultrawideband very low noise amplifier with noise reduction and current reuse | SHORT CIRCUIT TECHNOLOGIES LLC |
10903799 | Variable gain low noise amplifying apparatus with phase distortion compensation | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903800 | Power amplifier system | QORVO US, INC. |
10903801 | Audio processing circuit and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
10903802 | Analog based speaker thermal protection in class-D amplifiers | TEXAS INSTRUMENTS INCORPORATED |
10903803 | Semiconductor device and power amplifier module | MURATA MANUFACTURING CO., LTD. |
10903804 | Differential amplifier with variable neutralization | TEXAS INSTRUMENTS INCORPORATED |
10903805 | Low noise amplifier with reactive feedback | NOVELDA AS |
10903806 | Radio frequency circuitr having an integrated harmonic filter and a radio frequency circuit having transistors of different threshold voltages | DSP GROUP LTD. |
10903807 | Mixer circuit | -- |
10903809 | Amplifier frequency matching for qubit readout | GOOGLE LLC |
10903810 | Apparatus for detecting neural spike | SAMSUNG ELECTRONICS CO., LTD. |
10903811 | Coaxial RF filter with discoidal capacitor | AVX CORPORATION |
10903812 | Trap filter and filter circuit | MURATA MANUFACTURING CO., LTD. |
10903813 | Phase shifter | RENESAS ELECTRONICS CORPORATION |
10903814 | Bulk acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903815 | Acoustic wave resonator and electronic filter circuit | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10903816 | Thin-film type package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903817 | Bulk acoustic wave resonator and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903818 | Piezoelectric package-integrated film bulk acoustic resonator devices | INTEL CORPORATION |
10903819 | Communication module | TAIYO YUDEN CO., LTD. |
10903820 | Systems and methods for analog finite impulse response filters | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10903821 | Complementary metal-oxide semiconductor (CMOS) compatible RF switch and high voltage control circuit (HVCC) | QORVO US, INC. |
10903822 | Integrated oscillator | ARM LIMITED |
10903823 | Oscillation signal production | QUALCOMM INCORPORATED |
10903824 | Pulsed level shifter circuitry | APPLE INC. |
10903825 | Phase correction circuit, phase correction method and electric energy metering device | HANGZHOU VANGO TECHNOLOGIES, INC. |
10903826 | Glitch removal circuit and electronic device | FANUC CORPORATION |
10903828 | Voltage regulator phase duty cycle control apparatus and method | INTEL CORPORATION |
10903829 | Switched capacitor driving circuits for power semiconductors | INFINEON TECHNOLOGIES AUSTRIA AG |
10903830 | Short-circuit protection for a power semiconductor device | NEXUS TECHNOLOGIES, INC. |
10903831 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10903832 | Current drive circuit | KABUSHIKI KAISHA TOSHIBA |
10903833 | Continuously correcting capacitor switch controller system and method | VALQUEST SYSTEMS, INC. |
10903834 | Power electronic device with paralleled transistors | BAE SYSTEMS CONTROLS INC. |
10903835 | High frequency switch | MURATA MANUFACTURING CO., LTD. |
10903836 | Radio-frequency switch with voltage equalization | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903837 | Low power pin diode driver | ADVANCED ENERGY INDUSTRIES, INC. |
10903838 | Integrated circuit clock management during low power operations | SILICON LABORATORIES INC. |
10903839 | Article coated with integrated microsensor chemical detection elements | NONVOLOGIC LLC |
10903840 | Pad tracking circuit for high-voltage input-tolerant output buffer | -- |
10903841 | Apparatus and methods for high frequency clock generation | CIENA CORPORATION |
10903842 | Device and method with clock frequency supply | SAMSUNG ELECTRONICS CO., LTD. |
10903843 | SAR ADC with variable sampling capacitor | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10903844 | System and methods for mixed-signal computing | MYTHIC, INC. |
10903845 | Delay-based residue stage | TEXAS INSTRUMENTS INCORPORATED |
10903846 | Power efficient successive approximation analog to digital converter | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10903847 | Analog-to-digital conversion circuit and signal conversion method thereof | RENESAS ELECTRONICS CORPORATION |
10903848 | Image decoding method, image coding method, image decoding apparatus, image coding apparatus, and image coding and decoding apparatus | SUN PATENT TRUST |
10903849 | Bit string compression | MICRON TECHNOLOGY, INC. |
10903850 | Page filtering via compression dictionary filtering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903851 | Page filtering via compression dictionary filtering | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903852 | Computer system supporting multiple encodings with static data support | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903853 | Computation of forward error correction data units using loss vector probabilities | MICROSOFT TECHNOLOGY LICENSING, LLC |
10903854 | Replacing a subset of digits in a sequence | MICRO FOCUS LLC |
10903855 | Convolutional LDPC decoding method and apparatus, decoder, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10903856 | Low density parity check encoder having length of 64800 and code rate of 2/15, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10903857 | Data retransmission method for polar code, and device therefor | LG ELECTRONICS INC. |
10903858 | Dynamically variable error correcting code (ECC) system with hybrid rateless reed-solomon ECCs | QUANTUM CORPORATION |
10903859 | Error detection by means of group errors | INFINEON TECHNOLOGIES AG |
10903860 | Error correction code (ECC) operations in memory for providing redundant error correction | MICRON TECHNOLOGY, INC. |
10903861 | Method and device for generating soft decision detection parameters | KABUSHIKI KAISHA TOSHIBA |
10903862 | Apparatus and a method for amplifying an input signal | INTEL IP CORPORATION |
10903867 | Discrete time superheterodyne mixer | U-BLOX AG |
10903936 | Method and apparatus for channel encoding/decoding in a communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
10903937 | Apparatus and method for communicating data over an optical channel | INPHI CORPORATION |
10903938 | Techniques of additional bit freezing for polar codes with rate matching | -- |
10903974 | Maintaining repeater accuracy for satellite signal delivery systems | SIRIUS XM RADIO INC. |
10904042 | Passive variable continuous time linear equalizer with attenuation and frequency control | TEKTRONIX, INC. |
10904044 | Serdes receiver with optimized CDR pulse shaping | -- |
10904046 | Variable gain amplifier and sampler offset calibration without clock recovery | KANDOU LABS, S.A. |
10904048 | Pulse width modulated receiver systems and methods | SANDISKTECHNOLOGIES LLC |
10904061 | Signal phase rotation | QUALCOMM INCORPORATED |
10904139 | Data transmission method and apparatus and network element | HUAWEI TECHNOLOGIES CO., LTD. |
10904177 | Lossy text source coding by word length | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904337 | Zone storage—resilient and efficient storage transactions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904466 | Digital correlated double sampling circuits and image sensors including the same | SAMSUNG ELECTRONICS CO., LTD. |
10904468 | Signal processing apparatus and method, imaging element, and electronic apparatus | SONY CORPORATION |
10904470 | Ramp signal generation device and CMOS image sensor including the same | SK HYNIX INC. |
10904509 | Method and system for encoding a video data signal, encoded video data signal, method and system for decoding a video data signal | KONINKLIJKE PHILIPS N.V. |
10904620 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
10904651 | Display apparatus | LG DISPLAY CO., LTD. |
10904661 | Low delay decimator and interpolator filters | SYNAPTICS INCORPORATED |
10904662 | Frequency-based audio amplification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10904669 | System for presentation of audio using wearable device | AMAZON TECHNOLOGIES, INC. |
10904684 | Activity detection | CIRRUS LOGIC, INC. |
10904685 | Acoustic signatures in a playback system | SONOS, INC. |
10904688 | Source separation for reverberant environment | DOLBY LABORATORIES LICENSING CORPORATION |
10904780 | Method and apparatus for measuring channel status in wireless communication system supporting reconfiguration of usage of radio resource | LG ELECTRONICS INC. |
10904976 | Drive circuit for a light-emitting diode light source | LUTRON TECHNOLOGY COMPANY LLC |
10904982 | Systems and methods for controlling switching circuitry | WIREPATH HOME SYSTEMS, LLC |
10905945 | Game machine and computer program thereof | KONAMI DIGITAL ENTERTAINMENT CO., LTD. |
10906839 | Low temperature cofired ceramic material, ceramic sintered body, and ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10907607 | Circuit and method for controlling a coil current during a soft shut down | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10908028 | Temperature sensing circuit and semiconductor device having the same | SK HYNIX INC. |
10908194 | Load identification system | -- |
10908239 | Broad band inductive matching of a nuclear magnetic resonance circuit using inductive coupling | JEOL LTD. |
10908349 | Wireless light board | -- |
10908435 | Automatically photosensitive sunglasses with low power consumption | JIANGMEN YEEBO SEMICONDUCTOR CO., LTD. |
10908558 | Circuit device, physical quantity measurement device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10908626 | Linear power supply circuit | ROHM CO., LTD. |
10908634 | Systems and methods for parallel photonic computing | LUMINOUS COMPUTING, INC. |
10908635 | Detection and management of frequency errors in a reference input clock signal | SILICON LABORATORIES INC. |
10908663 | Power switch multiplexer with configurable overlap | APPLE INC. |
10908670 | Audio circuit and method for detecting sound activity | DOLPHIN INTEGRATION |
10908674 | Electronic device and operating method thereof | SK HYNIX INC. |
10908722 | Sensing device and display device | LG DISPLAY CO., LTD. |
10908734 | Display device | SAMSUNG DISPLAY CO., LTD. |
10908742 | Device with grooves in conductive casing | MICROSOFT TECHNOLOGY LICENSING, LLC |
10908750 | Minimizing latency for resonant input object detection and classification | SYNAPTICS INCORPORATED |
10908815 | Systems and methods for distinguishing between a gesture tracing out a word and a wiping motion on a touch-sensitive keyboard | APPLE INC. |
10908842 | Storage device including write buffer memory and method of operating storage device | SAMSUNG ELECTRONICS CO., LTD. |
10908876 | Determination of a match between data values stored by several arrays | MICRON TECHNOLOGY, INC. |
10908994 | Memory system and method of controlling nonvolatile memory | TOSHIBA MEMORY CORPORATION |
10908995 | Securing against errors in an error correcting code (ECC) implemented in an automotive system | NVIDIA CORPORATION |
10908996 | Distribution of a codeword across individual storage units to reduce the bit error rate | INTEL CORPORATION |
10909057 | Direct drive LED driver and offline charge pump and method therefor | -- |
10909058 | Direct drive LED driver and offline charge pump and method therefor | -- |
10909102 | Systems and methods for performing scalable Log-Structured Merge (LSM) tree compaction using sharding | VMWARE, INC. |
10909292 | Implementing circuit designs on multi-die programmable devices | XILINX, INC. |
10909390 | Fixed-point quantization in neural networks for vehicle perception systems | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10909424 | Method and system for object tracking and recognition using low power compressive sensing camera in real-time applications | APPLIED RESEARCH, LLC |
10909725 | Point cloud compression | APPLE INC. |
10910026 | Clock generation circuit, switching power supply device, and semiconductor device | ROHM CO., LTD. |
10910040 | Memory circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10910072 | Accurate self-calibrated negative to positive voltage conversion circuit and method | SANDISK TECHNOLOGIES LLC |
10910115 | Digital systems and methods for high precision control in nuclear reactors | GE-HITACHI NUCLEAR ENERGY AMERICAS LLC |
10910136 | Semiconductor device, in-vehicle valve system and solenoid driver | RENESAS ELECTRONICS CORPORATION |
10910139 | Compact common mode choke with differential noise suppression and high self resonant frequency | UNIVERSAL LIGHTING TECHNOLOGIES, INC. |
10910172 | Thin photoelectric mechanical keyboard switch | -- |
10910368 | Circuit structure | -- |
10910414 | Photodetector in a silicon carbide integrated circuit | COOLCAD ELECTRONICS, LLC |
10910532 | Semiconductor device package and method of manufacturing the same | -- |
10910546 | Surface acoustic wave device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
10910547 | Piezoelectric thin film resonator, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
10910549 | Piezoelectric rotational MEMS resonator | MURATA MANUFACTURING CO., LTD. |
10910606 | Battery system | RELECTRIFY HOLDINGS PTY LTD |
10910690 | Directional coupler | MURATA MANUFACTURING CO., LTD. |
10910714 | Configurable power combiner and splitter | QUALCOMM INCORPORATED |
10910773 | Power conversion device with electric arc suppression | -- |
10910823 | Semiconductor device driving device | FUJI ELECTRIC CO., LTD. |
10910827 | Inrush current limiting system and method | HAMILTON SUNDSTRAND CORPORATION |
10910843 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10910938 | Current in-rush limiter | PSEMI CORPORATION |
10910968 | Motor drive device and motor drive system | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
10910995 | Oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10910996 | Oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10910998 | Method and apparatus for calibration of a band-pass filter and squelch detector in a frequency-shift keying transceiver | NXP B.V. |
10910999 | Bias circuit | MURATA MANUFACTURING CO., LTD. |
10911000 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
10911001 | Envelope tracking amplifier circuit | QORVO US, INC. |
10911002 | Multistage power amplifier with bias compensating function | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10911003 | Doherty amplifier | MITSUBISHI ELECTRIC CORPORATION |
10911004 | Sampled moving average notch filter for ripple reduction in chopper stabilized operational amplifiers | TEXAS INSTRUMENTS INCORPORATED |
10911005 | Transistor amplifier | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10911006 | Linear isolation amplifier and method for self-calibration thereof | LITTELFUSE, INC. |
10911007 | High-frequency amplifier circuitry and semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10911008 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
10911009 | Current-source switching hybrid audio amplifier | APPLE INC. |
10911010 | Class-D amplifier and sound system | KABUSHIKI KAISHA TOSHIBA |
10911011 | Coherent optical modem with method to discover and control an amplifier's automatic gain control (AGC) loop bandwidth | CIENA CORPORATION |
10911012 | Sound control device for controlling load based on continuous sound control signal | -- |
10911013 | Dynamic audio normalization process | COMCAST CABLE COMMUNICATIONS, LLC |
10911014 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10911015 | Electromagnetic tunable filter systems, devices, and methods in a wireless communication network for supporting multiple frequency bands | WISPRY, INC. |
10911016 | Wideband balun | ANALOG DEVICES, INC. |
10911017 | Solidly mounted transversely excited film bulk acoustic resonator using rotated Z-cut lithium niobate | RESONANT INC. |
10911018 | Vibrator device and electronic apparatus | SEIKO EPSON CORPORATION |
10911019 | Multiplexer | TAIYO YUDEN CO., LTD. |
10911020 | Method of providing protective cavity and integrated passive components in wafer level chip scale package using a carrier wafer | SKYWORKS SOLUTIONS, INC. |
10911021 | Transversely-excited film bulk acoustic resonator with lateral etch stop | RESONANT INC. |
10911022 | Duplexer | SNAPTRACK, INC. |
10911023 | Transversely-excited film bulk acoustic resonator with etch-stop layer | RESONANT INC. |
10911024 | Acoustic wave filter, acoustic wave device, multiplexer, and communication apparatus | KYOCERA CORPORATION |
10911025 | Second-order all-pass network comprising CCIIs | UNIVERSITY OF PRETORIA |
10911026 | Capacitor circuit and capacitive multiple filter | -- |
10911027 | Radio-frequency filter, multiplexer, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10911028 | Phase adjustment preset for N-path filter | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10911029 | Multi-dimensional compensator | INNOVATION DIGITAL, LLC |
10911030 | Drive circuit for power element | FUJI ELECTRIC CO., LTD. |
10911031 | Superconducting circuit for processing input signals | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911032 | Flip-flop | SAMSUNG ELECTRONICS CO., LTD. |
10911033 | Level shifter with reduced duty cycle variation | MICRON TECHNOLOGY, INC. |
10911034 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10911035 | Fixed-width pulse generator | NXP USA, INC. |
10911036 | Accelerating discharge device | -- |
10911037 | Systems and methods for phase synchronization of local oscillator paths in oscillator-operated circuits | MARVELL ASIA PTE, LTD. |
10911038 | Configuration mesh data bus and transactional memories in a multi-processor integrated circuit | NETRONOME SYSTEMS, INC. |
10911040 | High power radio frequency switches with low leakage current and low insertion loss | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10911041 | Electronic circuit module and vehicle including the same | HYUNDAI MOTOR COMPANY |
10911042 | Semiconductor device, semiconductor system, and semiconductor device manufacturing method | RENESAS ELECTRONICS CORPORATION |
10911043 | Method for switching over a semiconductor switch | ROBERT BOSCH GMBH |
10911044 | Wide range output driver circuit for semiconductor device | INTEGRATED SILICON SOLUTION, (CAYMAN) INC. |
10911045 | Segmented direct gate drive circuit of a depletion mode GaN power device | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10911046 | Capacitive sensing | NEODRóN LIMITED |
10911047 | Level shifter with auto voltage-bias reliability protection | QUALCOMM INCORPORATED |
10911048 | Dynamically adjustable CMOS circuit | NUVIA INC. |
10911049 | Boosted high-speed level shifter | MICRON TECHNOLOGY, INC. |
10911050 | Frequency reference oscillator device and method of stabilizing a frequency reference signal | KYOCERA TIKITIN OY |
10911051 | Method, system and device for radio frequency electromagnetic energy delivery | WHIRLPOOL CORPORATION |
10911052 | Multi-level signal clock and data recovery | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
10911053 | Phase locked loop design with reduced VCO gain | STMICROELECTRONICS INTERNATIONAL N.V. |
10911054 | Digital-to-time converter (DTC) assisted all digital phase locked loop (ADPLL) circuit | HUAWEI INTERNATIONAL PTE. LTD. |
10911055 | Oscillator self-calibration | TEXAS INSTRUMENTS INCORPORATED |
10911056 | IC transmitter digital phase domain calculator with atomic computation units | TEXAS INSTRUMENTS INCORPORATED |
10911057 | Digital clock generation with randomized division of a source clock | TEXAS INSTRUMENTS INCORPORATED |
10911058 | Switched capacitor comparator | ANALOG DEVICES, INC. |
10911059 | Signal processing system using analog-to-digital converter with digital-to-analog converter circuits operating in different voltage domains and employing mismatch error shaping technique and associated signal processing method | -- |
10911060 | Low power device for high-speed time-interleaved sampling | XILINX, INC. |
10911061 | System and method for demodulation of resolver outputs | THE BOEING COMPANY |
10911062 | Apparatus and method for multilevel coding (MLC) with binary alphabet polar codes | TEXAS INSTRUMENTS INCORPORATED |
10911063 | Adaptive speculative decoding | INTEL CORPORATION |
10911064 | Symbol pair encoding for data compression | SEAGATE TECHNOLOGY LLC |
10911065 | Computer system and method including selectively compressing data files and directories based on an operator indication and representing the amount of available free space | -- |
10911066 | Method and system of content based dynamic data compression | DELL PRODUCTS L.P. |
10911067 | Method and apparatus for generating quantum error correction code using graph state | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10911068 | Error correction circuit and method of operating the same | SK HYNIX INC. |
10911069 | Memory device with enhanced error correction | WESTERN DIGITAL TECHNOLOGIES, INC. |
10911070 | Method and apparatus for decoding polar codes based on shared node | AJOU UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
10911071 | Apparatus, method and system to support codes with variable codeword lengths and information lengths | INTEL CORPORATION |
10911074 | Systems and methods for transceiver coexistence filtering | TYCO SAFETY PRODUCTS CANADA LTD. |
10911078 | Millimeter-scale bluetooth low energy transmitter with dual purpose loop antenna | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10911080 | Radio frequency module | MURATA MANUFACTURING CO., LTD. |
10911091 | Multi-mode configurable transceiver with low voltage switches | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10911104 | Near-field communication circuit and operation method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10911162 | Direct sampling for digital pre-distortion calibration | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10911165 | System and method for calibrating a frequency doubler | INFINEON TECHNOLOGIES AG |
10911171 | High precision multi-chip clock synchronization | ANACAPA SEMICONDUCTOR, INC. |
10911183 | System and method for HARQ for cellular integrated D2D communications | HUAWEI TECHNOLOGIES CO., LTD. |
10911225 | Optimizable full-path encryption in a virtualization environment | NUTANIX, INC. |
10911229 | Unchangeable physical unclonable function in non-volatile memory | -- |
10911269 | Decision feedback equalizer circuit with transistor mobility change compensation | INTEL CORPORATION |
10911272 | Multi-tap decision feed-forward equalizer with precursor and postcursor taps | -- |
10911274 | Methods and apparatus for wideband and fast chirp generation for radar systems | INTEL CORPORATION |
10911277 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
10911365 | Apparatus for processing biomedical signals for display | BIOSIG TECHNOLOGIES, INC. |
10911650 | Conversion apparatus, imaging apparatus, electronic apparatus, and conversion method | SONY CORPORATION |
10911707 | Imaging element, imaging method and electronic apparatus | SONY CORPORATION |
10911863 | Illuminated user interface architecture | APPLE INC. |
10911869 | Variable-frequency sliding band equalization for controlling sealed loudspeaker excursion | DOLBY LABORATORIES LICENSING CORPORATION |
10911873 | Method and apparatus for multifunction audio signal controller | TP-LINK USA CORPORATION |
10912051 | Drift correction in a wireless network | FUNDACIÓ PER A LA UNIVERSITAT OBERTA DE CATALUNYA |
10912185 | Low-cost superior performance coinless RF power amplifier | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10912473 | Routing of analog signals using analog/digital followed by digital/analog conversion | BIOSENSE WEBSTER (ISRAEL) LTD. |
10912942 | Current generation architecture for an implantable stimulator device to promote current steering between electrodes | BOSTON SCIENTIFIC NEUROMODUIATION CORPORATION |
10912945 | Hermetic terminal for an active implantable medical device having a feedthrough capacitor partially overhanging a ferrule for high effective capacitance area | GREATBATCH LTD. |
10912992 | Ergonomic handheld input/output device | -- |
10913124 | Power supply control apparatus of electric discharge machine | MITSUBISHI ELECTRIC CORPORATION |
10913368 | PWM capacitor control | WITRICITY CORPORATION |
10913654 | Packaging a sealed cavity in an electronic device | TEXAS INSTRUMENTS INCORPORATED |
10913680 | Lead-free glass composition, glass composite material, glass paste, sealing structure, electrical/electronic component and coated component | HITACHI, LTD. |
10914642 | Device for temperature detection | BOE TECHNOLOGY GROUP CO., LTD. |
10914643 | System and method for modeling and correcting frequency of quartz crystal oscillator | SAMSUNG ELECTRONICS CO., LTD. |
10914761 | Voltage detector | ABLIC INC. |
10914768 | Voltage reference circuit, voltage detector and voltage detector system | THE UNIVERSITY OF BRISTOL |
10914769 | Semiconductor device and power monitoring method therefor | RENESAS ELECTRONICS CORPORATION |
10914772 | Phase measurement | SOCIONEXT INC. |
10914780 | Methods and apparatuses for threshold voltage measurement and related semiconductor devices and systems | MICRON TECHNOLOGY, INC. |
10914805 | Signal error calibrating method | -- |
10915120 | Semiconductor device and semiconductor device control methods | RENESAS ELECTRONICS CORPORATION |
10915123 | Low dropout regulator and phase-locked loop | HUAWEI TECHNOLOGIES CO., LTD. |
10915253 | Temporary enrollment in anonymously obtained credentials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915294 | Sound adjustment method for hearing protection and sound adjustment device performing the same | -- |
10915298 | Current mode multiply-accumulate for compute in memory binarized neural networks | -- |
10915396 | Soft-input soft-output component code decoder for generalized low-density parity-check codes | SK HYNIX INC. |
10915397 | Data storage method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10915398 | Memory system and operating method thereof | SK HYNIX INC. |
10915480 | Direct drive LED driver and offline charge pump and method therefor | -- |
10915483 | Low voltage drive circuit with variable oscillating characteristics and methods for use therewith | SIGMASENSE, LLC. |
10915485 | Circuit for asynchronous data transfer | NXP USA, INC. |
10915489 | Device with reprogrammable serial communication identifier | QORVO US, INC. |
10915775 | Noise detection circuit, noise detection method, and print recognition apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10915831 | Reduction and/or mitigation of crosstalk in quantum bit gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916202 | High voltage sensing circuit, display driver integrated circuit and display apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10916214 | Electrical level processing circuit, gate driving circuit and display device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916257 | Method and device for equalizing audio signals | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10916279 | Method of controlling on-die termination and system performing the same | SAMSUNG ELECTRONICS CO., LTD. |
10916282 | Control of switching trajectory in spin orbit torque devices by micromagnetic configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916294 | Apparatuses and methods for concentrated arrangement of amplifiers | MICRON TECHNOLOGY, INC. |
10916321 | Circuit with capacitors and corresponding method | INFINEON TECHNOLOGIES AG |
10916323 | Memory interface latch with integrated write-through and fence functions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916364 | Tunable inductor arrangement, transceiver, method and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10916396 | Load controller and load control method | YAZAKI CORPORATION |
10916571 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916643 | Semiconductor device, method for controlling semiconductor device, and control circuit for semiconductor device | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10916653 | Transient-insensitive level shifter | TEXAS INSTRUMENTS INCORPORATED |
10916677 | Optocoupler | AZUR SPACE SOLAR POWER GMBH |
10916824 | Directional coupler and communication unit | MURATA MANUFACTURING CO., LTD. |
10916900 | Cable | SONY CORPORATION |
10916924 | Recessed equipment boxes and related assemblies and methods | WIREPATH HOME SYSTEMS, LLC |
10916938 | ESD-protective surface-mount composite component | MURATA MANUFACTURING CO., LTD. |
10916949 | Power supply device and an associated method thereof | GENERAL ELECTRIC TECHNOLOGY GMBH |
10916950 | Method of making a wireless connector receiver module | NUCURRENT, INC. |
10916997 | Line start two-speed brushless motor | HAMILTON SUNDSTRAND CORPORATION |
10917000 | Driver unit, electric power converter, vehicle and method for operating an electric power converter | VALEO SIEMENS EAUTOMOTIVE GERMANY GMBH |
10917008 | Output stage circuit of power conversion circuit | -- |
10917009 | Digital multiphase hysteretic point-of-load DC/DC converter | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10917010 | Driving voltage provider | SAMSUNG DISPLAY CO., LTD. |
10917029 | Pi source inverter-converter for hybrid electric vehicles | VITESCO TECHNOLOGIES USA, LLC |
10917046 | Electronic circuit performing push-pull operation and oscillator including the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10917047 | Radio frequency oscillator and associated source and apparatus | THALES |
10917048 | Precision high frequency phase adders | BLUE DANUBE SYSTEMS, INC. |
10917049 | Class-E power oscillator | AMIRKABIR UNIVERSITY OF TECHNOLOGY |
10917050 | Amplifier power converter input current/power limit adjustment using amplifier load determinations | APPLE INC. |
10917051 | Wireless architectures and digital pre-distortion (DPD) techniques using closed loop feedback for phased array transmitters | INTEL CORPORATION |
10917052 | Dual device semiconductor structures with shared drain | CIRRUS LOGIC, INC. |
10917053 | Amplifiers | CIRRUS LOGIC, INC. |
10917054 | Tower mounted amplifier | HUAWEI TECHNOLOGIES CO., LTD. |
10917055 | Wide band buffer with DC level shift and bandwidth extension for wired data communication | NXP B.V. |
10917056 | Devices and methods for power amplification with shared common base biasing | SKYWORKS SOLUTIONS, INC. |
10917057 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10917058 | Servo-amplifier with closed-loop biasing | TEXAS INSTRUMENTS INCORPORATED |
10917059 | Audio power source with improved efficiency | BIAMP SYSTEMS, LLC |
10917060 | Intelligent audio output devices | EBAY INC. |
10917061 | Electronic device and equalizer adjustment method thereof for adjusting gain settings of an equalizer according to the volume of the output signal | -- |
10917062 | Method of manufacturing electronic component and electronic component | TDK CORPORATION |
10917063 | Multilayer LC filter | MURATA MANUFACTURING CO., LTD. |
10917064 | Method and apparatus for detecting RF field strength | RFMICRON, INC. |
10917065 | RF heating system with phase detection for impedance network tuning | NXP USA, INC. |
10917066 | Input/output apparatus of multiplexer, and multiplexer | HUAWEI TECHNOLOGIES CO., LTD. |
10917067 | RF signal amplifier with combined active and passive port | COMMSCOPE, INC. OF NORTH CAROLINA |
10917068 | Acoustic filtering circuitry | QORVO US, INC. |
10917069 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10917070 | Bandpass filter with frequency separation between shunt and series resonators set by dielectric layer thickness | RESONANT INC. |
10917071 | Multiplexer, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10917072 | Split ladder acoustic wave filters | RESONANT INC. |
10917073 | Output filter for an electronic circuit | NEXPERIA B.V. |
10917074 | Subband adaptive filter for systems with partially acausal transfer functions | BOSE CORPORATION |
10917075 | Oscillator apparatus capable of protecting circuit element(s) within oscillator of oscillator apparatus from damage of signal glitch | -- |
10917076 | Ring oscillator and method for controlling start-up of ring oscillator | SAMSUNG ELECTRONICS CO., LTD. |
10917077 | Phase coherent and frequency hopping numerically controlled oscillator | XILINX, INC. |
10917078 | System and method for fast converging reference clock duty cycle correction for digital to time converter (DTC)-based analog fractional-N phase-locked loop (PLL) | SAMSUNG ELECTRONICS CO., LTD. |
10917079 | Variable stream pulse width modulation | TEXAS INSTRUMENTS INCORPORATED |
10917080 | Gate drive circuit | ROHM CO., LTD. |
10917081 | Adjustable soft shutdown and current booster for gate driver | SILICON LABORATORIES INC. |
10917082 | Power module and electronic system | INFINEON TECHNOLOGIES AMERICAS CORP. |
10917083 | Drive circuit for semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
10917084 | Output driving system with capacitance compensation | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
10917085 | Method for actuating reverse-conducting semiconductor switches arranged in parallel | SIEMENS AKTIENGESELLSCHAFT |
10917086 | Back-to-back power switch controller | STMICROELECTRONICS (SHENZHEN) R&D CO. LTD. |
10917087 | Control circuit for power switch | STMICROELECTRONICS S.R.L. |
10917088 | Power conversion device | SUZHOU MEAN WELL TECHNOLOGY CO., LTD. |
10917089 | Stacked MOSFET circuits and methods of operating stacked MOSFET circuits | ASTEC INTERNATIONAL LIMITED |
10917090 | Multi-channel multiplexer | TEXAS INSTRUMENTS INCORPORATED |
10917091 | Galvanic isolation circuit and system and a corresponding method of operation | STMICROELECTRONICS S.R.L. |
10917092 | Magnetic field sensor with switching network | ALLEGRO MICROSYSTEMS, LLC |
10917093 | Self-adaptive termination impedance circuit | MICRON TECHNOLOGY, INC. |
10917094 | Stripe based self-gating for retiming pipelines | ADVANCED MICRO DEVICES, INC. |
10917095 | Level shifting circuit and integrated circuit | SOCIONEXT INC. |
10917096 | Low-power biasing networks for superconducting integrated circuits | SEEQC INC. |
10917097 | Circuits and methods for transferring two differentially encoded client clock domains over a third carrier clock domain between integrated circuits | MICROSEMI SEMICONDUCTOR ULC |
10917098 | Phase difference detectors and devices for detecting phase difference between oscillation signals | SAMSUNG ELECTRONICS CO., LTD. |
10917099 | Method and device for improving output accuracy of digital-to-analogue converter | GUANGDONG DAPU TELECOM TECHNOLOGY CO., LTD. |
10917100 | Comparator circuitry | SOCIONEXT INC. |
10917101 | Analog to digital conversion circuit with very narrow bandpass digital filtering | SIGMASENSE, LLC. |
10917102 | Signal gauge | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10917103 | Analog-to-digital converter device and method for calibrating clock skew | -- |
10917104 | ADC sampling and resource usage optimization method using active variable sampling and active variable phase control | HYUNDAI AUTRON CO., LTD. |
10917105 | Successive approximation analog-to-digital converter with nonlinearity compensation | SHENZHEN GOODIX TECHOLOGY CO., LTD |
10917106 | Electronic device forming a digital-to-analog converter and a mixer | STMICROELECTRONICS SA |
10917107 | Analog-digital converter, solid-state image sensing device, and electronic system | SONY CORPORATION |
10917108 | Signal processing apparatus and signal processing method | SONY CORPORATION |
10917109 | Methods for storing digital data as, and for transforming digital data into, synthetic DNA | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10917110 | Multiple symbol decoder | ATI TECHNOLOGIES ULC |
10917111 | Error correction code unit and error correction method | SK HYNIX INC. |
10917112 | Apparatus and methods for error detection coding | HUAWEI TECHNOLOGIES CO., LTD. |
10917113 | Decoding method and related apparatus | -- |
10917114 | Data transmission method, sending device, receiving device, and communications system | HUAWEI TECHNOLOGIES CO., LTD. |
10917115 | Polar coding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10917116 | Error correction device and error correction method | MITSUBISHI ELECTRIC CORPORATION |
10917117 | Encoding and modulation method and communications apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10917118 | Memory system | TOSHIBA MEMORY CORPORATION |
10917119 | Data storage system and associated data storing method for reducing data error rate | -- |
10917120 | Low-complexity syndrom based decoding apparatus and method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10917121 | Decompression apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10917127 | Radio frequency transmitter | HUAWEI TECHNOLOGIES CO., LTD. |
10917128 | Signal processing device | -- |
10917129 | Circuit for determining whether an actual transmission was received in a low-voltage differential sensing receiver | STMICROELECTRONICS INTERNATIONAL N.V. |
10917139 | Magnetic coupling device and communication system | KABUSHIKI KAISHA TOSHIBA |
10917145 | Radio frequency transmitter with reduced interconnect signal paths for beamforming | QORVO US, INC. |
10917170 | Method and apparatus for transmitting data in a super channel | XIEON NETWORKS S.A.R.L. |
10917194 | Systems and methods for rate-compatible polar codes for general channels | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10917195 | Control channel mother code determination for multi-transmission configuration indication communication | QUALCOMM INCORPORATED |
10917229 | Clock syntonization using network effect and/or adaptive stochastic control | TICK TOCK NETWORKS, INC. |
10917321 | Disaggregated physical memory resources in a data center | INTEL CORPORATION |
10917403 | Systems and methods for variable-length encoding and decoding for enhancing computer systems | KARA PARTNERS LLC |
10917722 | System and method for digital signal processing | BONGIOVI ACOUSTICS, LLC |
10917723 | Audio signal processing device and audio signal adjusting method | ALI (CHINA) CORPORATION |
10917963 | Thermal insulation and temperature control of components | PALO ALTO RESEARCH CENTER INCORPORATED |
10918273 | Jigs for use in medical imaging and methods for using thereof | BODY VISION MEDICAL LTD. |
10920973 | LED lamp | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10921123 | Pitch/roll annulus gyroscope with slanted quadrature tuning electrodes and related fabrication methods | GEORGIA TECH RESEARCH CORPORATION |
10921158 | Power supply generating circuit, capacitive array sensing apparatus and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10921160 | Sensing circuit of moving body and moving body sensing device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10921209 | Liquid sensor and method for controlling a function of a liquid sensor | GROHE AG |
10921276 | Sensor device | ABLIC INC. |
10921347 | Sensor and method for diagnosing sensor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10921351 | Electronic system, sensing circuit and sensing method | -- |
10921359 | Impedance measuring semiconductor circuit | RENESAS ELECTRONICS CORPORATION |
10921403 | Systems and methods for providing gradient power for an MRI system | GE PRECISION HEALTHCARE LLC |
10921581 | Scan driving device, optical scan control apparatus, and driving waveform generation method | MITSUMI ELECTRIC CO., LTD. |
10921624 | Display panel and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10921694 | Dynamic user control system | KINESTRAL TECHNOLOGIES, INC |
10921839 | Switchable power supply | -- |
10921846 | Clock generation circuit of semiconductor device | SK HYNIX INC. |
10921847 | Clock generator for adjusting jitter characteristics and operation power, semiconductor device including the clock generator, and operating method of the clock generator | SAMSUNG ELECTRONICS CO., LTD. |
10921906 | Pen-shaped position indicator | WACOM CO., LTD. |
10921921 | Force sensitive capacitive sensor | KOSTAL OF AMERICA, INC. |
10921938 | Capacitance detecting circuit, touch detecting device and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10921996 | Data lines updating for data generation | MICRON TECHNOLOGY, INC. |
10922026 | Data processing unit having hardware-based range encoding and decoding | FUNGIBLE, INC. |
10922054 | Apparatus and method | TOSHIBA MEMORY CORPORATION |
10922169 | Error detecting memory device | GSI TECHNOLOGY INC. |
10922171 | Error correction code circuits, semiconductor memory devices and memory systems | SAMSUNG ELECTRONICS CO., LTD. |
10922173 | Fault-tolerant distributed digital storage | QUEEN'S UNIVERSITY AT KINGSTON |
10922181 | Using storage locations greater than an IDA width in a dispersed storage network | PURE STORAGE, INC. |
10922203 | Fault injection architecture for resilient GPU computing | NVIDIA CORPORATION |
10922289 | Device for and method of determining a length of a relevant history | KONINKLIJKE PHILIPS N.V. |
10922439 | Technologies for verifying memory integrity across multiple memory regions | INTEL CORPORATION |
10922465 | Multi-input logic circuitry | ARM LIMITED |
10922849 | Grid retaining irregular network in 3D | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10922959 | System and method for simplified activity based setup of a controlling device | UNIVERSAL ELECTRONICS INC. |
10923022 | Display gate drivers with dynamic and reduced voltage swing | APPLE INC. |
10923074 | Receiving circuit with offset voltage compensation | SAMSUNG DISPLAY CO., LTD. |
10923132 | Diffusivity based sound processing method and apparatus | DOLBY LABORATORIES LICENSING CORPORATION |
10923177 | Delay-locked loop, memory device, and method for operating delay-locked loop | -- |
10923181 | Semiconductor memory device and memory system having the same | SAMSUNG ELECTRONICS CO., LTD. |
10923189 | Memory device | TOSHIBA MEMORY CORPORATION |
10923270 | Common-mode choke coil | MURATA MANUFACTURING CO., LTD. |
10923322 | Articulated direct-mount inductor and associated systems and methods | LAM RESEARCH CORPORATION |
10923442 | Protecting analog circuits with parameter biasing obfuscation | DREXEL UNIVERSITY |
10923451 | Semiconductor dies having ultra-thin wafer backmetal systems, microelectronic devices containing the same, and associated fabrication methods | NXP USA, INC. |
10923457 | Multi-die module with contactless coupler and a coupling loss reduction structure | TEXAS INSTRUMENTS INCORPORATED |
10923473 | High voltage logic circuit | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10923526 | Multi-pass imaging using image sensors with variably biased channel-stop contacts for identifying defects in a semiconductor die | KLA CORPORATION |
10923533 | Semiconductor device having a volatile element and a plurality of non-volatile elements | SONY CORPORATION |
10923651 | Spin orbit materials for efficient spin current generation | NATIONAL UNIVERSITY OF SINGAPORE |
10923824 | Capacitively coupled patch antenna | TALLYSMAN WIRELESS INC. |
10923898 | Overvoltage protection circuit incorporating a reset circuit for a power converter | COMROD AS |
10923908 | Electronic module and motor vehicle and method for limiting an input current during a switch-on process of the module | CONTINENTAL AUTOMOTIVE GMBH |
10923913 | Method for operating an electrical energy supply network, and control device for controlling devices of an electrical distribution network | SIEMENS AKTIENGESELLSCHAFT |
10923920 | Solid state power controller | HS ELEKTRONIK SYSTEM GMBH |
10923954 | Wireless power receiver with a synchronous rectifier | ENERGOUS CORPORATION |
10924007 | Power supply device and method for controlling the multi-phase interleaving operation of the power supply device | KYOSAN ELECTRIC MFG. CO., LTD. |
10924028 | Multiple stage gate drive for cascode current sensing | POWER INTEGRATIONS, INC. |
10924058 | Local oscillator distribution for a millimeter wave semiconductor device | GLOBALFOUNDRIES INC. |
10924059 | Multi-element resonator | FUTUREWEI TECHNOLOGIES, INC. |
10924060 | Ultra-low-power oscillator with DC-only sustaining amplifier | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10924061 | Low-noise low-emission crystal oscillator and method thereof | -- |
10924062 | Power amplifying apparatus having bias boosting structure with improved linearity | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10924063 | Coupling a bias circuit to an amplifier using an adaptive coupling arrangement | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10924064 | Bias circuit | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10924065 | Method for configuring power in wireless communication system and apparatus thereof | SAMSUNG ELECTRONICS CO., LTD. |
10924066 | Offset voltage trimming for operational amplifiers | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10924067 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10924068 | Digital predistortion calibration | TEXAS INSTRUMENTS INCORPORATED |
10924069 | System and method for low distortion capacitive signal source amplifier | INFINEON TECHNOLOGIES AG |
10924070 | High-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10924071 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10924072 | Power amplification circuit | MURATA MANUFACTURING CO., LTD. |
10924073 | Transmission device and transmission/reception system | THINE ELECTRONICS, INC. |
10924074 | Slew boost circuit for an operational amplifier | TEXAS INSTRUMENTS INCORPORATED |
10924075 | Variable gain amplifiers with output phase invariance | ANALOG DEVICES, INC. |
10924076 | Active distributed mode actuator | GOOGLE LLC |
10924077 | Low complexity loudness equalization | OMNIVISION TECHNOLOGIES, INC. |
10924078 | Inversion of dynamic range control | DOLBY INTERNATIONAL AB |
10924079 | Intelligent power reduction in audio amplifiers | ROKU, INC. |
10924080 | Acoustic wave device, high frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10924081 | Substrate for a temperature-compensated surface acoustic wave device or volume acoustic wave device | SOITEC |
10924082 | Acoustic wave device and manufacturing method for same | MURATA MANUFACTURING CO., LTD. |
10924083 | Piezoelectric device and method for manufacturing piezoelectric device | MURATA MANUFACTURING CO., LTD. |
10924084 | Acoustic wave device, duplexer, and filter device | MURATA MANUFACTURING CO., LTD. |
10924085 | Guided acoustic wave device | QORVO US, INC. |
10924086 | Surface acoustic wave (SAW) device with antireflective structure | QORVO US, INC. |
10924087 | Method and apparatus for adaptive signal processing | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10924088 | Optical pulse to voltage signal converter | ROCKWELL COLLINS, INC. |
10924089 | Comparing circuit and comparing module with hysteresis | FARADAY TECHNOLOGY CORPORATION |
10924090 | Semiconductor device comprising holding units | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10924091 | Immediate fail detect clock domain crossing synchronizer | STMICROELECTRONICS INTERNATIONAL N.V. |
10924092 | Combining voltage ramps to create linear voltage ramp | SILANNA ASIA PTE LTD |
10924093 | Integrated circuit with finFETs having dummy structures | NXP USA, INC. |
10924094 | Pulse width modulation control circuit and control method of pulse width modulation signal | -- |
10924095 | Multi-resonant coupling architectures for ZZ interaction reduction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10924096 | Circuit and method for dynamic clock skew compensation | XILINX, INC. |
10924097 | Shifter circuits having registers arranged in a folded topology | MICRON TECHNOLOGY, INC. |
10924098 | Sequential circuit with timing event detection and a method of detecting timing events | MINIMA PROCESSOR OY |
10924099 | Comparator and analog-to-digital converter | BOE TECHNOLOGY GROUP CO., LTD. |
10924100 | Method of operating H-bridge circuits and corresponding driver device | STMICROELECTRONICS S.R.L. |
10924101 | Deterministic shutdown of power module | -- |
10924102 | Method for driving a transistor device and electronic circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
10924103 | Driver circuitry | KABUSHIKI KAISHA TOSHIBA |
10924104 | Power switch system | ROBERT BOSCH GMBH |
10924105 | Waveform conversion circuit for gate driver | -- |
10924106 | Miller transition control gate drive circuit | GENERAL ELECTRIC COMPANY |
10924107 | Low static current semiconductor device | -- |
10924108 | Circuit arrangement with galvanic isolation between electronic circuits | INFINEON TECHNOLOGIES AUSTRIA AG |
10924109 | Front-end circuit | MURATA MANUFACTURING CO., LTD. |
10924110 | High speed switching solid state relay circuit | QM POWER, INC. |
10924111 | Input device with function triggering or control which takes place based on capacitively measured actuation force and adaptation by means of capacitive contact detection | PREH GMBH |
10924112 | Bandgap reference circuit | -- |
10924113 | Dynamic calibration of frequency and power storage interface | WESTERN DIGITAL TECHNOLOGIES, INC. |
10924114 | Skew compensation circuit and semiconductor apparatus including the same | SK HYNIX INC. |
10924115 | Level shifter capable of outputting positive and negative voltages | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10924116 | Analog switch multiplexer systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10924117 | Method for designing an FPGA | SK HYNIX INC. |
10924118 | Positive feedback XOR/XNOR gate and low-delay hybrid logic adder | NINGBO UNIVERSITY |
10924119 | Clock data recovery circuit and apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10924120 | Fine granularity in clock generation | ADVANCED MICRO DEVICES, INC. |
10924121 | No false lock DLL | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10924122 | Method and system for phase alignment of multiple phased locked loops | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10924123 | Phase-locked loop (PLL) with direct feedforward circuit | TEXAS INSTRUMENTS INCORPORATED |
10924124 | Downshift techniques for oscillator with feedback loop | APPLE INC. |
10924125 | Frequency divider circuit, method and compensation circuit for frequency divider circuit | -- |
10924126 | Oscillator closed loop frequency control | TEXAS INSTRUMENTS INCORPORATED |
10924127 | Generating a control sequence for quantum control | QUANTUM VALLEY INVESTMENT FUND LP |
10924128 | VCO-based continuous-time pipelined ADC | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10924129 | Time-interleaved analog-to-digital converter device and associated control method | -- |
10924130 | High resolution digital trigger detector | GUZIK TECHNICAL ENTERPRISES |
10924131 | Electronic device and method for compressing sampled data | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10924132 | Techniques for link partner error reporting | INTEL CORPORATION |
10924133 | Reducing control channel overhead using polar codes | AT&T INTELLECTUAL PROPERTY I, L.P. |
10924134 | Apparatus and method for channel coding in communication system | HUAWEI TECHNOLOGIES CO., LTD. |
10924135 | Transmission apparatus and reception apparatus | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10924136 | Transmission device, transmission method, reception device, and reception method | SONY CORPORATION |
10924137 | Apparatus and method for generating polar codes | HUAWEI TECHNOLOGIES CO., LTD. |
10924151 | Transmitter device and transceiver device for transmitting different wireless standard signal | SAMSUNG ELECTRONICS CO., LTD. |
10924160 | Front-end modules with fixed impedance matching circuits | SKYWORKS SOLUTIONS, INC. |
10924177 | System for operating multiple transceiver modules concurrently | NIKE, INC. |
10924196 | Reception apparatus, reception method, transmission apparatus, and transmission method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10924209 | Channel coding method of variable length information using block code | LG ELECTRONICS INC. |
10924210 | Method, apparatus, and device for determining polar code encoding and decoding | HUAWEI TECHNOLOGIES CO., LTD. |
10924212 | System and method for user equipment cooperation | HUAWEI TECHNOLOGIES CO., LTD. |
10924214 | Downlink power control for interference mitigation in competing communication systems | CABLE TELEVISION LABORATORIES, INC. |
10924216 | Packet coding based network communication | STRONG FORCE IOT PORTFOLIO 2016, LLC |
10924251 | Encoding device and method and corresponding decoding device and method | HUAWEI TECHNOLOGIES CO., LTD. |
10924253 | Full duplex expander in a full duplex network | ARRIS ENTERPRISES LLC |
10924259 | Method and apparatus for binary signal reception, clock data recovery, and soft decoding | MAXLINEAR, INC. |
10924304 | Method and device for decoding a signal, and memory device | UNIVERSITY OF SCIENCE AND TECHNOLOGY OF CHINA |
10924307 | Continuous time linear equalization circuit with programmable gains | NXP B.V. |
10924308 | Smart receiver with compressive sensing and machine learning | RAYTHEON APPLIED SIGNAL TECHNOLOGY, INC. |
10924314 | Broadcast signal transmission apparatus, broadcast signal reception apparatus, broadcast signal transmission method, and broadcast signal reception method | LG ELECTRONICS INC. |
10924320 | IQ mismatch correction module | TEXAS INSTRUMENTS INCORPORATED |
10924424 | Systems and methods to visually align signals using delay | BIOSIG TECHNOLOGIES, INC. |
10924701 | Column amplifier reset circuit with comparator | OMNIVISION TECHNOLOGIES, INC. |
10924754 | Sample array coding for low-delay based on position information | GE VIDEO COMPRESSION, LLC |
10924879 | Sound effect controlling method and sound outputting device with dynamic gain adjustment | -- |
10925125 | Planar inductors for RF heating systems | NXP USA, INC. |
10925164 | Stackable passive component | APPLE INC. |
10925197 | Electrical filter for resistively earthed systems | JOY GLOBAL UNDERGROUND MINING LLC |
10925503 | Saturation-tolerant electrophysical recording interface | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10926293 | Vibration provision system for providing real-time vibration according to frequency change and vibration provision method therefor | -- |
10926694 | Braking apparatus and method for vehicle | HYUNDAI MOBIS CO., LTD. |
10926780 | Haptically enabled motorcycle | DAMON MOTORS INC. |
10927672 | Obtaining high-resolution spectral data of formation fluids from optical computing device measurements | HALLIBURTON ENERGY SERVICES, INC. |
10928054 | Sensor system and apparatus | -- |
10928199 | Angular rate sensors having supporting structures which comprise one passive supporting structure | ATLANTIC INERTIAL SYSTEMS, LIMITED |
10928425 | High-speed AFE for current monitoring applications | STMICROELECTRONICS S.R.L. |
10928431 | Capacitive measurement circuit with offset compensation | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
10928439 | Thermal gradient correction of a current monitor signal | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10928446 | Watchdog built in test (BIT) circuit for fast system readiness | HAMILTON SUNDSTRAND CORPORATION |
10928447 | Built-in self test circuit for measuring phase noise of a phase locked loop | -- |
10928450 | Circuit protection system and method | GENERAL ELECTRIC COMPANY |
10928491 | Laser distance measuring module with INL error compensation | HEXAGON TECHNOLOGY CENTER GMBH |
10928843 | Method and device for managing a supply voltage | STMICROELECTRONICS (GRENOBLE 2) SAS |
10928886 | Frequency overshoot and voltage droop mitigation apparatus and method | INTEL CORPORATION |
10928917 | Multiple user interaction with audio devices using speech and gestures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928925 | Method and system for recommending candidates in input method | KIKA TECH (CAYMAN) HOLDINGS CO., LIMITED |
10928928 | Control panels and methods of controlling | ARRANGED BV |
10928944 | Device and method for proximity sensing on an input device | SYNAPTICS INCORPORATED |
10928953 | Capacitance to code converter with sigma-delta modulator | CYPRESS SEMICONDUCTOR CORPORATION |
10928969 | Input device and electronic apparatus therewith | -- |
10929228 | Overwriting data objects in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10929256 | Proactive disk recovery of storage media for a data storage system | EMC IP HOLDING COMPANY LLC |
10929300 | Semiconductor memory device for controlling an address for temperature management | SK HYNIX INC. |
10929701 | Navigation device with consistent output and 2-stage moving average filter thereof | -- |
10930190 | Display panel, method for compensating for the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930322 | Regulator and memory device having the same | SK HYNIX INC. |
10930328 | Processing device with nonvolatile logic array backup | TEXAS INSTRUMENTS INCORPORATED |
10930341 | Processing array device that performs one cycle full adder operation and bit line read/write logic features | GSI TECHNOLOGY, INC. |
10930421 | Method of tuning an inductance of an inductive sensor | SIMMONDS PRECISION PRODUCTS, INC. |
10930435 | Multilayer element and LC filter | MURATA MANUFACTURING CO., LTD. |
10930456 | Microelectromechanical systems switch die | QORVO US, INC. |
10930576 | Gallium-nitride based devices implementing an engineered substrate structure | QROMIS, INC. |
10930646 | Circuit and method of forming the same | ZERO-ERROR SYSTEMS PTE LTD |
10930675 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930771 | Semiconductor device having an insulated gate bipolar transistor and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10930991 | Method and/or apparatus for frictionless wideband high-power radio-frequency power transmission across a freely moving interface | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10931033 | Multi-polarization millimeter wave (mmWave) transmitter/receiver architecture with shared power amplifiers | QORVO US, INC. |
10931067 | Common mode choke | HOLLAND ELECTRONICS, LLC |
10931103 | Single-gate-oxide power inverter and electrostatic discharge protection circuit | -- |
10931118 | Wireless connector transmitter module with an electrical connector | NUCURRENT, INC. |
10931122 | Pre-charging circuitry for multiplexer | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10931191 | Half bridge circuit driver chip with protection circuit and protection method thereof | -- |
10931192 | Discrete capacitor structure | TEXAS INSTRUMENTS INCORPORATED |
10931193 | Voltage supply circuit and radio-frequency circuit module | MURATA MANUFACTURING CO., LTD. |
10931199 | Driver for a circuit with a capacitive load | PRESIDENT AND FELLOWS OF HARVARD COLLEGE |
10931202 | High side signal interface in a power converter | POWER INTEGRATIONS, INC. |
10931206 | Power supply for output of various specifications | FUJI ELECTRIC CO., LTD. |
10931207 | Power converter having switching elements formed of unipolar devices using a wideband gap semiconductor | DAIKIN INDUSTRIES, LTD. |
10931230 | Voltage controlled oscillator circuit, device, and method | -- |
10931231 | Variable frequency oscillator circuits and methods of generating an oscillating signal of a desired frequency | BAE SYSTEMS PLC |
10931232 | Crystal oscillator circuit and method of operation | NXP B.V. |
10931233 | Resonator-based open-loop timing signal generation | INTEL CORPORATION |
10931234 | Oscillator circuit | RENESAS ELECTRONICS CORPORATION |
10931235 | Sensing sensor | NIHON DEMPA KOGYO CO., LTD. |
10931236 | Detector circuit | MURATA MANUFACTURING CO., LTD. |
10931237 | RF amplifier having maximum efficiency and SWR protection features and methods for providing maximum efficiency RF amplification | -- |
10931238 | Linearization with envelope tracking or average power tracking | NANOSEMI, INC. |
10931239 | Amplification circuit | -- |
10931240 | Amplifier with reduced power consumption and improved slew rate | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10931241 | Model-free sample-based approaches for power amplifier linearization in real-time | RAYTHEON COMPANY |
10931242 | Error amplifier | REID ACOUSTIC DESIGNS LTD. |
10931243 | Signal coupling method and apparatus | APPLE INC. |
10931244 | Common gate amplifier with high isolation from output to input | EFFICIENT POWER CONVERSION CORPORATION |
10931245 | Radio frequency amplifier circuitry | QORVO US, INC. |
10931246 | High-frequency amplifier circuitry and semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10931247 | Chopper amplifier | TEXAS INSTRUMENTS INCORPORATED |
10931248 | Distributed envelope tracking amplifier circuit and related apparatus | QORVO US, INC. |
10931249 | Amplifier with adjustable high-frequency gain using varactor diodes | KANDOU LABS, S.A. |
10931250 | Modular signal conversion apparatus and method | DREAMUS COMPANY |
10931251 | Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications | AKOUSTIS, INC. |
10931252 | Magnetoinductive waveguide | OXFORD UNIVERSITY INNOVATION LTD. |
10931253 | Cascaded filter circuit with hybrid acoustic LC filter | SKYWORKS SOLUTIONS, INC. |
10931254 | Front end module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10931255 | Micromechanical resonator | TEKNOLOGIAN TUTKIMUSKESKUS VTT OY |
10931256 | Joined body and elastic wave element | NGK INSULATORS, LTD. |
10931257 | WLP BAW device with through-WLP vias | QORVO US, INC. |
10931258 | Electronic device and module including the same | MURATA MANUFACTURING CO., LTD. |
10931259 | Acousto-electric amplifier having insertion gain | PEGASENSE, LLC |
10931260 | Surface acoustic wave elements with varying electrode finger pitch and connection arrangements | SKYWORKS SOLUTIONS, INC. |
10931261 | Filter and multiplexer | MURATA MANUFACTURING CO., LTD. |
10931262 | Tunable resonator element, filter circuit and method | INFINEON TECHNOLOGIES AG |
10931263 | Filter circuits having a resonator-based filter and a magnetically-coupled filter | QORVO US, INC. |
10931264 | Low-power flip flop circuit | -- |
10931265 | PWM signal generation and error calibration circuit | SI EN TECHNOLOGY (XIAMEN) LIMITED |
10931266 | Low power flip-flop element with gated clock | NVIDIA CORPORATION |
10931267 | Frequency generation in a quantum controller | QUANTUM MACHINES |
10931268 | Ramp signal generation device and CMOS image sensor including the same | SK HYNIX INC. |
10931269 | Early mode protection for chip-to-chip synchronous interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10931270 | Apparatuses and methods for data transmission offset values in burst transmissions | MICRON TECHNOLOGY, INC. |
10931271 | Circuit arrangement and method for controlling a power semiconductor switch | SIEMENS AKTIENGESELLSCHAFT |
10931272 | Transistor arrangement with a load transistor and a sense transistor | INFINEON TECHNOLOGIES AG |
10931273 | Circuit for controlling a switching device to deliver power to a load | INFINEON TECHNOLOGIES AG |
10931274 | Temperature-sensitive bias circuit | GLOBALFOUNDRIES U.S. INC. |
10931275 | RF switch with compensation | INFINEON TECHNOLOGIES AG |
10931276 | Combined IGBT and superjunction MOSFET device with tuned switching speed | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10931277 | Power transistor gate-charge harvester for internal supply generation | TEXAS INSTRUMENTS INCORPORATED |
10931278 | Driving circuit of switching transistor | ROHM CO., LTD. |
10931279 | Battery-operated electronic switching device having a power-free stand-by mode | IFM ELECTRONIC GMBH |
10931280 | Bipolar junction transistor buffer | TEXAS INSTRUMENTS INCORPORATED |
10931281 | Low-power active bias circuit for a high impedance input | SPRYNGS |
10931282 | Self-contained reconfigurable personal laboratory | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10931283 | Integrated circuits having memory with flexible input-output circuits | INTEL CORPORATION |
10931284 | Resonators and devices with pixel based electrodes operating across a gap | FOX ENTERPRISES, INC. |
10931285 | Compensation technique for the nonlinear behavior of digitally-controlled oscillator (DCO) gain | -- |
10931286 | Field programmable gate array with external phase-locked loop | -- |
10931287 | Phase locked loop circuit | MICRON TECHNOLOGY, INC. |
10931288 | Quadrature delay locked loops | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10931289 | DLL circuit having variable clock divider | MICRON TECHNOLOGY, INC. |
10931290 | Fast settling ramp generation using phase-locked loop | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10931291 | System for multiple PLL synchronization | AMAZON TECHNOLOGIES, INC. |
10931292 | High resolution successive approximation register analog to digital converter with factoring and background clock calibration | CIENA CORPORATION |
10931293 | Transform domain analytics-based channel design | SEAGATE TECHNOLOGY LLC |
10931294 | Apparatus and method for measuring frequency of signal | AGENCY FOR DEFENSE DEVELOPMENT |
10931295 | ADC reconfiguration for different data rates | ETOPUS TECHNOLOGY INC. |
10931296 | Self-correcting analog counter readout for digital pixels | RAYTHEON COMPANY |
10931297 | Non-linear converter to linearize the non-linear output of measurement devices | PSEMI CORPORATION |
10931298 | Analog-to-digital converter | SAMSUNG ELECTRONICS CO., LTD. |
10931299 | Analog to digital converter with VCO-based and pipelined quantizers | -- |
10931300 | Low-power programmable bandwidth continuous-time delta sigma modulator based analog to digital converter | SILICON LABORATORIES INC. |
10931301 | Decompression engine for executable microcontroller code | REDPINE SIGNALS, INC. |
10931302 | Fast evaluation of predicates against compressed data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10931303 | Data processing system | ARM LIMITED |
10931304 | Sensor content encoding | ARM IP LIMITED |
10931305 | Data serialization circuit | SK HYNIX INC. |
10931306 | Method and device in UE and base station for channel coding | SHANGHAI LANGBO COMMUNICATION TECHNOLOGY COMPANY LIMITED |
10931307 | Variable read error code correction | MICRON TECHNOLOGY, INC. |
10931308 | Error correction circuit and method of operating the same | SK HYNIX INC. |
10931309 | Transmitter and parity permutation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10931310 | Method and apparatus for encoding and decoding of variable length quasi-cyclic low-density parity-check, QC-LDPC, codes | HUAWEI TECHNOLOGIES CO., LTD. |
10931311 | Method for encoding and transmitting PBCH and communication device therefor | LG ELECTRONICS INC. |
10931312 | Transmission method and reception device | SONY CORPORATION |
10931313 | Parallel bit interleaver | PANASONIC CORPORATION |
10931314 | Method and apparatus for providing a joint error correction code for a combined data frame comprising first data of a first data channel and second data of a second data channel and sensor system | INFINEON TECHNOLOGIES AG |
10931315 | Error correction coded binary array | RAYTHEON COMPANY |
10931321 | System and method for optimizing intermodulation performance of receivers | EAGLE TECHNOLOGY, LLC |
10931327 | Multiple transmit system structure and mobile terminal having the same | LG ELECTRONICS INC. |
10931347 | Linearizing power amplifiers' outputs in multi-antenna system | NOKIA SOLUTIONS AND NETWORKS OY |
10931357 | Method and apparatus for receiving CQI information and method and apparatus for transmitting CQI information | ZTE CORPORATION |
10931381 | Optical receivers with DC cancellation bias circuit and embedded offset cancellation | ELENION TECHNOLOGIES, LLC |
10931384 | Closed loop transmitter (Tx) calibration with frequency separation using a digital to time converter (DTC) | INTEL CORPORATION |
10931400 | Decoding method and apparatus in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
10931402 | Distributed storage system data management and security | CLOUD STORAGE, INC. |
10931435 | Integrated processor and CDR circuit | II-VI DELAWARE, INC. |
10931436 | Detector circuit and operation method | -- |
10931486 | Transmitter, receiver and a method for digital multiple sub-band processing | TECHNION RESEARCH AND DEVELOPMENT FOUNDATION LTD. |
10931491 | Device for generating broadcast signal frame and method for generating broadcast signal frame corresponding to time interleaver for supporting plurality of operation modes | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10931498 | Phase synchronization for round trip delay estimation | QUALCOMM INCORPORATED |
10931550 | Out-of-band management techniques for networking fabrics | INTEL CORPORATION |
10931794 | Communication apparatus and communication control method | FUJITSU LIMITED |
10931907 | Image sensor and image capturing device | NIKON CORPORATION |
10931908 | Solid-state imaging device, and camera system using same | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10932016 | Data processing devices, data processing units, methods and computer programs for processing telemetry data | V-NOVA INTERNATIONAL LIMITED |
10932185 | Transmitter and receiver for master information block over physical broadcast channel | APPLE INC. |
10932347 | Intelligent lighting control system electrical connector apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
10934161 | MEMS device and method for producing same | MURATA MANUFACTURING CO., LTD. |
10934163 | Classic-quantum injection interface device | EQUAL1.LABS INC. |
10935420 | Optical interface for data transmission | TEXAS INSTRUMENTS INCORPORATED |
10935423 | Light-to-digital converter | AJOU UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
10935585 | Drive sense circuit with transient suppression | SIGMASENSE, LLC. |
10935592 | Current sensing circuit and method | STMICROELECTRONICS S.R.L. |
10935598 | Fault detection circuit for a PWM driver, related system and integrated circuit | STMICROELECTRONICS S.R.L. |
10935613 | Radio frequency receiving device | SHANGHAI UNITED IMAGING HEALTHCARE CO., LTD. |
10935648 | Method of processing a signal formed of a sequence of pulses | THALES |
10935685 | Capacitive proximity sensor and human body detection method using capacitive proximity sensor | TOKYO PARTS INDUSTRIAL CO., LTD. |
10935824 | Liquid crystal display device having a temperature sensor | SHARP KABUSHIKI KAISHA |
10935978 | Vehicle self-localization using particle filters and visual odometry | NIO USA, INC. |
10935999 | Load line circuit for voltage regulators | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10936001 | Voltage regulator and power supply | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10936003 | Phase locking multiple clocks of different frequencies | SEAGATE TECHNOLOGY LLC |
10936004 | Temperature compensated clock frequency monitor | MICROCHIP TECHNOLOGY INCORPORATED |
10936007 | Hybrid method for high-speed serial link skew calibration | OMNIVISION TECHNOLOGIES, INC. |
10936125 | Capacitive touch sensors and methods of operating capacitive touch sensors | HAIER US APPLIANCE SOLUTIONS, INC. |
10936215 | Automated data quality servicing framework for efficient utilization of information technology resources | EMC IP HOLDING COMPANY LLC |
10936283 | Buffer size optimization in a hierarchical structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10936286 | FPGA logic cell with improved support for counters | MICROSEMI SOC CORP. |
10936404 | Technologies for error detection in compressed data streams | INTEL CORPORATION |
10936408 | Error correction of multiple bit errors per codeword | INTEL CORPORATION |
10936409 | Memory system and operating method of the memory system | SK HYNIX INC. |
10936417 | Multi-stage slice recovery in a dispersed storage network | PURE STORAGE, INC. |
10936418 | Reduced uncorrectable memory errors | INTEL CORPORATION |
10936420 | RAID storage-device-assisted deferred Q data determination system | DELL PRODUCTS L.P. |
10936448 | Using dispersed computation to change dispersal characteristics | PURE STORAGE, INC. |
10936525 | Flexible routing of network data within a programmable integrated circuit | ACHRONIX SEMICONDUCTOR CORPORATION |
10936769 | Systems and methods for measuring error in terms of unit in last place | THE MATHWORKS, INC. |
10937350 | Voltage control circuit and method of control the same, display device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937359 | Source driver and display apparatus including the same | DB HITEK CO., LTD. |
10937382 | Display driver, electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
10937469 | Memory circuit and method of operating a memory circuit | INFINEON TECHNOLOGIES AG |
10937474 | Nonvolatile memory including duty correction circuit and storage device including the nonvolatile memory | SAMSUNG ELECTRONICS CO., LTD. |
10937487 | Differential amplifier schemes for sensing memory cells | MICRON TECHNOLOGY, INC. |
10937488 | Electronic circuit capable of selectively compensating for crosstalk noise and inter-symbol interference | SAMSUNG ELECTRONICS CO., LTD. |
10937498 | Methods for programing DDR compatible open architecture resistive change element arrays | NANTERO, INC. |
10937517 | Apparatuses and methods to encode column plane compression data | MICRON TECHNOLOGY, INC. |
10937611 | Safety switch | ROCKWELL AUTOMATION SWITZERLAND GMBH |
10937633 | Microwave transmission apparatus and semiconductor processing device | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10937730 | Metal-on-metal capacitors | APPLE INC. |
10937748 | Fan-out transition structure for transmission of mm-Wave signals from IC to PCB via chip-scale packaging | HUAWEI TECHNOLOGIES CO., LTD. |
10937762 | Logic drive based on multichip package using interconnection bridge | -- |
10937941 | Mechanically tunable superconducting qubit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10938115 | Resonance-frequency diverse metamaterials and metasurfaces | ELWHA LLC |
10938151 | Circuits and methods for wearable device charging and wired control | SNAP INC. |
10938199 | Programmable overcurrent protection for a switch | SILANNA ASIA PTE LTD |
10938201 | Electrical link comprising an electrical protection device—current bias | AIRBUS OPERATIONS (S.A.S.) |
10938220 | Wireless connector system | NUCURRENT, INC. |
10938255 | Wireless power transmission using a capacitive sensor | INTEGRATED DEVICE TECHNOLOGY, INC. |
10938307 | Input power limited switching regulator | APPLE INC. |
10938308 | Hybrid devices for boost converters | FUTUREWEI TECHNOLOGIES, INC. |
10938309 | Controlling operation of a voltage converter based on inductor current | RAYTHEON COMPANY |
10938312 | Converter and control method thereof | -- |
10938325 | Piezoelectric actuator drive circuit | NEW JAPAN RADIO CO., LTD. |
10938335 | Motor winding temperature estimator | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA |
10938344 | Systems and methods for frequency-modulation | BESTECHNIC (SHANGHAI) CO., LTD. |
10938345 | Gm-boosted differential voltage-controlled oscillator (VCO) | NXP USA, INC. |
10938346 | Frequency multiplexed resonator input and/or output for a superconducting device | D-WAVE SYSTEMS INC. |
10938347 | Outphasing power combiner | SILICON VALLEY BANK |
10938348 | Complete turn off and protection of branched cascode amplifier | PSEMI CORPORATION |
10938349 | Turn on time acceleration of a cascode amplifier | PSEMI CORPORATION |
10938350 | Multi-mode envelope tracking target voltage circuit and related apparatus | QORVO US, INC. |
10938351 | Envelope tracking system | QORVO US, INC. |
10938352 | Methods and apparatus for online timing mismatch calibration for polar and segmented power amplifiers | VIDATRONIC, INC. |
10938353 | Coulomb counter with offset calibration | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10938354 | Amplification device | -- |
10938355 | Real-time and adaptive radio-frequency power protection | INTEGRATED DEVICE TECHNOLOGY, INC. |
10938356 | Integration circuit and method for providing an output signal | AMS INTERNATIONAL AG |
10938357 | Audio amplifier with integrated filter | THX LTD. |
10938358 | Digital power amplifier | KABUSHIKI KAISHA TOSHIBA |
10938359 | Power amplifier and method of operating the power amplifier | BEKEN CORPORATION |
10938360 | Multimode multiband wireless device with broadband power amplifier | MICRO MOBIO CORPORATION |
10938362 | Offset cancellation | RENESAS ELECTRONICS CORPORATION |
10938363 | Audio circuit | ROHM CO., LTD. |
10938364 | Vacuum tube subwoofer extraction circuit system | -- |
10938365 | Variable step size to reduce convergence time of a control loop | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
10938366 | Volume level meter | -- |
10938367 | Solidly mounted layer thin film device with grounding layer | QORVO US, INC. |
10938368 | Piezoelectric-resonator-mounting substrate, and piezoelectric resonator unit and method of manufacturing the piezoelectric resonator unit | MURATA MANUFACTURING CO., LTD. |
10938369 | Front-end circuitry for coexistence of two radios sharing an antenna and a frequency band | AMAZON TECHNOLOGIES, INC. |
10938370 | Piezoelectric resonator unit, module component, and manufacturing method for same | MURATA MANUFACTURING CO., LTD. |
10938371 | Acoustic wave resonator, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
10938372 | Acoustic wave resonator, acoustic wave device, and filter | TAIYO YUDEN CO., LTD. |
10938373 | Interdigital transducer arrangements for surface acoustic wave devices | QORVO US, INC. |
10938374 | Multiplexer, radio-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10938375 | Resonator | MURATA MANUFACTURING CO., LTD. |
10938376 | Acoustic wave device | KYOCERA CORPORATION |
10938377 | Surface acoustic wave resonator, surface acoustic wave filter, and duplexer | MURATA MANUFACTURING CO., LTD. |
10938379 | Automatic frequency modulation circuit and automatic frequency modulation method applied to pulse-width modulation system | -- |
10938380 | Method and apparatus for bias current trimming | APPLE INC. |
10938381 | Area efficient slew-rate controlled driver | QUALCOMM INCORPORATED |
10938382 | Electronic circuit and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10938383 | Sequential circuit having increased negative setup time | SAMSUNG ELECTRONICS CO., LTD. |
10938384 | Pulse modulator | TELEDYNE UK LIMITED |
10938385 | Loss of signal detection circuit | TEXAS INSTRUMENTS INCORPORATED |
10938386 | Controller | DENSO CORPORATION |
10938387 | Local interconnect network (LIN) driver circuit | CYPRESS SEMICONDUCTOR CORPORATION |
10938388 | Control circuit, semiconductor device, and electrical circuit device | KABUSHIKI KAISHA TOSHIBA |
10938389 | Gesture control for in-wall device | HUBBELL INCORPORATED |
10938390 | Shaft proximity sensors | SCHLUMBERGER TECHNOLOGY CORPORATION |
10938391 | Device having a touch-sensitive free-form surface and method for the production thereof | VOLKSWAGEN AKTIENGESELLSCHAFT |
10938392 | Transmitter for transmitting multi-bit data | SK HYNIX INC. |
10938393 | Frequency sweep generator and method | STICHTING IMEC NEDERLAND |
10938394 | Phase-locked loop circuit | -- |
10938395 | Electronic device with a timing adjustment mechanism | MICRON TECHNOLOGY, INC. |
10938396 | Quadrature local oscillator signal generation systems and methods | APPLE INC. |
10938397 | Recording channels for biopotential signals | UNIVERSITY OF WASHINGTON |
10938398 | Analog-to-digital converter and microphone including the same | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10938399 | Digital corrected two-step SAR ADC | IPGREAT INCORPORATED |
10938400 | Broadband digitizer with a low frequency bypass | GUZIK TECHNICAL ENTERPRISES |
10938401 | Analog-to-digital converter, resistive digital-to-analog converter circuit, and method of operating an analog-to-digital converter | NXP B.V. |
10938402 | Successive approximation register analog-to-digital converter | -- |
10938403 | Battery charging and measurement circuit | TEXAS INSTRUMENTS INCORPORATED |
10938404 | Digital-to-analog converter, transmitter, base station and mobile device | INTEL CORPORATION |
10938405 | Apparatus and methods for characterization of high frequency and high data rate signals | CIENA CORPORATION |
10938406 | Membrane digital analog switches | CATTRON NORTH AMERICA, INC. |
10938407 | Sigma-delta analog to digital converter | NXP B.V. |
10938408 | Semiconductor device for reading and outputting signal from a sensor | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10938409 | Compression using entropy reduction based on pseudo random numbers | -- |
10938410 | Hardware friendly data compression | INNOGRIT TECHNOLOGIES CO., LTD. |
10938411 | Compression and/or decompression of activation data | ARM LIMITED |
10938412 | Decompression of model parameters using functions based upon cumulative count distributions | GROQ, INC. |
10938413 | Processing core data compression and storage system | TENSTORRENT INC. |
10938414 | Electronic device and method for compressing sampled data | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
10938415 | Method for encoding and decoding of quality values of a data structure | GOTTFRIED WILHELM LEIBNIZ UNIVERSITÄT HANNOVER |
10938416 | Memory device including parity error detection circuit | SAMSUNG ELECTRONICS CO., LTD. |
10938417 | Flash memory controller and encoding circuit and decoding circuit within flash memory controller | -- |
10938418 | Online disk replacement/removal | PURE STORAGE, INC. |
10938419 | Encoding method and system for memory device including QLC cells | SK HYNIX INC. |
10938420 | System and methods for low complexity list decoding of turbo codes and convolutional codes | SAMSUNG ELECTRONICS CO., LTD. |
10938421 | Decoding optimization for channel mismatch | WESTERN DIGITAL TECHNOLOGIES, INC. |
10938422 | Polar code rate matching method and apparatus, and a communications apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10938435 | Inrush current limiter circuits having current regulating switches therein | COMMSCOPE TECHNOLOGIES LLC |
10938436 | Front-end module and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10938443 | Communication system and method of data communications | -- |
10938474 | Approaches for achieving improved capacity plans for a satellite communications system via interleaved beams from multiple satellites | HUGHES NETWORK SYSTEMS, LLC |
10938498 | Apparatus, system and method of transmitting a PPDU | INTEL IP CORPORATION |
10938506 | Method for encoding information in communication network | HUAWEI TECHNOLOGIES CO., LTD. |
10938512 | Correlation-based detection of encoded address in packet | MARVELL ASIA PTE., LTD. |
10938514 | Data transmission method, data sending device, and data receiving device | HUAWEI TECHNOLOGIES CO., LTD. |
10938542 | Electrical balanced duplexer-based duplexer | APPLE INC. |
10938602 | Iterative channel estimation and equalization with superimposed reference signals | COHERE TECHNOLOGIES, INC. |
10938607 | Random access memory | CHANGXIN MEMORY TECHNOLOGIES, INC. |
10938735 | Time interleaver, time deinterleaver, time interleaving method, and time deinterleaving method | PANASONIC CORPORATION |
10938905 | Handling deletes with distributed erasure coding | EMC CORPORATION |
10938938 | Methods for selectively compressing data and devices thereof | NETAPP, INC. |
10938992 | Advanced audio feedback reduction utilizing adaptive filters and nonlinear processing | POLYCOM, INC. |
10939423 | Multiplexing transmission time intervals (TTIs) with physical downlink shared channel (PDSCH) puncturing detection | APPLE INC. |
10939534 | Control device for controlling multiple operating characteristics of an electrical load | LUTRON TECHNOLOGY COMPANY LLC |
10939541 | Shield structure for a low crosstalk single ended clock distribution circuit | HUAWEI TECHNOLOGIES CO., LTD. |
10939873 | Wearable system for capturing and transmitting biomedical signals | QUALCOMM INCORPORATED |
10940814 | Customizable multifunctional dispenser module | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10941401 | Synthetic near-threshold translational repressors | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10941652 | Systems and methods for terahertz modulation for telemetry | HALLIBURTON ENERGY SERVICES, INC. |
10942219 | Circuit arrangement for switching noise jitter (SNJ) reduction in feedback control loop circuits, and methods of making the same | TRANSSIP, INC. |
10942228 | Temperature compensation circuit, corresponding device and method | STMICROELECTRONICS S.R.L. |
10942230 | Device for generating and detecting a magnetic resonance of a sample | HELMHOLTZ-ZENTRUM BERLIN FUER MATERIALIEN UND ENERGIE GMBH |
10942255 | Apparatus and method for integrating self-test oscillator with injection locked buffer | GLOBALFOUNDRIES U.S. INC. |
10942261 | Apparatus for and method of range sensor based on direct time-of-flight and triangulation | SAMSUNG ELECTRONICS CO., LTD. |
10942541 | Connection interface circuit, memory storage device and signal generation method | -- |
10942542 | Data transfer by modulating clock signal | INTEL IP CORPORATION |
10942604 | Touch sensing device and display apparatus including the same | SILICON WORKS CO., LTD. |
10942613 | Input device and method of controlling the same | ALPS ALPINE CO., LTD. |
10942682 | System and method of interfacing co-processors and input/output devices via a main memory system | RAMBUS INC. |
10942704 | Mechanical computing systems | CBN NANO TECHNOLOGIES INC. |
10942783 | Distributed computing using distributed average consensus | HYPERNET LABS, INC. |
10942803 | Method for performing data processing for error handling in memory device, associated memory device and controller thereof, and associated electronic device | -- |
10942869 | Efficient coding in a storage system | PURE STORAGE, INC. |
10942886 | Data transmission method and data transmission system | KINETIC TECHNOLOGIES |
10943042 | Data flow graph optimization techniques for RTL loops with conditional-exit statements | XILINX, INC. |
10943053 | Method and a circuit for adaptive regulation of body bias voltages controlling NMOS and PMOS transistors of an IC | RACYICS GMBH |
10943180 | Capacitively-shunted asymmetric DC-SQUID for qubit readout and reset | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943325 | Machine learning sparse computation mechanism | INTEL CORPORATION |
10943559 | Display driver IC including oscillator frequency controller | MAGNACHIP SEMICONDUCTOR, LTD. |
10943560 | Clock recovery device and source driver for recovering embedded clock from interface signal | SILICON WORKS CO., LTD. |
10943573 | Audio output monitoring for failure detection of warning sound playback | -- |
10943597 | Method of controlling volume in a noise adaptive manner and apparatus implementing thereof | LG ELECTRONICS INC. |
10943629 | Enable signal generation circuit and semiconductor apparatus using the same | SK HYNIX INC. |
10943648 | Ultra low VDD memory cell with ratioless write port | GSI TECHNOLOGY, INC. |
10943653 | Memory receiver with resistive voltage divider | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943666 | Power switch circuit and method providing power supply to memory device | MAGNACHIP SEMICONDUCTOR, LTD. |
10943767 | Digital sampling to control resonator frequency and phase in a LINAC | APPLIED MATERIALS, INC. |
10943770 | Detection of damage in matching networks | ADVANCED ENERGY INDUSTRIES, INC. |
10943778 | Method for manufacturing a substrate | SOITEC |
10943898 | High switching frequency, low loss and small form factor fully integrated power stage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944001 | Deep trench and junction hybrid isolation | NXP USA, INC. |
10944012 | Area-efficient inverter using stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944270 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10944271 | Dock device with circuit to detect charge pin alignment | AMAZON TECHNOLOGIES, INC. |
10944358 | Control of a quartz crystal oscillator frequency tuning array | STMICROELECTRONICS (GRENOBLE 2) SAS |
10944359 | Temperature compensation of a quartz crystal oscillator | STMICROELECTRONICS (GRENOBLE 2) SAS |
10944360 | Local oscillator | MITSUBISHI ELECTRIC CORPORATION |
10944361 | Zero if transmitter with decoupling between mixer and programmable gain stage | TEXAS INSTRUMENTS INCORPORATED |
10944362 | Coupling surface acoustic wave resonators to a Josephson ring modulator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944363 | Power amplifier | -- |
10944364 | Configurable amplifier module | -- |
10944365 | Envelope tracking amplifier circuit | QORVO US, INC. |
10944366 | Advanced load current monitoring circuit and method for a class-AB amplifier | STMICROELECTRONICS (SHENZHEN) R&D CO. LTD |
10944367 | Power amplification system with reactance compensation | SKYWORKS SOLUTIONS, INC. |
10944368 | Offset correction for pseudo differential signaling | ADVANCED MICRO DEVICES, INC. |
10944369 | Amplifier circuit and method for compensating an output signal provided at an output of the amplifier circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10944370 | Multi-gain mode power amplifier, chip, and communication terminal | VANCHIP (TIANJIN) TECHNOLOGY CO., LTD. |
10944372 | Acoustic resonator | -- |
10944373 | Lumped element directional coupler having asymmetrical structure | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
10944374 | Electrostatic chuck filter box and mounting bracket | LAM RESEARCH CORPORATION |
10944375 | Multilayer band pass filter | MURATA MANUFACTURING CO., LTD. |
10944376 | LC resonator and LC filter | MURATA MANUFACTURING CO., LTD. |
10944377 | Broadband power splitter | SKYWORKS SOLUTIONS, INC. |
10944378 | Duplexer | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10944379 | Hybrid passive-on-glass (POG) acoustic filter | QUALCOMM INCORPORATED |
10944380 | Film bulk acoustic resonators in thin LN-LT layers | RESONANT INC. |
10944381 | Acoustic wave filter device, multiplexer, radio-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10944382 | Switch module | MURATA MANUFACTURING CO., LTD. |
10944383 | Tunable filter | HUAWEI TECHNOLOGIES CO., LTD. |
10944385 | Delay circuit that accurately maintains input duty cycle | QUALCOMM INCORPORATED |
10944386 | Frequency doubler based on phase frequency detectors using rising edge delay | QUALCOMM INCORPORATED |
10944387 | Programmable delay circuit | STMICROELECTRONICS INTERNATIONAL N.V. |
10944388 | Asynchronous clock gating cells and related methods to provide a gated clock output | SILICON LABORATORIES INC. |
10944389 | Display device having an integrated sensing device with reduced interference | SYNAPTICS INCORPORATED |
10944390 | High-speed and low-noise dynamic comparator | NO. 24 RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
10944391 | Overvoltage protection circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944392 | Switch circuit and power supply system | AUTONETWORKS TECHNOLOGIES, LTD. |
10944393 | Drive device for semiconductor element | FUJI ELECTRIC CO., LTD. |
10944394 | Methods and apparatus to reduce leakage current | TEXAS INSTRUMENTS INCORPORATED |
10944395 | Driving apparatus and switching apparatus | FUJI ELECTRIC CO., LTD. |
10944396 | Semiconductor device and method for driving the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10944397 | Compact four-terminal TCOIL | CADENCE DESIGN SYSTEMS, INC. |
10944398 | Systems and methods for ultrafast plasmonic response in doped, colloidal nanostructures | UCHICAGO ARGONNE, LLC |
10944399 | Multi-level spin logic | INTEL CORPORATION |
10944400 | On-die termination control | RAMBUS INC. |
10944401 | Integrated clock gating cell and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944402 | Reconfigurable interconnect structure in integrated circuits | INTEL CORPORATION |
10944403 | Superconducting field-programmable gate array | PSIQUANTUM CORP. |
10944404 | Low power ferroelectric based majority logic gate adder | KEPLER COMPUTING, INC. |
10944405 | Phase-locked loop and delay-locked loop | ANAPASS INC. |
10944406 | Clock and data recovery using closed-loop clock alignment and duplicate sampling clock | SYNOPSYS, INC. |
10944407 | Source synchronous interface with selectable delay on source and delay on destination control | STMICROELECTRONICS INTERNATIONAL N.V. |
10944408 | Apparatus and method to mitigate phase frequency modulation due to inductive coupling | INTEL CORPORATION |
10944409 | Phase-locked loop and method for the same | INTEL CORPORATION |
10944410 | Injection circuit system and method | INFINEON TECHNOLOGIES AG |
10944411 | PLL capacitor swap technique and low jitter dynamic Digital Controlled Oscillator band select | INTEL CORPORATION |
10944412 | PLL with phase range extension | PERCEPTIA IP PTY LTD |
10944413 | Field device in measurement and automation technology comprising a galvanic isolation device | ENDRESS+HAUSER FLOWTEC AG |
10944414 | Method and apparatus for psuedo-random interleaved analog-to-digital converter use | XILINX, INC. |
10944415 | Spectrally efficient digital logic (SEDL) analog to digital converter (ADC) | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10944416 | Precision digital to analog conversion in the presence of variable and uncertain fractional bit contributions | ADVANCED ENERGY INDUSTRIES, INC. |
10944417 | Radio frequency DAC with improved linearity using shadow capacitor switching | XILINX, INC. |
10944418 | Analog-to-digital converter capable of generate digital output signal having different bits | -- |
10944419 | Segmented digital-to-analog converter | TEXAS INSTRUMENTS INCORPORATED |
10944420 | Power saving technique for voltage-controlled ring oscillator and voltage-controlled ring oscillator-based sigma delta modulator | INTEL CORPORATION |
10944421 | Efficient silent code assignment to a set of logical codes | ORACLE INTERNATIONAL CORPORATION |
10944422 | Entropy agnostic data encoding and decoding | ADVANCED MICRO DEVICES, INC. |
10944423 | Verifying the correctness of a deflate compression accelerator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944424 | Error correction with multiple LLR-LUTS for a single read | SEAGATE TECHNOLOGY LLC |
10944425 | Devices and methods for generating a low density parity check code for a incremental redundancy HARQ communication apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10944426 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 4/15 and 256-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10944427 | Data transmission method, sending device, and receiving device | HUAWEI TECHNOLOGIES CO., LTD. |
10944428 | Device, system and method for determining bit reliability information | INTEL CORPORATION |
10944429 | Data accessing method using data protection with aid of parity check matrix having partial sequential information, and associated apparatus | -- |
10944430 | Time varying data permutation apparatus and methods | INPHI CORPORATION |
10944431 | Data processing device and data processing method for improving data transmission quality using a low density parity check code | SATURN LICENSING LLC |
10944432 | Methods and systems for transcoder, FEC and interleaver optimization | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10944433 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 3/15 and 16-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10944434 | Bit interleaver for low-density parity check codeword having length of 16200 and code rate of 4/15 and 16-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10944435 | Tearing save encoding | NXP B.V. |
10944437 | Multiband receivers for millimeter wave devices | GLOBALFOUNDRIES INC. |
10944441 | Receiver with broadband low-noise amplifier and filter bypass | QUALCOMM INCORPORATED |
10944468 | High gain active relay antenna system | -- |
10944476 | Optical communication interface utilizing N-dimensional double square quadrature amplitude modulation | INPHI CORPORATION |
10944486 | DC current cancellation scheme for an optical receiver | ELENION TECHNOLOGIES, LLC |
10944503 | Networking coding system in a network layer | TEXAS INSTRUMENTS INCORPORATED |
10944504 | Transmission of probabilistically shaped amplitudes using partially anti-symmetric amplitude labels | NOKIA SOLUTIONS AND NETWORKS OY |
10944505 | Channel coding method of variable length information using block code | LG ELECTRONICS INC. |
10944506 | Method and apparatus for transmitting and receiving signal by using polar coding | SAMSUNG ELECTRONICS CO., LTD. |
10944508 | Data processing method and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
10944511 | Information bits for polar codes with mixed criteria | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10944517 | Transmission of new data in a hybrid automatic repeat request (HARQ) retransmission with polar coded transmissions | QUALCOMM INCORPORATED |
10944541 | LO frequency generation using resonator | INTEL CORPORATION |
10944542 | Method as well as clock recovery module for recovering a clock signal from a data signal | ROHDE & SCHWARZ GMBH & CO. KG |
10944599 | Systems and methods for communicating high speed signals in a communication device | ADTRAN, INC. |
10944600 | Data transmission circuit | SK HYNIX INC. |
10944601 | Reception circuit, receiver, and reception control method | FUJITSU LIMITED |
10944656 | Technologies for adaptive processing of multiple buffers | INTEL CORPORATION |
10944852 | Computer network packet transmission timing | CISCO TECHNOLOGY, INC. |
10944928 | Array apparatus and associated methods | NOKIA TECHNOLOGIES OY |
10944932 | Comparator, AD converter, solid-state imaging device, electronic apparatus, and method of controlling comparator | SONY CORPORATION |
10945069 | Loudspeaker driver systems | CIRRUS LOGIC, INC. |
10945072 | Method for extracting voice signals of plurality of users, and terminal device and robot implementing same | LG ELECTRONICS INC. |
10945074 | Low-latency compensating audio filters using negative group delay | CIRRUS LOGIC, INC. |
10945188 | Systems for connecting devices through intermediate nodes | BRIDGEFY, INC. |
10945327 | Musical beat detection system and method for lighting control | -- |
10945338 | Wiring substrate | KYOCERA CORPORATION |
10945499 | Decorative composite body having a transparent, electrically conductive layer and a solar cell | D. SWAROVSKI KG |
10946193 | Pulse generator with independent panel triggering | PULSE BIOSCIENCES, INC. |
10947111 | Method for frequency trimming a microelectromechanical resonator | GEORGIA TECH RESEARCH CORPORATION |
10948359 | Techniques for junction temperature determination of power switches | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10948524 | Relay-welding detection device and detection method | LSIS CO., LTD. |
10948552 | Magnetometer | ROBERT BOSCH GMBH |
10948611 | Device for measuring doses of ionizing particles | STMICROELECTRONICS (CROLLES 2) SAS |
10948880 | Force-detecting input structure | APPLE INC. |
10948933 | Digital-to-analog converter power-up control | TEXAS INSTRUMENTS INCORPORATED |
10949004 | Lighting laminated glazing with a capacitive touch sensitive device and a light emitting diode and the manufacturing | SAINT-GOBAIN GLASS FRANCE |
10949020 | Fingerprint-assisted force estimation | APPLE INC. |
10949163 | Playback device | SONOS, INC. |
10949166 | Mechanical computing systems | CBN NANO TECHNOLOGIES INC. |
10949258 | Multistage round robin arbitration in a multiuser system | XILINX, INC. |
10949290 | Validation of a symbol response memory | MICRON TECHNOLOGY, INC. |
10949291 | Partially written superblock treatment | MICRON TECHNOLOGY, INC. |
10949295 | Implementing dynamic SEU detection and correction method and circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949298 | System and method of reducing logic for multi-bit error correcting codes | -- |
10949299 | Error code calculation on sensing circuitry | MICRON TECHNOLOGY, INC. |
10949303 | Durable block storage in data center access nodes with inline erasure coding | FUNGIBLE, INC. |
10949375 | Methods and apparatus for an interface | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10949394 | Systems and methods for manipulating and managing computer archive files | PKWARE, INC. |
10949443 | Method and system for sampling and converting vehicular network data | -- |
10949591 | Hardware based state signature generation and check for test and debug of semiconductor circuit functionality | SYNOPSYS, INC. |
10950187 | Method for sensing light being incident on an electronic device | AMS AG |
10950279 | Bit line sense amplifier circuit capable of reducing offset voltage | SK HYNIX INC. |
10950291 | Apparatuses and methods to perform duty cycle adjustment with back-bias voltage | MICRON TECHNOLOGY, INC. |
10950293 | Signal processing circuit, distributed memory, ROM, and DAC which signal processing circuit is embedded | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
10950325 | Memory built-in self test error correcting code (MBIST ECC) for low voltage memories | MARVELL ASIA PTE., LTD. |
10950381 | Surface-mounted LC device | MURATA MANUFACTURING CO., LTD. |
10950406 | Self-limiting electrical triggering for initiating fracture of frangible glass | PALO ALTO RESEARCH CENTER INCORPORATED |
10950542 | High-performance variable gain amplifier employing laminate transmission line structures | ANALOG DEVICES, INC. |
10950569 | High frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10950596 | Diode with current sensor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950616 | 3-dimensional NOR strings with segmented shared source regions | SUNRISE MEMORY CORPORATION |
10950720 | Electrostatic discharge guard ring with complementary drain extended devices | TEXAS INSTRUMENTS INCORPORATED |
10951018 | Ground fault current interrupter circuit | TEXAS INSTRUMENTS INCORPORATED |
10951019 | Electrical link comprising an electrical protection device—voltage bias | AIRBUS OPERATIONS (SAS) |
10951020 | Power supply control device having a control unit for estimating a smoking temperature of a switch element | AUTONETWORKS TECHNOLOGIES, LTD. |
10951023 | Variable level power clamping circuit | PSEMI CORPORATION |
10951029 | Power source input device for both ac and dc power sources | LG ELECTRONICS INC. |
10951066 | Wireless power supply device and wireless power supply method | NEC CORPORATION |
10951071 | Wireless charging system for using frequency control | CENTER FOR INTEGRATED SMART SENSORS FOUNDATION |
10951106 | Semiconductor device | DENSO CORPORATION |
10951109 | Line commutated converters | GENERAL ELECTRIC TECHNOLOGY GMBH |
10951112 | Apparatus for minimizing peak power demand on inverter in power supply with one or more switched reactive loads | EDGE ELECTRONS LIMITED |
10951115 | Switching regulator | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10951118 | Digital current mode control for multi-phase voltage regulator circuits | APPLE INC. |
10951163 | Method for low-current oscillatory circuit with wide operation voltage and temperature compensation | -- |
10951164 | Voltage-controlled oscillator, PLL circuit, and CDR device | THINE ELECTRONICS, INC. |
10951165 | Switched capacitor arrangement for tuning a differential circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10951166 | Crystal oscillator with fast start-up | DIALOG SEMICONDUCTOR B.V. |
10951167 | Semiconductor device | CANON KABUSHIKI KAISHA |
10951168 | Electronic envelope detection circuit and corresponding demodulator | STMICROELECTRONICS SA |
10951169 | Amplifier comprising two parallel coupled amplifier units | SONION NEDERLAND B.V. |
10951170 | Apparatus and method for assisting envelope tracking with transient response in supply voltage for power amplifier | QUALCOMM INCORPORATED |
10951171 | Configurable switched power amplifier for efficient high/low output power | NXP USA, INC. |
10951172 | Linear doherty power amplifier | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10951173 | Circuits, devices and methods related to amplification with active gain bypass | SKYWORKS SOLUTIONS, INC. |
10951174 | High-frequency amplifier | MITSUBISHI ELECTRIC CORPORATION |
10951175 | Envelope tracking circuit and related power amplifier apparatus | QORVO US, INC. |
10951176 | Highly linear low noise transconductor | -- |
10951177 | Radio frequency power limiter with reflected power detection | ROCKWELL COLLINS, INC. |
10951178 | Averaging overcurrent protection | SKYWORKS SOLUTIONS, INC. |
10951179 | Impedance control unit | AMPLEON NETHERLANDS B.V. |
10951180 | RF power transistors with impedance matching circuits, and methods of manufacture thereof | NXP USA, INC. |
10951181 | Methods and apparatus for an amplifier circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10951182 | Millimeter wave power amplifier circuit and millimeter wave power amplifier device | -- |
10951183 | PA output memory neutralization using baseband I/O capacitance current compensation | QORVO US, INC. |
10951184 | Push-pull dynamic amplifier circuits | OMNI DESIGN TECHNOLOGIES INC. |
10951185 | Differential amplifier circuit and serial transmission circuit | TOSHIBA MEMORY CORPORATION |
10951186 | Amplification systems and methods with output regulation | ON-BRIGHT ELECTRONICS (SHANGHAI) CO., LTD. |
10951188 | Optimized volume adjustment | APPLE INC. |
10951189 | Signal processing device, method and speaker | GOERTEK INC. |
10951190 | On-chip harmonic filtering for radio frequency (RF) communications | SILICON LABORATORIES INC. |
10951191 | Low-leakage automatic adjustable diplexer | -- |
10951192 | Elastic wave device, high-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10951193 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
10951194 | Acoustic wave filter, multiplexer, and communication apparatus | KYOCERA CORPORATION |
10951195 | Acoustic resonator filter package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10951196 | Multiplexer, high-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10951197 | On-chip balun | SEQUANS COMMUNICATIONS S.A. |
10951198 | Semiconductor integrated circuit, transmission device, and memory device | KIOXIA CORPORATION |
10951199 | Timing data acquisition device that supports efficient set-up and hold time determination in synchronous systems | SAMSUNG ELECTRONICS CO., LTD. |
10951200 | Clock circuit and method of operating the same | -- |
10951201 | Flip flop standard cell | -- |
10951202 | Method and apparatus for RC/CR phase error calibration of measurement receiver | FUTUREWEI TECHNOLOGIES, INC. |
10951203 | Semiconductor device and method for controlling amplitude of signal in the semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10951204 | Digital pulse width modulation driver system | MAXIM INTEGRATED PRODUCTS, INC. |
10951205 | Protection circuit against short circuits of switching device for SiC or GaN MOSFET transistor and associated method | ALSTOM TRANSPORT TECHNOLOGIES |
10951206 | Off chip driving system and signal compensation method | -- |
10951207 | Integrated driving module | -- |
10951208 | Slew-limited output driver circuit | RACYICS GMBH |
10951209 | Power on ready signal generating apparatus and operation method thereof | -- |
10951210 | Tuning capacitance to enhance FET stack voltage withstand | PSEMI CORPORATION |
10951211 | FPC integrated capacitance switch and method of manufacturing the same | NISSHA CO., LTD. |
10951212 | Self-timed processors implemented with multi-rail null convention logic and unate gates | ETA COMPUTE, INC. |
10951213 | Majority logic gate fabrication | KEPLER COMPUTING, INC. |
10951214 | Signal analysis method and signal analysis module | ROHDE & SCHWARZ GMBH & CO. KG |
10951215 | Semiconductor devices and methods of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10951216 | Synchronization of clock signals generated using output dividers | SILICON LABORATORIES INC. |
10951217 | Device and method for controllably delaying electrical signals | SILICON LINE GMBH |
10951218 | Multi-mode clock multiplier | RAMBUS INC. |
10951219 | Method for generating a synthetic time period output signal | MICRO MOTION, INC. |
10951220 | Method for calibrating capacitor voltage coefficient of high-precision successive approximation analog-to-digital converter | NO. 24 RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
10951221 | Testing an analog-to-digital converter using counters | REGENTS OF THE UNIVERSITY OF MINNESOTA |
10951222 | Method and circuit for current integration | AMS INTERNATIONAL AG |
10951223 | Current signal generation useful for sampling | SOCIONEXT INC. |
10951224 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10951225 | Successive approximation register analog-to-digital converter with multiple sample capacitors | CIRRUS LOGIC, INC. |
10951226 | Radio-frequency digital-to-analog converter system | TEXAS INSTRUMENTS INCORPORATED |
10951227 | Multiplying digital to analog converter with increased multiplying bandwidth | TEXAS INSTRUMENTS INCORPORATED |
10951228 | Semiconductor apparatus | ROHM CO., LTD. |
10951229 | Digital filter | DIALOG SEMICONDUCTOR B.V. |
10951230 | Method and apparatus for ternary mapping | QUALCOMM INCORPORATED |
10951231 | Compression and decompression engines and compressed domain processors | SILICONIP, INC. |
10951232 | Error correction bit flipping scheme | MICRON TECHNOLOGY, INC. |
10951233 | System and method for decoding iterations and dynamic scaling | WESTERN DIGITAL TECHNOLOGIES, INC. |
10951234 | Non-linear LLR look-up tables | SEAGATE TECHNOLOGY LLC |
10951235 | Low density parity check decoder | THE TEXAS A&M UNIVERSITY SYSTEM |
10951236 | Hierarchical data integrity verification of erasure coded data in a distributed computing system | MICROSOFT TECHNOLOGY LICENSING, LLC |
10951237 | Composing array codes for power of two and variable block sizes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10951238 | Memory system and method for controlling non-volatile memory | KIOXIA CORPORATION |
10951239 | Performing a decoding operation to simulate switching a bit of an identified set of bits of a data block | MICRON TECHNOLOGY, INC. |
10951240 | Detection of codewords | INFINEON TECHNOLOGIES AG |
10951241 | Data processing device and data processing method | SATURN LICENSING LLC |
10951242 | Method and apparatus for design of punctured polar codes | SAMSUNG ELECTRONICS CO., LTD. |
10951248 | Radio frequency (RF) module with shared inductor | INTEL CORPORATION |
10951250 | High-speed DC shifting predrivers with low ISI | SITRUS TECHNOLOGY CORPORATION |
10951252 | 5G NR configurable wideband RF front-end LNA | PSEMI CORPORATION |
10951264 | Energy efficient ultra-wideband impulse radio systems and methods | TRANSFERT PLUS, SOCIETE EN COMMANDITE |
10951292 | Systems and methods for random access communication | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10951318 | PAM4 transceivers for high-speed communication | INPHI CORPORATION |
10951327 | Transmission apparatus and receiving apparatus | FUJI ELECTRIC CO., LTD. |
10951356 | Method for polar coding in communication network | HUAWEI TECHNOLOGIES CO., LTD. |
10951357 | System and method for detecting a satellite | TOTUM LABS, INC. |
10951389 | Phase detector, phase synchronization circuit, and method of controlling phase synchronization circuit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10951441 | Receiver systems and methods for AC and DC coupling of receiver | SAMSUNG ELECTRONICS CO., LTD. |
10951445 | Radio frequency integrated circuit supporting carrier aggregation and wireless communication device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10951849 | Digital pixel image sensor | FACEBOOK TECHNOLOGIES, LLC |
10951967 | Voice-controlled multimedia device and universal remote | AMAZON TECHNOLOGIES, INC. |
10951973 | Headset, terminal, and control method | HUAWEI TECHNOLOGIES CO., LTD. |
10951993 | Integrated personal amplifier system with howling control | BITWAVE PTE LTD |
10952001 | Biasing circuit | -- |
10952315 | Light blocking features for indicator lights in an appliance | HAIER US APPLIANCE SOLUTIONS, INC. |
10955441 | Measurement system and method for operating a measurement system | ROHDE & SCHWARZ GMBH & CO. KG |
10955444 | Peak detector | TEXAS INSTRUMENTS INCORPORATED |
10955445 | Automatic miller plateau sampling | NXP B.V. |
10955449 | Sensor and method of manufacturing same | WESTON AEROSPACE LIMITED |
10955497 | Phase compensation circuit, magnetic induction imaging device and phase compensation method | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10955526 | Device and method for processing an input signal and radar device | ROBERT BOSCH GMBH |
10955527 | Radar signal processor and radar system | DENSO CORPORATION |
10955547 | Combined radar and communications system using common signal waveform | THE BOEING COMPANY |
10955691 | Dual loop bias circuit with offset compensation | ELENION TECHNOLOGIES, LLC |
10955802 | Mechanical input/output selector | RAYTHEON COMPANY |
10955864 | Context-aware power network | ARM LIMITED |
10955865 | Firmware-controlled cable drop voltage compensation | CYPRESS SEMICONDUCTOR CORPORATION |
10955885 | Methods and systems to control power gates during an active state of a gated domain based on load conditions of the gated domain | INTEL CORPORATION |
10955974 | Wearable electronic devices having an inward facing input device and methods of use thereof | GOOGLE LLC |
10955983 | Interaction sensing | SAMSUNG ELECTRONICS CO., LTD. |
10956064 | Adjusting code rates to mitigate cross-temperature effects in a non-volatile memory (NVM) | SEAGATE TECHNOLOGY LLC |
10956119 | Playback device | SONOS, INC. |
10956121 | Dynamic range control for a wide variety of playback environments | DOLBY LABORATORIES LICENSING CORPORATION |
10956259 | Error correction code memory device and codeword accessing method thereof | -- |
10956262 | Deferred error code correction with improved effective data bandwidth performance | MICRON TECHNOLOGY, INC. |
10956263 | Memory system with deep learning based interference correction capability and method of operating such memory system | SK HYNIX INC. |
10956264 | Memory system | TOSHIBA MEMORY CORPORATION |
10956266 | Processing data access transactions in a dispersed storage network using source revision indicators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956268 | Systems, methods, and apparatuses for stacked memory | INTEL CORPORATION |
10956601 | Fully managed account level blob data encryption in a distributed storage environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10956687 | Logarithmic amplifier | TEXAS INSTRUMENTS INCORPORATED |
10956813 | Compute-in-memory circuit having a multi-level read wire with isolated voltage distributions | INTEL CORPORATION |
10957237 | Circuit, semiconductor device, display device, electronic device, and driving method of circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957276 | Power-off discharge circuit and operation method of display panel, and display substrate | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10957323 | Image display apparatus and method of controlling the same | SAMSUNG ELECTRONICS CO., LTD. |
10957365 | Setting local power domain timeout via temperature sensor systems and methods | MICRON TECHNOLOGY, INC. |
10957420 | Secure transmission of genomic data | KONINKLIJKE PHILIPS N.V. |
10957498 | Intelligent lighting control system deployment with scalable wallplate | RACEPOINT ENERGY, LLC |
10957617 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10957679 | Logic drive based on standardized commodity programmable logic semiconductor IC chips | -- |
10957688 | Monolithic single chip integrated radio frequency front end module configured with single crystal acoustic filter devices | AKOUSTIS, INC. |
10957720 | Semiconductor device, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957724 | Single-photon avalanche diode image sensor with photon counting and time-of-flight detection capabilities | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10957844 | Magneto-electric spin orbit (MESO) structures having functional oxide vias | INTEL CORPORATION |
10957947 | High-voltage detection circuit, detector, battery device and vehicle | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10957962 | Magnetoresistive effect device | TDK CORPORATION |
10957963 | Optimised RF input section for coplanar transmission line | LUMENTUM TECHNOLOGY UK LIMITED |
10958032 | Increased spectral linewidth and improved laser control | MICROSOFT TECHNOLOGY LICENSING, LLC |
10958065 | Switching circuit | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10958067 | Single event latch-up (SEL) mitigation detect and mitigation | XILINX, INC. |
10958092 | Semiconductor integrated circuit, operating method thereof, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10958097 | Power source selection | COMMSCOPE TECHNOLOGIES LLC |
10958110 | Parallel voltage and current multiple amplitude shift key demodulation | AIRA, INC. |
10958167 | Current sensing in an on-die direct current-direct current (DC-DC) converter for measuring delivered power | QUALCOMM INCORPORATED |
10958171 | Maintaining output voltage of DC-DC converter in discontinuous conduction mode | CIRRUS LOGIC, INC. |
10958175 | Adding a voltage level to a phase-redundant regulator level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958198 | Using interrupt to avoid short pulse in center aligned PWM | VITESCO TECHNOLOGIES USA, LLC |
10958213 | Pullable clock oscillator | TEXAS INSTRUMENTS INCORPORATED |
10958214 | Phase noise reduction in voltage controlled oscillators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958215 | Semiconductor device and semiconductor system | LAPIS SEMICONDUCTOR CO., LTD. |
10958216 | Semiconductor device and operation method thereof | -- |
10958217 | Methods, circuits, and apparatus for calibrating an in-phase and quadrature imbalance | U-BLOX AG |
10958218 | Apparatus and methods for bias switching of power amplifiers | SKYWORKS SOLUTIONS, INC. |
10958219 | Method and device for selectively supplying voltage to multiple amplifiers by using switching regulators | SAMSUNG ELECTRONICS CO., LTD. |
10958220 | Transient stabilized cascode biasing | PSEMI CORPORATION |
10958221 | Flame scanner having non-linear amplifier with temperature compensation | CARRIER CORPORATION |
10958222 | Bias circuit | -- |
10958223 | Amplifier | MITSUBISHI ELECTRIC CORPORATION |
10958224 | Method and device for providing a bias voltage in transceivers operating in time division multiplexing operation | INFINEON TECHNOLOGIES AG |
10958225 | Amplifier circuit and semiconductor apparatus and semiconductor system employing the same | SK HYNIX INC. |
10958226 | Method of forming a semiconductor device and structure therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10958227 | Amplifier nonlinear offset drift correction | ANALOG DEVICES, INC. |
10958228 | Dynamically adjusting common mode rejection ratio | POLYCOM, INC. |
10958229 | Metadata for loudness and dynamic range control | APPLE INC. |
10958230 | Reconfigurable optical receivers with extended dynamic range | ELENION TECHNOLOGIES, LLC |
10958231 | Surface acoustic wave device, high-frequency module, and method of fabricating surface acoustic wave device | MURATA MANUFACTURING CO., LTD. |
10958232 | LC filter | TDK CORPORATION |
10958233 | Common mode filter | TDK CORPORATION |
10958234 | Method and apparatus for adapting a variable impedance network | NXP USA, INC. |
10958235 | Thickness mode resonator | MURATA MANUFACTURING CO., LTD. |
10958236 | Hybrid acoustic wave resonator and preparation method therefor | EPIC MEMS (XIAMEN) CO., LTD |
10958237 | Bulk acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10958238 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
10958239 | Bulk acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10958240 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
10958241 | Extractor | MURATA MANUFACTURING CO., LTD. |
10958242 | Acoustic wave filter device, multiplexer, radio-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10958243 | Filter including bulk-acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10958244 | Acoustic filter apparatus having configurable parallel resonance frequencies | QORVO US, INC. |
10958246 | Acoustic wave filter | MURATA MANUFACTURING CO., LTD. |
10958247 | Multiplexer, high-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10958248 | Jitter attenuation buffer structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958249 | Time synchronized networks of wireless nodes and the wireless nodes | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10958250 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10958251 | Multiple adjacent slicewise layout of voltage-controlled oscillator | KANDOU LABS, S.A. |
10958252 | Multi-bit flip-flop and electronic device | -- |
10958253 | Software-defined pulse orchestration platform | QUANTUM MACHINES |
10958254 | Energy storage module with XRAM current multiplier | RAYTHEON COMPANY |
10958255 | Frequency doubler pulse limiter and methods for limiting pulse widths produced by a frequency doubler | INTEL CORPORATION |
10958256 | Fifty percent duty cycle detector and method thereof | -- |
10958257 | System and method for adjusting duty cycle of a signal | -- |
10958258 | Delay based comparator | TEXAS INSTRUMENTS INCORPORATED |
10958259 | Pulse width modulation output stage with dead time control | -- |
10958260 | Pulse-width modulation with reduced transmission latency | INFINEON TECHNOLOGIES AG |
10958261 | Serial PWM signal decoding circuit and method based on a capacitor charge-discharge structure and method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10958262 | System and method for protecting inter-battery circuit by using free wheeling path | LG CHEM, LTD. |
10958263 | Drive control device, drive circuit, and vehicle | SEIKO EPSON CORPORATION |
10958264 | Circuit system for controlling an electrical consumer | ROBERT BOSCH GMBH |
10958265 | Winch motor protection circuit | INPOWER LLC |
10958266 | Programmable current for correlated electron switch | ARM LIMITED |
10958267 | Power-on clear circuit and semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
10958268 | Transformer-based driver for power switches | INFINEON TECHNOLOGIES AUSTRIA AG |
10958269 | Bridge output circuit, power device and semiconductor device | ROHM CO., LTD. |
10958270 | Physical unclonable device and method of maximizing existing process variation for a physically unclonable device | -- |
10958271 | Output buffer having supply filters | MICRON TECHNOLOGY, INC. |
10958272 | Computational memory cell and processing array device using complementary exclusive or memory cells | GSI TECHNOLOGY, INC. |
10958273 | Circuit device, circuit rewriting method, and computer-readable recording medium | NEC SOLUTION INNOVATORS, LTD. |
10958274 | Microwave device and method of operation | ANYON SYSTEMS INC. |
10958275 | Fast wakeup for crystal oscillator | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10958276 | Digital phase locked loop for low jitter applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10958277 | PLL with multiple and adjustable phase outputs | COBHAM COLORADO SPRINGS INC. |
10958278 | Techniques in phase-lock loop configuration in a computing device | INTEL CORPORATION |
10958279 | Partitioned digital-to-analog converter system | QUALCOMM INCORPORATED |
10958280 | Apparatus for calibrating an analog-to-digital converter | INTEL CORPORATION |
10958281 | Analog-to-digital convertor (ADC) with a synthesized delay stage | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10958282 | Capacitive sampling circuit | NXP B.V. |
10958283 | AD conversion circuit, imaging device, and endoscope system | OLYMPUS CORPORATION |
10958284 | Time-interleaved digital-to-analog converter with time-domain dynamic element matching and associated method | -- |
10958285 | Dynamic voltage reference for delta-sigma analog-to-digital converter (ADC) with temperature trim calibration | TEXAS INSTRUMENTS INCORPORATED |
10958286 | Compression and decompression of fixed length data structures | EUROPEAN SPACE AGENCY |
10958287 | Efficient compression of radar data | QUALCOMM INCORPORATED |
10958288 | Decoder for low-density parity-check codes | IMAGINATION TECHNOLOGIES LIMITED |
10958290 | Location of interleaver with LDPC code | -- |
10958291 | Transmission method and reception device | SONY CORPORATION |
10958292 | Encoder, associated encoding method and flash memory controller utilizing divided partial parity blocks for circulant convolution calculations | -- |
10958293 | System and method for near-lossless universal data compression using correlated data sequences | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10958294 | Decoding device and decoding method | -- |
10958296 | Digital multi-band predistortion linearizer with non-linear subsampling algorithm in the feedback loop | -- |
10958298 | Digital pre-distortion technique for a circuit arrangement with a power amplifier | INFINEON TECHNOLOGIES AG |
10958302 | Signal cueing using an IIR filter array with inverted state tree | THE BOEING COMPANY |
10958375 | Transmitting apparatus and signal processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10958376 | Method and apparatus for transmitting and receiving packet in communication system | SAMSUNG ELECTRONICS CO., LTD. |
10958377 | Channel encoding and decoding method and device in wireless communications | HUAWEI TECHNOLOGIES CO., LTD. |
10958379 | Mapping of channel encoded bits to symbol for retransmission | QUALCOMM INCORPORATED |
10958383 | Time based redundancy version determination for grant-free signaling | QUALCOMM INCORPORATED |
10958410 | Adaptive timing synchronization for reception for bursty and continuous signals | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10958411 | Multi-rate transceiver circuitry | ALTERA CORPORATION |
10958413 | Signal transmission method and system and retimer | HUAWEI TECHNOLOGIES CO., LTD. |
10958453 | Method and apparatus for noise injection for PUF generator characterization | -- |
10958488 | Signal transmission method and system | HUAWEI TECHNOLOGIES CO., LTD. |
10958491 | Radio frequency (RF) to digital polar data converter and time-to-digital converter based time domain signal processing receiver | AUBURN UNIVERSITY |
10958498 | Coding and modulation apparatus using non-uniform constellation | SATURN LICENSING LLC |
10958530 | Networking systems and methods using multipath asynchronous Galois information coding | JUMP ALGORITHMS, LLC |
10958808 | Image forming apparatus controlling color reproduction range and tone reproducibility | CANON KABUSHIKI KAISHA |
10958858 | Ramp signal generator and image sensor including the same | SK HYNIX INC. |
10958870 | Cable television multi-tap system | PPC BROADBAND, INC. |
10959011 | System with wireless earphones | KOSS CORPORATION |
10959012 | System with wireless earphones | KOSS CORPORATION |
10959030 | Sound output circuit, projector, and control method of sound output circuit | SEIKO EPSON CORPORATION |
10959116 | Digital broadcasting system and method of processing data | LG ELECTRONICS INC. |
10959306 | Dimming LED circuit augmenting DC/DC controller integrated circuit | LUMILEDS LLC |
10961944 | Fuel injection control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10961963 | Injection control device | DENSO CORPORATION |
10961976 | Method for controlling a wave-energy system by determining the excitation force applied by waves incident upon a moving part of the said system | IFP ENERGIES NOUVELLES |
10962335 | Directed energy delivery systems capable of disrupting air-based predatory threats | RAYTHEON COMPANY |
10962388 | Conductor sensing assemblies | APPLE INC. |
10962392 | Sensor for a fingerboard latch assembly | SALUNDA LIMITED |
10962422 | Differential and high rate of change temperature sensing circuit | HAMILTON SUNDSTRAND CORPORATION |
10962496 | Methods and apparatus for water detection using a capacitive sensor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10962574 | Current sense apparatus and method | NUVOLTA TECHNOLOGIES (HEFEI) CO., LTD. |
10962623 | Accurate and model-based measurement and management systems and methods | HEATHKIT COMPANY, INC. |
10962932 | Time measurement device, time measurement method, light-emission-lifetime measurement device, and light-emission-lifetime measurement method | HAMAMATSU PHOTONICS K.K. |
10962933 | Multibit per stage pipelined time-to-digital converter (TDC) | IQ—ANALOG CORP. |
10963002 | Clock generation architecture using a poly-phase filter with self-correction capability | QUALCOMM INCORPORATED |
10963089 | Tactile sensation providing apparatus and tactile sensation providing method | KYOCERA CORPORATION |
10963092 | Channel driver circuit | SIGMASENSE, LLC. |
10963093 | Analog front end channel driver circuit | SIGMASENSE, LLC. |
10963096 | Touch sensor circuit | SIGMASENSE, LLC. |
10963176 | Technologies for offloading acceleration task scheduling operations to accelerator sleds | INTEL CORPORATION |
10963210 | Distributed communication using real-time point-to-point streamed audio in a gaming system | IGT |
10963212 | Semiconductor device and sound output device | LAPIS SEMICONDUCTOR CO., LTD. |
10963215 | Media playback device and system | SONOS, INC. |
10963221 | Efficient FPGA multipliers | ACHRONIX SEMICONDUCTOR CORPORATION |
10963222 | True random number generator with stable node voltage | WENZHOU UNIVERSITY |
10963245 | Anchored data element conversion | ARM LIMITED |
10963335 | Data storage device and adaptive data-reading method thereof | SHANNON SYSTEMS LTD. |
10963337 | Memory system with super chip-kill recovery and method of operating such memory system | SK HYNIX INC. |
10963338 | System and method for decoder assisted dynamic log-likelihood ratio (LLR) estimation for NAND flash memories | TOSHIBA MEMORY CORPORATION |
10963339 | Data storage device and operating method thereof | SK HYNIX INC. |
10963341 | Isolating the introduction of software defects in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10963342 | Metadata-assisted encoding and decoding for a memory sub-system | MICRON TECHNOLOGY, INC. |
10963403 | Processing discontiguous memory as contiguous memory to improve performance of a neural network environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
10963411 | Integrating rows of input/output blocks with memory controllers in a columnar programmable fabric archeture | XILINX, INC. |
10963437 | System and method for data deduplication | EMC IP HOLDING COMPANY LLC |
10963450 | Optimizing offline map data updates | APPLE INC. |
10963615 | Data processing engine (DPE) array routing | XILINX, INC. |
10963655 | Diplexed near-field sensor | RAYTHEON COMPANY |
10964247 | Display system | -- |
10964282 | Power supply circuit and display device | SHARP KABUSHIKI KAISHA |
10964287 | Level voltage generation circuit, data driver, and display apparatus | LAPIS SEMICONDUCTOR CO., LTD. |
10964306 | Systems and methods for noise canceling | ANALOG DEVICES, INC. |
10964341 | Magnetoresistive effect element, magnetic head, sensor, high-frequency filter, and oscillator | TDK CORPORATION |
10964362 | Three-port memory cell and array for in-memory computing | MARVELL ASIA PTE, LTD. |
10964379 | Ring oscillator based bitcell delay monitor | ARM LIMITED |
10964490 | Power contact health assessor apparatus and method | ARC SUPPRESSION TECHNOLOGIES |
10964506 | Circuit breaker | EATON INTELLIGENT POWER LIMITED |
10964649 | Tamper detection in integrated circuits | ARM LIMITED |
10964657 | Radio-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10964693 | Semiconductor device having a plurality of bipolar transistors with different heights between their respective emitter layers and emitter electrodes | MURATA MANUFACTURING CO., LTD. |
10964777 | Enhanced inductors suitable for integrated multi-channel receivers | CREDO TECHNOLOGY GROUP LTD. |
10964882 | Bonding method | NGK INSULATORS, LTD. |
10964885 | Magnetoresistive effect element, magnetic memory, magnetization rotation method, and spin current magnetization rotational element | TDK CORPORATION |
10964928 | Methods and systems for managing multi-cell batteries | NOVA SEMICONDUCTOR, INC. |
10965021 | Radio frequency systems with tunable filter | SKYWORKS SOLUTIONS, INC. |
10965024 | Frequency routing based on orientation | SONOS, INC. |
10965027 | RF ripple correction in an antenna aperture | KYMETA CORPORATION |
10965115 | Vehicle power supply circuit | YAZAKI CORPORATION |
10965118 | Over voltage/energy protection apparatus | -- |
10965119 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10965154 | Detecting actuations of buttons of a control device | LUTRON TECHNOLOGY COMPANY LLC |
10965165 | Tag circuit | OMRON CORPORATION |
10965248 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10965249 | Crystal oscillator circuit and method for starting up a crystal oscillator | IMEC VZW |
10965250 | Switched capacitor crystal oscillator | DIALOG SEMICONDUCTOR B.V. |
10965251 | Multi-mode processing circuit and multi-mode controlling method thereof | -- |
10965252 | Broadband driver with extended linear output voltage | ELENION TECHNOLOGIES, LLC |
10965253 | Mute mechanism with reduced pop noise in audio amplifier systems and methods | SYNAPTICS INCORPORATED |
10965254 | Low noise amplifier circuit for a thermal varying resistance | STMICROELECTRONICS S.R.L. |
10965255 | Overvoltage protection for power amplifier with soft shutdown | PSEMI CORPORATION |
10965256 | High-frequency amplifier circuitry and semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10965257 | Signal processing circuit without clock mediation | SILICONINTERVENTION INC. |
10965258 | Weakly coupled tunable RF receiver architecture | QORVO US, INC. |
10965259 | Multi-mode amplifier architectures with resonant structures | SKYWORKS SOLUTIONS, INC. |
10965260 | Systems and methods for split-frequency amplification | KUMU NETWORKS, INC. |
10965261 | Power amplifier circuit | QUALCOMM INCORPORATED |
10965262 | Interface electronic circuit for a microelectromechanical acoustic transducer and corresponding method | STMICROELECTRONICS S.R.L. |
10965263 | Deglitching circuit and method in class-D amplifier | STMICROELECTRONICS (SHENZHEN) R&D CO. LTD. |
10965264 | Bias circuit for supplying a bias current to an RF power amplifier | -- |
10965265 | Method and device for adjusting audio signal, and audio system | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10965266 | N-channel high-power RF multiplexer | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10965267 | Micromechanical resonator and method for trimming micromechanical resonator | TEKNOLOGIAN TUTKIMUSKESKUS VTT OY |
10965268 | Bonded body of piezoelectric material substrate and supporting substrate | NGK INSULATORS, LTD. |
10965269 | Electronic devices formed in a cavity between substrates and including a via | SKYWORKS SOLUTIONS, INC. |
10965270 | Piezoelectric thin film and piezoelectric vibrator | MURATA MANUFACTURING CO., LTD. |
10965271 | Acoustic resonator and method for fabricating the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10965272 | Filter with antiresonance frequency correction | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10965273 | Wideband piezoelectric filter with ladder-structure | ROFS MICROSYSTEM (TIANJIN) CO., LTD |
10965274 | System and method for a radio frequency filter | INFINEON TECHNOLOGIES AG |
10965275 | Device for maintaining operation state of relay | SAMSUNG SDI CO., LTD. |
10965276 | Low noise charge pump method and apparatus | PSEMI CORPORATION |
10965277 | Input circuitry for inter-integrated circuit system | TEXAS INSTRUMENTS INCORPORATED |
10965278 | Cross-coupled high-speed, low power level shifter | SIFIVE, INC. |
10965279 | Ramp generator for multilevel class-D amplifiers | TEXAS INSTRUMENTS INCORPORATED |
10965280 | Delay circuit, clock control circuit and control method | MONTAGE TECHNOLOGY CO., LTD. |
10965281 | Circuit based on a III/V semiconductor and a method of operating the same | DIALOG SEMICONDUCTOR (UK) LIMITED |
10965282 | Insulated power switching cell | THALES |
10965283 | Floating switch and drive circuit thereof | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10965284 | Voltage mode signal transceiving device and voltage mode signal transmitter thereof | -- |
10965285 | Multiple controllers for a capacitive sensing device | APPLE INC. |
10965286 | Remote-control system with homopolar magnets | FM MARKETING GMBH |
10965287 | Replaceable key structure | DEXIN ELECTRONIC LTD. |
10965289 | Metal oxide semiconductor device of an integrated circuit | QUALCOMM INCORPORATED |
10965290 | Phase rotation circuit for eye scope measurements | KANDOU LABS, S.A. |
10965291 | Delay locked loop | SK HYNIX INC. |
10965292 | Delay-locked loop device and operation method therefor | -- |
10965293 | Voltage controlled delay line gain calibration | -- |
10965294 | Storage cell ring-based time-to-digital converter | TEXAS INSTRUMENTS INCORPORATED |
10965295 | Integer boundary spur mitigation for fractional PLL frequency synthesizers | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10965296 | Frequency synthesizer | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10965297 | Sigma-delta modulation quantization error reduction technique for fractional-N phase-locked loop (PLL) | SAMSUNG ELECTRONICS CO., LTD. |
10965298 | Two-photon optical frequency reference with active AC stark shift cancellation | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
10965299 | Digital-to-analog converter and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10965300 | High bandwidth under-sampled successive approximation register analog to digital converter with nonlinearity minimization | CIENA CORPORATION |
10965301 | Analog-digital converter, solid-state imaging element, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10965302 | Background static error measurement and timing skew error measurement for RF DAC | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10965303 | Data converter system with improved power supply accuracy and sequencing | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10965304 | N-bit hybrid structure analog-to-digital converter and integrated circuit chip including the same | RADIAWAVE TECHNOLOGIES CO., LTD. |
10965305 | Multi-phase sampling time-to-digital converter (TDC) for jitter measurement | QUALCOMM INCORPORATED |
10965306 | Successive approximation register analog-to-digital converter | -- |
10965307 | Signal processing apparatus, signal processing method, and program | SONY CORPORATION |
10965308 | Digital-to-analog converter, data processing system, base station, mobile device and method for generating an analog signal | INTEL CORPORATION |
10965309 | Digital delta-sigma modulator with dynamically adjustable output frequency band | RAYTHEON COMPANY |
10965310 | Loop delay compensation in a sigma-delta modulator | TEXAS INSTRUMENTS INCORPORATED |
10965311 | Low noise quantized feedback configuration | SILICONINTERVENTION INC. |
10965312 | Capacitance-to-digital converter and associated method having an extended measurement range | NOKIA TECHNOLOGIES OY |
10965313 | Receiver, sender, method for retrieving an additional datum from a signal and method for transmitting a datum and an additional datum in a signal | INFINEON TECHNOLOGIES AG |
10965314 | Compensation table compression method, display manufacturing apparatus, and memory | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10965315 | Data compression method | -- |
10965316 | Parallel Lempel-Ziv compression for highly-parallel computer architectures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10965317 | Method and system for accelerated stream processing | IP RESERVOIR, LLC |
10965318 | LDPC performance improvement using SBE-LBD decoding method and LBD collision reduction | HUGHES NETWORK SYSTEMS, LLC |
10965319 | Bit flipping algorithm for decoding LDPC-encoded data | PETAIO INC. |
10965320 | Transmission apparatus, transmission method, reception apparatus, and reception method | SONY CORPORATION |
10965321 | Transformation of binary data to non-binary data for storage in non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
10965322 | Polar code encoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10965323 | Transmission method and reception device | SONY CORPORATION |
10965324 | Memory controller, memory system, and memory control method | TOSHIBA MEMORY CORPORATION |
10965330 | Apparatuses and methods for tunable digital power amplifiers | UNIVERSITY OF UTAH RESEARCH FOUNDATION |
10965331 | Broad range voltage-controlled oscillator | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10965360 | Methods and apparatus related to beam refinement | QUALCOMM INCORPORATED |
10965382 | Oscillator for pulse communication with reduced startup latency | TEXAS INSTRUMENTS INCORPORATED |
10965383 | Zero hold time sampler for low voltage operation | QUALCOMM INCORPORATED |
10965397 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10965398 | Transmitter, receiver, and signal processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10965399 | Methods and apparatus for transmission and reception with polar codes | HUAWEI TECHNOLOGIES CO., LTD. |
10965401 | Receiver, transmitter, communication network, data signal and method improving a retransmission process in a communication network | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10965437 | Adaptive timing synchronization for reception for bursty and continuous signals | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10965438 | Signal receiving circuit, memory storage device and signal receiving method | -- |
10965442 | Low-power, low-latency time-to-digital-converter-based serial link | QUALCOMM INCORPORATED |
10965501 | Short link efficient interconnect circuitry | INTEL CORPORATION |
10965502 | Multipath filters | SKYWORKS SOLUTIONS, INC. |
10965506 | Data processing apparatus and method for use in an interleaver suitable for multiple operating modes | SONY CORPORATION |
10965728 | Method and system for aggregating and converting sensor data streams | TESLA, INC. |
10965744 | Arrangements for communicating data in a computing system using multiple processors | INTELLECTUAL PROPERTY SYSTEMS, LLC |
10965897 | Comparison device and CMOS image sensor including the same | SK HYNIX INC. |
10965927 | Transmitter, transmission method, receiver, and reception method | SATURN LICENSING LLC |
10966007 | Haptic output system | APPLE INC. |
10966015 | Automatic keyword pass-through system | STATON TECHIYA, LLC |
10966025 | Playback device pairing | SONOS, INC. |
10966046 | Spatial repositioning of multiple audio streams | CREATIVE TECHNOLOGY LTD |
10966100 | Remotely-controlled distributed antenna system for railroad tunnels employing software defined amplifiers | TUNNEL RADIO OF AMERICA, INC. |
10966118 | Channel encoding method and communication device | HUAWEI TECHNOLOGIES CO., LTD. |
10966291 | Power conversion apparatus and power conversion method | NETUREN CO., LTD. |
10966297 | System and method for multi-slope control of lighting intensity | ERP POWER, LLC |
10966302 | Dimmer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10967434 | Boring head with an electronic unit | BIG KAISER PRAZISIONSWERKZEUGE AG |
10967655 | Printer and control method for printer | SEIKO EPSON CORPORATION |
10969248 | Capacitive sensing and heating system for steering wheels or seats to sense presence of hand of occupant on steering wheel or occupant in seat | GENTHERM INC. |
10969249 | Capacitive sensing button on chip | CYPRESS SEMICONDUCTOR COPRORATION |
10969416 | System and method of duplicate circuit block swapping for noise reduction | SILICON LABORATORIES INC. |
10969420 | Test circuits for monitoring NBTI or PBTI | SAMSUNG ELECTRONICS CO., LTD. |
10969424 | Chip and performance monitoring method | -- |
10969430 | High precision time measurement apparatus | NOVELDA ASA |
10969746 | Magnetically compensated chip scale atomic clock | TEXAS INSTRUMENTS INCORPORATED |
10969806 | Intelligent voltage regulator | TAMIRAS PER PTE. LTD., LLC |
10969810 | Voltage regulator with virtual zero quiescent current | DIALOG SEMICONDUCTOR (UK) LIMITED |
10969814 | Bandgap reference voltage failure detection | TEXAS INSTRUMENTS INCORPORATED |
10969815 | Constant current circuit | ABLIC INC. |
10969820 | Methods for optimizing circuit performance via configurable clock skews | ALTERA CORPORATION |
10969823 | Methods and devices for counting a service duration for a spread clock signal and determining or generating an actual time duration | CONTINENTAL AUTOMOTIVE FRANCE |
10969834 | Sensor assemblies for electronic devices | APPLE INC. |
10969848 | System power monitor | ALPHA & OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10969910 | Variable size user input device for vehicle | FORD GLOBAL TECHNOLOGIES, LLC |
10970034 | Audio distributor selection | SONOS, INC. |
10970041 | Analog sorter | AT&T INTELLECTUAL PROPERTY I, L.P. |
10970162 | Feedback signaling error detection and checking in MIMO wireless communication systems | INTERDIGITAL TECHNOLOGY CORPORATION |
10970165 | Encoder and decoder for memory system and method thereof | SK HYNIX INC. |
10970166 | Memory system and method of controlling non-volatile memory | TOSHIBA MEMORY CORPORATION |
10970228 | Mapping table compression using a run length encoding algorithm | MICRON TECHNOLOGY, INC. |
10970267 | Preparing a hierarchical clustering data structure for compression | INTEL CORPORATION |
10970363 | Machine-learning optimization of data reading and writing | MICROSOFT TECHNOLOGY LICENSING, LLC |
10970470 | Compression of machine learned models | AMAZON TECHNOLOGIES, INC. |
10970627 | Time borrowing between layers of a three dimensional chip stack | XCELSIS CORPORATION |
10971080 | Differential difference amplifier circuit having variable transconductance | -- |
10971199 | Microcontroller for non-volatile memory with combinational logic | SANDISK TECHNOLOGIES LLC |
10971216 | SRAM configuration cell for low-power field programmable gate arrays | MICROSEMI SOC CORP. |
10971224 | High voltage switching circuitry for a cross-point array | UNITY SEMICONDUCTOR CORPORATION |
10971310 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10971377 | Semiconductor chip | MURATA MANUFACTURING CO., LTD. |
10971444 | Voltage noise reduction of power delivery networks for integrated circuits | INTEL CORPORATION |
10971445 | Comparison circuit including input sampling capacitor and image sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971459 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
10971466 | High frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10971577 | Adjustable multi-turn magnetic coupling device | -- |
10971612 | High electron mobility transistors and power amplifiers including said transistors having improved performance and reliability | CREE, INC. |
10971616 | Apparatus and circuits with dual threshold voltage transistors and methods of fabricating the same | -- |
10971771 | Battery heating system and control method thereof | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10971789 | Transmission-line filtering with enhanced frequency response | QUALCOMM INCORPORATED |
10971791 | Transmission line for high power tuners | -- |
10971919 | Electronic circuit-breaker for a load that can be connected to a low voltage DC-voltage network | DEHN SE + CO KG |
10971924 | Low-voltage protective switching device | EATON INTELLIGENT POWER LIMITED |
10971950 | Microprocessor controlled class E driver | THE ALFRED E. MANN FOUNDATION FOR SCIENTIFIC RESEARCH |
10972012 | Control circuit and control method of flyback converter | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10972024 | Motor driving device and method | -- |
10972049 | Oscillation apparatus | KABUSHIKI KAISHA TOSHIBA |
10972050 | Temperature- and voltage-independent oscillator circuit | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10972051 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10972052 | Method and apparatus for providing selective pre-signal amplifier, supply power conditioning | MOTOROLA MOBILITY LLC |
10972053 | Doherty power amplifier with integrated second harmonic injection | -- |
10972054 | Systems and methods for automatically biasing power amplifiers using a controllable current source | NXP USA, INC. |
10972055 | Integrated doherty power amplifier | SKYWORKS SOLUTIONS, INC. |
10972056 | Bias circuit and amplifying device with dual compensation | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10972057 | Single-phase differential conversion circuit, signal processing method for use therewith, and reception apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10972058 | Photodetector circuit | INTEGRATED DEVICE TECHNOLOGY, INC. |
10972059 | MEMS sensor | AMS INTERNATIONAL AG |
10972060 | Radio frequency power amplifier and power amplifier module | MURATA MANUFACTURING CO., LTD. |
10972061 | Class-D amplifier with multiple independent output stages | CIRRUS LOGIC, INC. |
10972062 | Class-D amplifier and method | -- |
10972063 | Amplifier systems for measuring a wide range of current | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
10972064 | Audio processing | SONY CORPORATION |
10972065 | Systems and methods for identifying and remediating sound masking | IZOTOPE, INC. |
10972066 | Laminated electronic component and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
10972067 | Filter and multiplexer | TAIYO YUDEN CO., LTD. |
10972068 | Process-invariant delay cell | QUALCOMM INCORPORATED |
10972069 | Radio-frequency module | MURATA MANUFACTURING CO., LTD. |
10972070 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
10972071 | Resonator device | MURATA MANUFACTURING CO., LTD. |
10972072 | Composite multiplexer | MURATA MANUFACTURING CO., LTD. |
10972073 | Multiplexer, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10972074 | Solid state relay | NEXPERIA B.V. |
10972075 | Active quadrature circuits for high frequency applications | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10972076 | Drive circuit for switch | DENSO CORPORATION |
10972077 | System and method of duplicate circuit block swapping for noise reduction | SILICON LABORATORIES INC. |
10972078 | Internal clock distortion calibration using DC component offset of clock signal | MICRON TECHNOLOGY, INC. |
10972079 | Common mode voltage level shifting and locking circuit | -- |
10972080 | Clock control in semiconductor system | SAMSUNG ELECTRONICS CO., LTD. |
10972081 | Delay cell | TEXAS INSTRUMENTS INCORPORATED |
10972082 | Method and apparatus for cross correlation | NPARBOR, INC. |
10972083 | Supply voltage decoupling circuits for voltage droop mitigation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10972084 | Circuit and methods for transferring a phase value between circuits clocked by non-synchronous clock signals | MICROCHIP TECHNOLOGY INC. |
10972085 | Phase interpolator, apparatus for phase interpolation, digital-to-time converter, and methods for phase interpolation | INTEL IP CORPORATION |
10972086 | Comparator low power response | TEXAS INSTRUMENTS INCORPORATED |
10972087 | System and method for limting currents in a power distribution system | GE AVIATION SYSTEMS LIMITED |
10972088 | Temperature detection of a power switch based on paired measurements of current and voltage | INFINEON TECHNOLOGIES AG |
10972089 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10972090 | Output stage circuit for transmitting data via bus | -- |
10972091 | Radio frequency switches with voltage equalization | NXP USA, INC. |
10972092 | Power-on reset circuit | TEXAS INSTRUMENTS INCORPORATED |
10972093 | Auxiliary circuit and power converter | -- |
10972094 | Operating circuit and control method | -- |
10972095 | Pulse width modulation buck converter | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10972096 | Electronic switch | NXP USA, INC. |
10972097 | Integrated optical switch | STMICROELECTRONICS SA |
10972098 | Tunable device including tunable member responsible to electric field applied thereto, transducer including the tunable device, and method of changing one of stiffness and damping coefficient of tuning the tunable device | -- |
10972099 | Touch keyboard having low noise interference | -- |
10972100 | Dial device | -- |
10972101 | Level shifters, memory systems, and level shifting methods | MICRON TECHNOLOGY, INC. |
10972102 | Interface circuit | MITSUBISHI ELECTRIC CORPORATION |
10972103 | Multiplier-accumulator circuitry, and processing pipeline including same | FLEX LOGIX TECHNOLOGIES, INC. |
10972104 | Superconducting logic components | PSIQUANTUM CORP. |
10972105 | Clock generation and correction circuit | SYNOPSYS, INC. |
10972106 | Phase and delay compensation circuit and method | MOVELLUS CIRCUITS, INC. |
10972107 | Serial data receiver with sampling clock skew compensation | APPLE INC. |
10972108 | Systems and methods for reduction of in-phase and quadrature-phase (IQ) clock skew | QUALCOMM INCORPORATED |
10972109 | Sub sampling phase locked loop (SSPLL) with wide frequency acquisition | APPLE INC. |
10972110 | Local oscillator frequency-based proximity sensor | WILIOT, LTD. |
10972111 | Phase-locked loop circuit | AMS AG |
10972112 | 50%-duty-cycle consecutive integer frequency divider and phase-locked loop circuit | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
10972113 | Systems with ADC circuitry and associated methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10972114 | Real-time waveforms averaging with controlled delays | GUZIK TECHNICAL ENTERPRISES |
10972115 | Methods and apparatus for calibrating a regulated charge sharing analog-to-digital converter (ADC) | MOVELLUS CIRCUITS, INC. |
10972116 | Time to digital converter and A/D conversion circuit | SEIKO EPSON CORPORATION |
10972117 | Differential clamp circuits with current recirculation | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10972118 | Successive-approximation analog-to-digital converter gain calibration using floating capacitors | SILICON LABORATORIES INC. |
10972119 | Regulated charge sharing analog-to-digital converter (ADC) apparatus and methods | MOVELLUS CIRCUITS, INC. |
10972120 | Monotonicity self-testing for analog-to-digital converters | SILICON LABORATORIES INC. |
10972121 | System and method for signal resampling | VIAVI SOLUTIONS INC. |
10972122 | Sensor arrangement | AMS INTERNATIONAL AG |
10972123 | Signal processing structure | DIALOG SEMICONDUCTOR B.V. |
10972124 | Remote downhole signal decoder and method for signal re-transmission | 5 BY 5, LLC |
10972125 | Storage access interface to an encoded storage system | ANACODE LABS, INC. |
10972126 | Data compression and storage | IMAGINATION TECHNOLOGIES LIMITED |
10972127 | Decoding system and decoding method | -- |
10972128 | Data processing device and data processing method | SATURN LICENSING LLC |
10972129 | Low density parity check code decoder and method for decoding LDPC code | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10972130 | Encoding method, decoding method, encoding apparatus, and decoding apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10972131 | Low density parity check encoder having length of 16200 and code rate of 2/15, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10972132 | Method and apparatus for rate-matching of polar codes | SAMSUNG ELECTRONICS CO., LTD. |
10972133 | Flag fault-tolerant error correction with arbitrary distance codes | MICROSOFT TECHNOLOGY LICENSING, LLC |
10972134 | Low-density parity-check (LPDC) coded modulation (LCM) with alignment of LDPC codewords and discrete multi-tone (DMT) symbol boundaries | -- |
10972135 | Apparatus and method for transmitting/receiving forward error correction packet in mobile communication system | SAMSUNG ELECTRONICS CO., LTD. |
10972139 | Wireless devices and systems including examples of compensating power amplifier noise with neural networks or recurrent neural networks | MICRON TECHNOLOGY, INC. |
10972216 | Polar code encoding method and device | HUAWEI TECHNOLOGIES CO., LTD. |
10972217 | Scheduling for low-density parity-check codes | QUALCOMM INCORPORATED |
10972248 | Method of calibrating clock phase and voltage offset, data recovery circuit performing the same and receiver including the same | SAMSUNG ELECTRONICS CO., LTD. |
10972250 | Device and method for correcting at least one transmission parameter | ROBERT BOSCH GMBH |
10972251 | Secure web browsing via homomorphic encryption | ENVEIL, INC. |
10972321 | Systems and methods for delta-sigma digitization | CABLE TELEVISION LABORATORIES, INC. |
10972691 | Dynamic vision sensor, electronic device and data transfer method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10972847 | Calibration method for hearing protection devices | HONEYWELL INTERNATIONAL INC. |
10972859 | Signal processing apparatus and method as well as program | SONY CORPORATION |
10973116 | 3D high-inductive ground plane for crosstalk reduction | INTEL CORPORATION |
10973119 | Electronic circuit board, acceleration sensor, inclinometer, inertial navigation device, structure monitoring device, and vehicle | SEIKO EPSON CORPORATION |
10973132 | Radio-frequency module and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10973320 | Wine bottle fixing device | -- |
10973448 | System, methods and apparatuses for in situ electrochemical imaging | THE GOVERNING COUNCIL OF THE UNIVERSITY OF TORONTO |
10975827 | Ignition control system with circulating-current control | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10976043 | LED lamp with lateral outline | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10976044 | LED lamp having lamp neck and heat sink | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10976257 | Pixel circuit and method for optical sensing | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10976356 | Voltage detector and signal output device | AUTONETWORKS TECHNOLOGIES, LTD. |
10976409 | Frequency-modulated continuous wave generator and frequency-modulated continuous wave radar system including the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10976460 | Device and method for detecting the approach and/or contact, and the pressure of an object in relation to a detection surface | FOGALE NANOTECH |
10976581 | Display device | SHARP KABUSHIKI KAISHA |
10976708 | Molecular atomic clock with wave propagating rotational spectroscopy cell | TEXAS INSTRUMENTS INCORPORATED |
10976709 | Latched gray code for ToF applications | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10976763 | Temperature drift compensation | TEXAS INSTRUMENTS INCORPORATED |
10976764 | Differential to single-ended high bandwidth compensator | INTEL CORPORATION |
10976765 | Current generating circuit generating a current relevant to a reference voltage | CHENGDU MONOLITHIC POWER SYSTEMS CO., LTD. |
10976834 | Light integrated sensing membrane | APPLE INC. |
10976994 | Audio apparatus | YAMAHA CORPORATION |
10977109 | Apparatus including safety logic | SAMSUNG ELECTRONICS CO., LTD. |
10977121 | Fast page continuous read | -- |
10977123 | Coordination protocol between dispersed storage processing units and rebuild modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977125 | Adaptive usage of irregular code schemas based on specific system level triggers and policies | WESTERN DIGITAL TECHNOLOGIES, INC. |
10977127 | Concatenating data objects in a vast data storage network | PURE STORAGE, INC. |
10977128 | Adaptive data loss mitigation for redundancy coding systems | AMAZON TECHNOLOGIES, INC. |
10977391 | Tamper detection and response deactivation technique | HAMILTON SUNDSTRAND CORPORATION |
10977462 | Detection of images in relation to targets based on colorspace transformation techniques and utilizing ultraviolet light | CAPITAL ONE SERVICES, LLC |
10977931 | Remote load control device capable of orientation detection | LUTRON TECHNOLOGY COMPANY LLC |
10977972 | Signal measurement circuit and measurement method therefor | HKC CORPORATION LIMITED |
10978121 | Voltage control magnetic random storage unit, memory and logic device composed thereby | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES |
10978163 | Voltage identifying method, memory controlling circuit unit and memory storage device | -- |
10978231 | Method and system for deactivating an acousto-magnetic label | SENSORMATIC ELECTRONICS, LLC |
10978411 | RF power package having planar tuning lines | INFINEON TECHNOLOGIES AG |
10978437 | Analog-mixed signal circuit cells with universal Fin pitch and poly pitch | QUALCOMM INCORPORATED |
10978445 | Electrostatic discharge protection circuit and semiconductor circuit | -- |
10978487 | Inverting circuit | STMICROELECTRONICS (CROLLES 2) SAS |
10978582 | Gate patterning for quantum dot devices | INTEL CORPORATION |
10978583 | Semiconductor devices having a plurality of unit cell transistors that have smoothed turn-on behavior and improved linearity | CREE, INC. |
10978639 | Circuits for reducing RF signal interference and for reducing DC power loss in phase-change material (PCM) RF switches | NEWPORT FAB, LLC |
10978784 | Electronic apparatus and information processing method | LENOVO (BEIJING) CO., LTD. |
10978955 | Nanosecond pulser bias compensation | EAGLE HARBOR TECHNOLOGIES, INC. |
10978995 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10978996 | Oscillator apparatus | TEXAS INSTRUMENTS INCORPORATED |
10978997 | Envelope tracking integrated circuit and related apparatus | QORVO US, INC. |
10978998 | Amplifying device and controlling method therefor | YAMAHA CORPORATION |
10978999 | Doherty radio frequency amplifier circuitry | QORVO US, INC. |
10979000 | Differential amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10979001 | Method and system for linearizing an amplifier using transistor-level dynamic feedback | -- |
10979002 | Current-limiting circuit for a power amplifier | QUALCOMM INCORPORATED |
10979003 | Increased audio power output amplifier configuration including fault tolerance suitable for use in alarm systems | HONEYWELL INTERNATIONAL INC. |
10979004 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10979005 | Current amplification circuitry and driving method thereof, and fingerprint detection device | BOE TECHNOLOGY GROUP CO., LTD. |
10979006 | Chopper-stabilized current feedback amplifier | TEXAS INSTRUMENTS INCORPORATED |
10979007 | Amplification device with isolation characteristics | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10979008 | Power amplifier | YAMAHA CORPORATION |
10979009 | Non-inverting differential amplifier with configurable common-mode output signal and reduced common-mode gain | HONEYWELL INTERNATIONAL INC. |
10979010 | Audio signal processing | CIRRUS LOGIC, INC. |
10979011 | Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications | AKOUSTIS, INC. |
10979012 | Single-flipped resonator devices with 2DEG bottom electrode | INTEL CORPORATION |
10979013 | Method of manufacturing piezoelectric thin film resonator on non-silicon substrate | SHANDONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10979014 | Voltage filter and power conversion device | HITACHI, LTD. |
10979015 | Common-mode choke coil | MURATA MANUFACTURING CO., LTD. |
10979016 | Broadband probes for impedance tuners | MAURY MICROWAVE, INC. |
10979017 | Layered body and saw device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10979018 | Focusing transformers/filters in isotropic/anisotropic piezoelectrics | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10979019 | Reconfigurable resonator devices, methods of forming reconfigurable resonator devices, and operations thereof | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10979020 | Acoustic wave filter device, multiplexer, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10979021 | System and method for a radio frequency filter | INFINEON TECHNOLOGIES AG |
10979022 | 5.2 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979023 | 5.9 GHz c-V2X and DSRC acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979024 | 5.2 GHz Wi-Fi coexistence acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979025 | 5G band n79 acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979026 | 5.5 GHz Wi-fi 5G coexistence acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979027 | Acoustic wave device, radio frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10979028 | Reduced temperature coefficient of frequency at filter transition band while retaining pass-band width | SKYWORKS SOLUTIONS, INC. |
10979029 | Multiplexer | MURATA MANUFACTURING CO., LTD. |
10979030 | System improving signal handling | -- |
10979031 | Clock generator with dual-path temperature compensation | SITIME CORPORATION |
10979032 | Time-programmable failsafe pulldown circuit for GaN switch | INFINEON TECHNOLOGIES AUSTRIA AG |
10979033 | Current-controlled oscillator | NXP USA, INC. |
10979034 | Method and apparatus for multi-voltage domain sequential elements | XILINX, INC. |
10979035 | Schmitt trigger inverter circuit | FUJI ELECTRIC CO., LTD. |
10979036 | Divider circuit | DIALOG SEMICONDUCTOR B.V. |
10979037 | Reverse current protection circuit | TEXAS INSTRUMENTS INCORPORATED |
10979038 | Methods and devices for in-phase and quadrature signal generation | GEORGIA TECH RESEARCH CORPORATION |
10979039 | Gate drive circuit | DENSO CORPORATION |
10979040 | Square wave generating method and square wave generating circuit | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10979041 | Method for monitoring gate drive signals for power module aging effects | HAMILTON SUNDSTRAND CORPORATION |
10979042 | DC-coupled high-voltage level shifter | PSEMI CORPORATION |
10979043 | Switching element control circuit and power module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10979044 | Chip reset via communication interface terminals | INFINEON TECHNOLOGIES AG |
10979045 | Transistor ringing adjustment circuit and method | TEXAS INSTRUMENTS INCORPORATED |
10979046 | Nurse call and interlock signaling for pillow speaker communication channels | CURBELL MEDICAL PRODUCTS, INC. |
10979047 | Touch panel switch device | FUTABA CORPORATION |
10979048 | Clock switching circuit and method | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10979049 | Logic buffer circuit and method | -- |
10979050 | Input/output circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10979051 | Level shifter with state reinforcement circuit | ALLEGRO MICROSYSTEMS, LLC |
10979052 | Level shifter circuit generating bipolar clock signals | TEXAS INSTRUMENTS INCORPORATED |
10979053 | Logic integrated circuit | NANOBRIDGE SEMICONDUCTOR, INC. |
10979054 | Coupling of combinational logic circuits for protection against side-channel attacks | -- |
10979055 | Adaptive aging tolerant apparatus | INTEL CORPORATION |
10979056 | Dithering for spur reduction in local oscillator generation | APPLE INC. |
10979057 | Delay lock loop and phase locking method thereof | -- |
10979058 | Detection device and detection method | SONY CORPORATION |
10979059 | Successive approximation register analog to digital converter based phase-locked loop with programmable range | CIENA CORPORATION |
10979060 | Digitally controllable oscillator with high accuracy | HUAWEI TECHNOLOGIES CO., LTD. |
10979061 | Analog-digital conversion device | DENSO CORPORATION |
10979062 | Data acquisition system-in-package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10979063 | Electronic circuit with a set of weighted capacitances | STMICROELECTRONICS (GRENOBLE 2) SAS |
10979064 | Analog to digital converter with inverter based amplifier | -- |
10979065 | Signal processing circuit, in-memory computing device and control method thereof | -- |
10979066 | Pipelined analog-to-digital converter having input signal pre-comparison and charge redistribution | NO. 24 RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
10979067 | Image pickup device, image pickup system, and moving apparatus | CANON KABUSHIKI KAISHA |
10979068 | Digital-to-analog converter | QUALCOMM INCORPORATED |
10979069 | Delta-sigma modulator with truncation error compensation and associated method | -- |
10979070 | Matrix compression accelerator system and method | TEXAS INSTRUMENTS INCORPORATED |
10979071 | Systems and methods for variable length codeword based, hybrid data encoding and decoding using dynamic memory allocation | CYBORG INC. |
10979072 | Punctured bit estimation and bit error rate estimation | WESTERN DIGITAL TECHNOLOGIES, INC. |
10979073 | Low density parity check encoder having length of 64800 and code rate of 7/15, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10979074 | Low density parity check encoder having length of 16200 and code rate of 3/15, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10979075 | Low density parity check encoder having length of 64800 and code rate of 3/15, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10979076 | Encoding method, encoding apparatus, and communications apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10979077 | Generating hamming weights for data | SEAGATE TECHNOLOGY LLC |
10979078 | Transmission method and reception device | SONY CORPORATION |
10979079 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 2/15 and quadrature phase shift keying, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10979080 | Data processing device and data processing method using low density parity check encoding for decreasing signal-to-noise power ratio | SATURN LICENSING LLC |
10979081 | Polar code interleaving and bit selection | -- |
10979082 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 2/15 and 256-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10979083 | Method of transmitting and receiving audio signals and apparatus thereof | LATTICE SEMICONDUCTOR CORPORATION |
10979084 | Method and apparatus for vector based LDPC base matrix usage and generation | NOKIA TECHNOLOGIES OY |
10979089 | Method and device for measuring antenna reflection coefficient | SAMSUNG ELECTRONICS CO., LTD. |
10979090 | Digital predistortion for advanced antenna system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10979091 | Reconfigurable wideband image suppressing receiver | ROCKWELL COLLINS, INC. |
10979095 | Method and apparatus for radio antenna frequency tuning | NXP USA, INC. |
10979096 | High-frequency front-end circuit | MURATA MANUFACTURING CO., LTD. |
10979102 | Near field communication device with voltage regulation comprising an integral controller and a variable shunt resistance | NORDIC SEMICONDUCTOR ASA |
10979106 | Multi-radio filtering front-end circuitry for transceiver systems | APPLE INC. |
10979124 | Forward error correction code selection in wireless systems | AT&T INTELLECTUAL PROPERTY I, L.P. |
10979150 | Method of testing RF integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
10979172 | Transmission apparatus, transmission method, reception apparatus, and reception method using LDPC coding | SONY CORPORATION |
10979173 | Communication method and communication device | PANASONIC CORPORATION |
10979174 | Transmitter and method for generating additional parity thereof | SAMSUNG ELECTRONICS CO., LTD. |
10979175 | Forward error correction for streaming data | SONY INTERACTIVE ENTERTAINMENT LLC |
10979177 | Method for identifying data corruption in a data transfer over an error-proof communication link | SIEMENS AKTIENGESELLSCHAFT |
10979209 | System, method, and apparatus for mapping synchronous and asynchronous data | ACACIA COMMUNICATIONS, INC. |
10979210 | Synchronization headers for serial data transmission with multi-level signaling | XILINX, INC. |
10979213 | Blockchain compression using summary and padding blocks | VERIZON MEDIA INC. |
10979252 | Dynamic transmitter processing modification | TEXAS INSTRUMENTS INCORPORATED |
10979254 | Systems and methods for focused blind deconvolution | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10979256 | Receiving circuits and methods for increasing bandwidth | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
10979260 | High spectral efficiency zero bandwidth modulation process without side bands | NEW DELHI |
10979270 | Scalable synthesis of signals of high symbol rate using lower symbol rate DSPS | INFINERA CORPORATION |
10979272 | Method for improving transit time and/or phase measurement | LAMBDA:4 ENTWICKLUNGEN GMBH |
10979275 | Link adaptation method using a polar modulation constellation | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10979277 | Carrier frequency recovery in a receiver | TEXAS INSTRUMENTS INCORPORATED |
10979278 | Method, device for compensating imbalance between I path and Q path of receiver, and non-transitory computer readable storage medium | RADIAWAVE TECHNOLOGIES CO., LTD. |
10979520 | Data transmission method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10979661 | Analog-to-digital conversion circuit, image sensor and analog-to-digital conversion method | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10979701 | Image sensor with test circuit | SAMSUNG ELECTRONICS CO., LTD. |
10979757 | Synchronization with synthesized audio clock | ROKU, INC. |
10979834 | Audio signal control circuit, audio system, and method of controlling audio signal | YAMAHA CORPORATION |
10979847 | Method and apparatus for automated tuning of vehicle sound system | STILLWATER DESIGNS AND AUDIO, INC. |
10980104 | Clamping spring design to apply clamping force to SMT power amplifier device | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA |
10980106 | Apparatus related to conformal coating implemented with surface mount devices | SKYWORKS SOLUTIONS, INC. |
10980109 | Printed circuit board and switching power supply | ROHM CO., LTD. |
10980117 | Mid-plane board for coupling multiple circuit frames in a circuit emulator engine | CADENCE DESIGN SYSTEMS, INC. |
10981524 | Electronic control unit | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10982785 | Circuit for controlling the current in inductive loads and control method therefor | STMICROELECTRONICS S.R.L. |
10982959 | Fused sensor ensemble for navigation and calibration process therefor | THE CHARLES STARK DRAPER LABORATORY, INC. |
10982975 | Duty cycle for inductive position sensors | KSR IP HOLDINGS, LLC |
10982977 | Pulse signal generator and angle detection system including the same | RENESAS ELECTRONICS CORPORATION |
10983139 | Levitated charged nanoparticles as a radio frequency transducer | GOVERNMENT OF THE UNITED STATES, AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10983141 | Excitation circuit, system including excitation circuit and capacitive transducer, and method | NXP USA, INC. |
10983151 | Resistive-sensor interface | STMICROELECTRONICS S.R.L. |
10983174 | Direct-current electricity leakage detection device and electricity leakage detection device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10983324 | Light detecting apparatus and laser-scanning microscope | OLYMPUS CORPORATION |
10983443 | Control device for actuating an actuator unit of a lithography system, lithography system having a control device, and method for operating the control device | CARL ZEISS SMT GMBH |
10983543 | Method and circuits to provide higher supply voltage for analog components from lower supply voltages | ANALOG BITS INC. |
10983544 | Output circuit | SOCIONEXT INC. |
10983545 | Voltage control circuit and voltage control method | -- |
10983600 | Electronic devices with fabric buttons | APPLE INC. |
10983610 | Electronic pen and coordinate input apparatus | WACOM CO., LTD. |
10983633 | Key detecting method and apparatus | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10983638 | Multi-stage capacitive sensor | JOHNSON ELECTRIC INTERNATIONAL AG |
10983642 | Using driven shield and touch elements lock algorithm for achieving liquid tolerant capacitive touch solution | TEXAS INSTRUMENTS INCORPORATED |
10983717 | Uninterrupted block-based restore using a conditional construction container | EMC IP HOLDING COMPANY LLC |
10983730 | Adapting resiliency of enterprise object storage systems | SYNAMEDIA LIMITED |
10983757 | Nanomaterial-based true random number generator | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10983858 | Data writing method, memory control circuit unit and memory storage device | -- |
10983859 | Adjustable error correction based on memory health in a storage unit | PURE STORAGE, INC. |
10983915 | Flexible dictionary sharing for compressed caches | ADVANCED MICRO DEVICES, INC. |
10984018 | System, methods, and media for compressing non-relational database objects | REDIS LABS LTD |
10984300 | Dual frequency transceiver device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10984335 | Superconducting interposer for the transmission of quantum information for quantum error correction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984336 | Superconducting clock conditioning system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10984500 | Inline image preprocessing for convolution operations using a matrix multiplier on an integrated circuit | XILINX, INC. |
10984693 | Display device and shutdown control method thereof | -- |
10984696 | Gate on array circuit and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10984699 | Liquid crystal panel drive circuit and liquid crystal display apparatus | DENSO CORPORATION |
10984732 | Electronic devices having ambient light sensors with hold function | APPLE INC. |
10984886 | Reduced footprint fuse circuit | MICRON TECHNOLOGY, INC. |
10984938 | Magnetoresistance effect device | TDK CORPORATION |
10984985 | RF impedance matching network | RENO TECHNOLOGIES, INC. |
10984986 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
10985119 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10985123 | Semiconductor apparatus | MURATA MANUFACTURING CO., LTD. |
10985141 | Semiconductor device having stacked chips | TOSHIBA MEMORY CORPORATION |
10985154 | Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits | -- |
10985183 | Butted body contact for SOI transistor | PSEMI CORPORATION |
10985192 | Display driver semiconductor device and manufacturing method thereof | KEY FOUNDRY., LTD. |
10985269 | Two-dimensional electron gas (2DEG)-confined devices and methods | STMICROELECTRONICS S.R.L. |
10985307 | Cryogenic transmitter | SK HYNIX INC. |
10985435 | Tunable probe for high-performance cross-coupled RF filters | THE BOEING COMPANY |
10985441 | Radio frequency filter module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10985546 | Low-loss and fast acting solid-state breaker | EXCELITAS TECHNOLOGIES CORP. |
10985548 | Circuit interrupter with optical connection | INTELESOL, LLC |
10985563 | Hybrid energy storage modules for pulsed power effectors with medium voltage direct current (MVDC) power distribution | RAYTHEON COMPANY |
10985592 | Dynamic regulation of wireless charging system | NXP B.V. |
10985665 | Voltage sensing of an active clamp switching power converter circuit using an auxiliary winding having a same polarity as a primary winding | HUAYUAN SEMICONDUCTOR (SHENZHEN) LIMITED COMPANY |
10985673 | Parallel synchronized operation for a half-bridge configuration in a switch mode power supply | RENESAS ELECTRONICS AMERICA INC. |
10985697 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10985698 | Differential electro-mechanical oscillating circuits and related methods | ANALOG DEVICES, INC. |
10985699 | Differential constructive wave oscillator device | NORTH CAROLINA A&T STATE UNIVERSITY |
10985700 | Piezoelectric resonance controlled terahertz wave modulators | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10985701 | Magnetic flux bias for pulse shaping of microwave signals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985702 | Envelope tracking system | QORVO US, INC. |
10985703 | Fast envelope tracking systems for power amplifiers | SKYWORKS SOLUTIONS, INC. |
10985704 | Amplifier circuit and transmitting device | KABUSHIKI KAISHA TOSHIBA |
10985705 | Pre-distortion processing method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10985706 | Hysteresis comparator | ARTERY TECHNOLOGY CO., LTD. |
10985707 | Active limiting system | ASELSAN ELEKTRONIK SANAYI VE TICARET ANONIM SIRKETI |
10985708 | Time gain compensation circuit in an ultrasound receiver | TEXAS INSTRUMENTS INCORPORATED |
10985709 | Systems and methods for suppressing and mitigating harmonic distortion in a circuit | -- |
10985710 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
10985711 | Wide bandwidth envelope trackers | SKYWORKS SOLUTIONS, INC. |
10985712 | Power amplification module | MURATA MANUFACTURING CO., LTD. |
10985713 | Power amplifier with a tracking power supply | APEX MICROTECHNOLOGY, INC. |
10985714 | Amplifying apparatus | -- |
10985715 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10985716 | Audio processing device and method for controlling audio processing device | YAMAHA CORPORATION |
10985717 | Multi-level class D audio power amplifiers | INFINEON TECHNOLOGIES AUSTRIA AG |
10985718 | Methods and apparatus for an amplifier integrated circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10985719 | Electronic module and power module | MITSUBISHI ELECTRIC CORPORATION |
10985720 | Capacitive amplifier circuit with high input common mode voltage and method for using the same | ALLEGRO MICROSYSTEMS, LLC |
10985721 | Switched capacitor amplifier circuit, voltage amplification method, and infrared sensor device | LAPIS SEMICONDUCTOR CO., LTD. |
10985722 | Amplifying signals using compensating impedances to improve return loss and mismatch over gain modes | SKYWORKS SOLUTIONS, INC. |
10985723 | Electronic device and method for receiving a radio signal, integrated circuit implementing such a device | FAURECIA CLARION ELECTRONICS EUROPE |
10985724 | Transformer-based wideband filter with ripple reduction | APPLE INC. |
10985725 | On-chip diplexer | -- |
10985726 | Transversely excited film bulk acoustic resonator with recessed interdigital transducer fingers | RESONANT INC. |
10985727 | Piezoelectric vibrator | MURATA MANUFACTURING CO., LTD. |
10985728 | Transversely-excited film bulk acoustic resonator and filter with a uniform-thickness dielectric overlayer | RESONANT INC. |
10985729 | BAW resonator based pressure sensor | TEXAS INSTRUMENTS INCORPORATED |
10985730 | Filter devices having high power transversely-excited film bulk acoustic resonators | RESONANT INC. |
10985731 | Acoustic resonator structure | QORVO US, INC. |
10985732 | 5.6 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10985733 | Transmission-line-based radio-frequency switching | SKYWORKS SOLUTIONS, INC. |
10985734 | Radio frequency switch | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10985735 | Impedance matching device and impedance matching method | DAIHEN CORPORATION |
10985736 | Device and method for power supply management | STMICROELECTRONICS S.R.L. |
10985737 | High-speed low-power level-shifting clock buffer | QUALCOMM INCORPORATED |
10985738 | High-speed level shifter | MICRON TECHNOLOGY, INC. |
10985739 | Quantum controller with multiple pulse modes | QUANTUM MACHINES |
10985740 | High voltage nanosecond pulser with variable pulse width and pulse repetition frequency | EAGLE HARBOR TECHNOLOGIES, INC. |
10985741 | Phononic frequency synthesizer | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10985742 | Operation method of signal receiver, pulse width controller, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10985743 | Low-power-consumption high-speed zero-current switch | XI'AN JIAOTONG UNIVERSITY |
10985744 | Apparatus with integrated protection profile and method | INFINEON TECHNOLOGIES AG |
10985745 | Drivers for power semiconductor switches using device feedback | EATON INTELLIGENT POWER LIMITED |
10985746 | Transducer driver enhancement with intelligent threshold selection within non-overlap generator | CIRRUS LOGIC, INC. |
10985747 | Robust safe switch | -- |
10985748 | Drive voltage booster | APPLE INC. |
10985749 | Integrated circuit and semiconductor device | FUJI ELECTRIC CO., LTD. |
10985750 | Method for biasing a differential pair of transistors, and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10985751 | Determining and compensating power transistor delay in parallel half bridge legs | ABB SCHWEIZ AG |
10985752 | Hybrid drive circuit | -- |
10985753 | Apparatuses and methods for providing bias signals in a semiconductor device | MICRON TECHNOLOGY, INC. |
10985754 | Input/output circuit and electronic device including the same | DB HITEK CO., LTD. |
10985755 | Intelligent lighting control system pre-mount chassis apparatuses, systems, and methods | RACEPOINT ENERGY, LLC |
10985756 | Thin film door switch with integrated lighting | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10985757 | Dynamic impedance control for input/output buffers | CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC. |
10985758 | Random code generator with floating gate transistor type memory cell | -- |
10985759 | Apparatuses and methods involving a segmented source-series terminated line driver | NXP B.V. |
10985760 | Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells | -- |
10985761 | Fractional divider | SYNOPSYS, INC. |
10985762 | Compensating for frequency variation of a crystal oscillator and related systems, methods and devices | MICROCHIP TECHNOLOGY INCORPORATED |
10985763 | Square wave-to-sine wave converter | TEXAS INSTRUMENTS INCORPORATED |
10985764 | Phase detector offset to resolve CDR false lock | XILINX, INC. |
10985765 | Apparatus including safety logic | SAMSUNG ELECTRONICS CO., LTD. |
10985766 | Phase locked loop circuit with oscillator signal based on switched impedance network | SITIME CORPORATION |
10985767 | Phase-locked loop circuitry having low variation transconductance design | AMS AG |
10985768 | Ultra-high speed digital-to-analog (DAC) conversion methods and apparatus having sub-DAC systems for data interleaving and power combiner with no interleaving | JARIET TECHNOLOGIES, INC. |
10985769 | Transceiver with in-phase and quadrature-phase coupling correction | TEXAS INSTRUMENTS INCORPORATED |
10985770 | Operation method with reducing quantization error and circuit thereof | -- |
10985771 | Method of calibrating capacitive array of successive approximation register analog-to-digital converter | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10985772 | Semiconductor integrated circuit, A/D converter, delta sigma-type A/D converter, incremental delta sigma-type A/D converter, and switched capacitor | KABUSHIKI KAISHA TOSHIBA |
10985773 | Analog to digital converting device and capacitor adjusting method thereof | -- |
10985774 | Delta-sigma modulator and analog-to-digital converter including the same | GWANAK ANALOG CO., LTD. |
10985775 | System and method of combinatorial hypermap based data representations and operations | KYNDI, INC. |
10985776 | Guaranteed data compression using intermediate compressed data | IMAGINATION TECHNOLOGIES LIMITED |
10985777 | Signal recovery via deep convolutional networks | WILLIAM MARSH RICE UNIVERSITY |
10985778 | Verifying the correctness of a deflate compression accelerator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985779 | Method and system for decoding data using compressed channel output information | POLARAN HABERLESME TEKNOLOJILERI ANONIM SIRKETI |
10985780 | Error correction circuit, and memory controller having the error correction circuit and memory system having the memory controller | SK HYNIX INC. |
10985781 | Error correction circuit, operating method thereof and data storage device including the same | SK HYNIX INC. |
10985782 | Data processing device and data processing method | SATURN LICENSING LLC |
10985783 | Correction device | -- |
10985785 | Duplexer and front-end circuit | MURATA MANUFACTURING CO., LTD. |
10985788 | Full duplex transmission arrangement | NOKIA SOLUTIONS AND NETWORKS OY |
10985793 | Tunable RF frontend | QUALCOMM INCORPORATED |
10985794 | Radio-frequency switch and communication device | MURATA MANUFACTURING CO., LTD. |
10985795 | Switch arrangement | NXP B.V. |
10985803 | Device for receiving PLC signals | SAGEMCOM ENERGY & TELECOM SAS |
10985809 | Compression of radio signals with adaptive mapping | AT&T INTELLECTUAL PROPERTY I, L.P. |
10985870 | Method and device for transmitting and receiving packet in communication system | SAMSUNG ELECTRONICS CO., LTD. |
10985871 | Method to generate ordered sequence for polar codes | HUAWEI TECHNOLOGIES CO., LTD. |
10985873 | CRC bits for information transmission method and device | HUAWEI TECHNOLOGIES CO., LTD. |
10985914 | Key generation device and key generation method | FUJITSU LIMITED |
10985928 | Circuit device, oscillation device, physical quantity measuring device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10985951 | Integrating Volterra series model and deep neural networks to equalize nonlinear power amplifiers | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY |
10985965 | System and method for digital memorized predistortion for wireless communication | DALI WIRELESS, INC. |
10986005 | Technologies for dynamically managing resources in disaggregated accelerators | INTEL CORPORATION |
10986014 | Monitoring system and non-transitory computer-readable recording medium storing monitoring program | KYOCERA DOCUMENT SOLUTIONS INC. |
10986033 | Systems and methods for signal acquisition and visualization | BIOSIG TECHNOLOGIES, INC. |
10986697 | Frame structure aware compression for multi-input multi-output (MIMO) systems | HUAWEI TECHNOLOGIES CO., LTD. |
10986708 | Dynamic driver voltage headroom adjustment | TEXAS INSTRUMENTS INCORPORATED |
10986712 | Controllable driver and drive method to connect an electronic ballast to an LED light source based on the model, type, or identity of the ballast | SIGNIFY HOLDING B.V. |
10986866 | Electronic cigarette liquid supply device and method | CHINA TOBACCO YUNNAN INDUSTRIAL CO., LTD |
10989758 | System and method for temporal signal measurement of device under test (DUT) and method of forming system | AEM SINGAPORE PTE. LTD. |
10989776 | Magnetic coil power methods and apparatus | HYPERFINE RESEARCH, INC. |
10989813 | Distance measurement apparatus | DENSO CORPORATION |
10990215 | Integrating circuit and capacitance sensing circuit | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10990228 | Input device with an array of force sensors in a film layer structure with improved durability and simplified production | PREH GMBH |
10990280 | Smart keyboard | PARALLELS INTERNATIONAL GMBH |
10990283 | Proactive data rebuild based on queue feedback | PURE STORAGE, INC. |
10990309 | Technologies for coordinating disaggregated accelerator device resources | INTEL CORPORATION |
10990327 | Storage device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10990347 | ATV mobile stereo insert | -- |
10990389 | Bit string operations using a computing tile | MICRON TECHNOLOGY, INC. |
10990478 | Flexible reliability coding for storage on a network | FUNGIBLE, INC. |
10990529 | Multi-power-domain bridge with prefetch and write merging | TEXAS INSTRUMENTS INCORPORATED |
10990626 | Data storage and retrieval system using online supervised hashing | TRUSTEES OF BOSTON UNIVERSITY |
10990660 | Device and methods for authenticating a user equipment | POLITECNICO DI TORINO |
10991416 | Capacitance-based compensation circuitry | MICRON TECHNOLOGY, INC. |
10991553 | Nanosecond pulser thermal management | EAGLE HARBOR TECHNOLOGIES, INC. |
10991642 | Integrated circuit, and motor device including the same | JOHNSON ELECTRIC INTERNATIONAL AG |
10991661 | Radio-frequency isolation using backside cavities | SKYWORKS SOLUTIONS, INC. |
10991662 | Isolation cavities in semiconductor devices | SKYWORKS SOLUTIONS, INC. |
10991686 | Super CMOS devices on a microelectronics system | SCHOTTKY LSI, INC. |
10991872 | Bulk acoustic wave resonator | SAMSUNG ELECTRONICS CO., LTD. |
10992124 | Short circuit protection circuit for semiconductor switching element | MITSUBISHI ELECTRIC CORPORATION |
10992125 | Protective device and fieldbus module comprising a protective device | BECKHOFF AUTOMATION GMBH |
10992137 | Mitigation of alternating current in pipelines | DNV GL USA, INC. |
10992181 | Power transmitting apparatus, power receiving apparatus, control apparatus, and wireless power transfer system | KABUSHIKI KAISHA TOSHIBA |
10992225 | Charge pump circuit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10992226 | Startup detection for parallel power converters | PSEMI CORPORATION |
10992244 | Load driving circuit | ROHM CO., LTD. |
10992258 | Oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10992259 | Oscillator | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
10992260 | Oscillator device | NIHON DEMPA KOGYO CO., LTD. |
10992261 | Semiconductor integrated circuitry | SOCIONEXT INC. |
10992262 | Oscillator circuit, device, and method | -- |
10992263 | High frequency yttrium iron garnet oscillator as well as method of manufacturing a high frequency yttrium iron garnet oscillator | ROHDE & SCHWARZ GMBH & CO. KG |
10992264 | Envelope tracking circuit and related apparatus | QORVO US, INC. |
10992265 | Multi-stage pulse shaping network | ETA WIRELESS, INC. |
10992266 | Cascode amplifier bias | -- |
10992267 | Power amplifier module, frontend circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10992268 | Radio frequency amplification device capable of detecting the frequency band | -- |
10992269 | Compound semiconductor device with high power and reduced off-leakage and method for manufacturing the same | FUJITSU LIMITED |
10992270 | Power amplifier apparatus supporting reverse intermodulation product cancellation | QORVO US, INC. |
10992271 | Amplifier with input bias current cancellation | TEXAS INSTRUMENTS INCORPORATED |
10992272 | High-frequency module with connection interface | TESAT-SPACECOM GMBH & CO. KG |
10992273 | Electronic device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10992274 | Audio signal processing | CIRRUS LOGIC, INC. |
10992275 | Automatic gain control circuit of transimpedance amplifier | XIAMEN UX HIGH-SPEED IC CO., LTD. |
10992276 | Metadata for ducking control | APPLE INC. |
10992277 | Variable gain amplifier with embedded equalization for uniform tuning | QUALCOMM INCORPORATED |
10992278 | Multi-stage LNA with reduced mutual coupling | INNOPHASE INC. |
10992279 | Structure and method of manufacture for acoustic resonator or filter devices using improved fabrication conditions and perimeter structure modifications | AKOUSTIS, INC. |
10992280 | Wireless communication apparatus | LAPIS SEMICONDUCTOR CO., LTD. |
10992281 | Bulk acoustic wave resonator and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10992282 | Transversely-excited film bulk acoustic resonators with electrodes having a second layer of variable width | RESONANT INC. |
10992283 | High power transversely-excited film bulk acoustic resonators on rotated Z-cut lithium niobate | RESONANT INC. |
10992284 | Filter using transversely-excited film bulk acoustic resonators with multiple frequency setting layers | RESONANT INC. |
10992285 | Group delay compensation filter | FUJITSU LIMITED |
10992286 | Signal switching systems and modules and devices using same | SKYWORKS SOLUTIONS, INC. |
10992287 | Adaptive harmonic cancellation | ROCKWELL COLLINS, INC. |
10992288 | Oscillator device | TDK CORPORATION |
10992289 | Dynamic flip flop and electronic device | -- |
10992290 | Level shifter and semiconductor device including the same and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10992291 | True random number generator based on voltage-controlled oscillator | NINGBO UNIVERSITY |
10992292 | Electronic persistent switch | ARRIS ENTERPRISES LLC |
10992293 | Device with isolation barrier and fault detection | TEXAS INSTRUMENTS INCORPORATED |
10992294 | Driver device | ROHM CO., LTD. |
10992295 | Controlling a high-side switching element using a bootstrap capacitor | RENESAS ELECTRONICS CORPORATION |
10992296 | Circuit arrangement for the temperature-dependent actuation of a switching element | ROBERT BOSCH GMBH |
10992297 | Device comprising force sensors | CIRRUS LOGIC, INC. |
10992298 | Method and system for providing wireless FPGA programming download via a wireless communication block | GOWIN SEMICONDUCTOR CORPORATION |
10992299 | Method and system for providing word addressable nonvolatile memory in a programmable logic device | GOWIN SEMICONDUCTOR CORPORATION |
10992300 | Oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10992301 | Circuit and method for generating temperature-stable clocks using ordinary oscillators | MICROSEMI SEMICONDUCTOR ULC |
10992302 | Detector circuit | UNIVERSITY COLLEGE DUBLIN, NATIONAL UNIVERSITY OF IRELAND |
10992303 | Low-power, low-noise millimeter wavelength frequency synthesizer | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10992304 | Methods and apparatuses for digitally controlled oscillator frequency resolution control | NXP USA, INC. |
10992305 | Initialization method for precision phase adder | BLUE DANUBE SYSTEMS, INC. |
10992306 | Oscillation circuit and a self-start-up control circuit adaptable thereto | -- |
10992307 | Frequency signal generation apparatus and frequency signal generation system | SEIKO EPSON CORPORATION |
10992308 | In-situ measurement of the delay between the envelope and the RF signal in envelope-tracking systems | QUALCOMM INCORPORATED |
10992309 | Analog-to-digital converter including delay circuit and compensator, image sensor including the analog-to-digital converter, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10992310 | Receiver for a telecommunication system | HUAWEI TECHNOLOGIES CO., LTD. |
10992311 | Delta-sigma AD converter and delta-sigma AD converting method | ASAHI KASEI MICRODEVICES CORPORATION |
10992312 | Semiconductor device and operating method of matching hardware resource to compression/decompression algorithm | SAMSUNG ELECTRONICS CO., LTD. |
10992313 | Reading and writing compressed data using long-term storage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10992314 | Residue number systems and methods for arithmetic error detection and correction | OLSEN IP RESERVE, LLC |
10992315 | Bit block stream bit error detection method and device | HUAWEI TECHNOLOGIES CO., LTD. |
10992316 | Zero padding apparatus for encoding fixed-length signaling information and zero padding method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10992317 | Low density parity check encoder having length of 64800 and code rate of 5/15, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10992318 | Coding method and apparatus, and device | HUAWEI TECHNOLOGIES CO., LTD. |
10992319 | Code word generating method, erroneous bit determining method, and circuits thereof | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10992320 | Communication method and system with on demand temporal diversity | X DEVELOPMENT LLC |
10992321 | Data processing device and data processing method | SATURN LICENSING LLC |
10992322 | Data storage system and associated method for saving storage space and eliminating data errors | -- |
10992323 | Early decoding termination for a memory sub-system | MICRON TECHNOLOGY, INC. |
10992325 | Open cavity system for directed amplification of acoustic signals | ELWHA LLC |
10992327 | Wireless radio frequency communication system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
10992329 | Signal processing systems and methods | SUREWAVE TECHNOLOGY LIMITED |
10992332 | Radio frequency signal boosters for vehicles | CELLPHONE-MATE, INC. |
10992334 | Radio frequency switches with controllable resonant frequency | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10992390 | Circuit for multiplexing MON pin of receiver optical sub-assembly for optical communication | XIAMEN UX HIGH-SPEED IC CO., LTD. |
10992415 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10992416 | Forward error correction with compression coding | CIENA CORPORATION |
10992418 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
10992446 | Switched amplifier for data transmission | ARRIS ENTERPRISES LLC |
10992447 | High-speed interface apparatus and deskew method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10992450 | Signal processing method and signal analysis module | ROHDE & SCHWARZ GMBH & CO. KG |
10992501 | Eye monitor for parallelized digital equalizers | CREDO TECHNOLOGY GROUP LIMITED |
10992508 | NR broadcast channel transmission | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10992719 | Systems and methods for establishing a voice link between users accessing media | ROVI GUIDES, INC. |
10992754 | Automatic report rate optimization for sensor applications | MICROSOFT TECHNOLOGY LICENSING, LLC |
10992766 | Adaptive compression of stored data | CHICAGO MERCANTILE EXCHANGE INC. |
10992893 | Method and system for generating a ramping signal | CISTA SYSTEM CORP. |
10993027 | Audio system controller based on operating condition of amplifier | GOODIX TECHNOLOGY (HK) COMPANY LIMITED |
10993064 | Apparatus and associated methods for presentation of audio content | NOKIA TECHNOLOGIES OY |
10993758 | Uncalibrated thermocouple system | BIOSENSE WEBSTER (ISRAEL) LTD. |
10993761 | Electrosurgical apparatus with real-time RF tissue energy control | COVIDIEN LP |
10995373 | Systems and methods for writing, reading, and controlling data stored in a polymer | IRIDIA, INC. |
10996086 | System and method for mems sensor system synchronization | INVENSENSE, INC. |
10996115 | Semiconductor memory device and method having temperature sensing circuit and count value for adjusting circuit operations | SAMSUNG ELECTRONICS CO., LTD. |
10996178 | Analog signal isolator | TEKTRONIX, INC. |
10996198 | Integrated circuit sensor and sensor substrate | SHARP KABUSHIKI KAISHA |
10996250 | Audio spectrum analyzer and method of arranging resonators included therein | SAMSUNG ELECTRONICS CO., LTD. |
10996272 | One-shot circuit | TERADYNE, INC. |
10996284 | Noise generation circuit, self-checking circuit, AFCI, and photovoltaic power generation system | HUAWEI TECHNOLOGIES CO., LTD. |
10996289 | Coil actuated position sensor with reflected magnetic field | ALLEGRO MICROSYSTEMS, LLC |
10996294 | MRI apparatus and RF amplification circuit | CANON MEDICAL SYSTEMS CORPORATION |
10996351 | Pulse shaper | KONINKLIJKE PHILIPS N.V. |
10996495 | High-rate high-swing drive circuit applied to silicon photonic modulator | HUAWEI TECHNOLOGIES CO., LTD. |
10996634 | System and method for fast-converging digital-to-time converter (DTC) gain calibration for DTC-based analog fractional-N phase lock loop (PLL) | SAMSUNG ELECTRONICS CO., LTD. |
10996694 | Regulators with offset voltage cancellation | MICRON TECHNOLOGY, INC. |
10996696 | Power supply circuit, power supply device, and vehicle | ROHM CO., LTD. |
10996697 | Switched capacitor biasing circuit | QORVO INTERNATIONAL PTE. LTD. |
10996698 | Output circuit | -- |
10996699 | Low drop-out (LDO) voltage regulator circuit | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
10996700 | Fast response linear regulator with bias current control and overshoot and undershoot suppression | -- |
10996701 | Power converter having fast transient response | -- |
10996709 | Low power clock gate circuit | INTEL CORPORATION |
10996730 | Electronic device including power supply and method to be executed by electronic device | RICOH COMPANY, LTD. |
10996736 | Wireless capacitive presence detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997016 | Method of encoding data | INTEL CORPORATION |
10997017 | Neighbor assisted correction error recovery for memory system and method thereof | SK HYNIX INC. |
10997020 | Memory device, memory system, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10997021 | LDPC decoder, semiconductor memory system and operating method thereof | SK HYNIX INC. |
10997023 | Processing a request for encoded data in a storage network | PURE STORAGE, INC. |
10997024 | Method and system utilizing quintuple parity to provide fault tolerance | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
10997095 | Training procedure for receivers associated with a memory device | MICRON TECHNOLOGY, INC. |
10997322 | Efficient power distribution | ARM LIMITED |
10997520 | Single-cycle operations using controllably mediated exchange-type interactions between qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997887 | Signal adjustment method, signal adjustment circuit and image processing circuit | BOE TECHNOLOGY GROUP CO., LTD. |
10997961 | Acoustic lens and production method thereof, and acoustic wave probe | NISSHINBO HOLDINGS INC. |
10997978 | Personalized sound management and method | STATON TECHIYA LLC |
10998020 | Memory system and memory access interface device thereof | -- |
10998070 | Shift register with reduced wiring complexity | GOOGLE LLC |
10998123 | Balun and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
10998265 | Interface structures and methods for forming same | INVENSAS BONDING TECHNOLOGIES, INC. |
10998276 | Integrated circuit | INFINEON TECHNOLOGIES AG |
10998280 | Balance-unbalance converter and semiconductor integrated circuit having the same | ANRITSU CORPORATION |
10998307 | CMOS analog circuits having a triode-based active load | XILINX, INC. |
10998367 | Image sensor and image-capturing apparatus | NIKON CORPORATION |
10998711 | Circuit breaker for DC circuits using coupled induction | CLEMSON UNIVERSITY RESEARCH FOUNDATION |
10998712 | Power supply control apparatus having a wire protection unit | AUTONETWORKS TECHNOLOGIES, LTD. |
10998720 | T-coil enhanced ESD protection with passive equalization | QUALCOMM INCORPORATED |
10998767 | Power transmission device and non-contact power feeding system for transmitting electric power to power reception device by magnetic resonance | ROHM CO., LTD. |
10998808 | Power conversion device | FUJI ELECTRIC CO., LTD. |
10998820 | Stacked DC-DC converter | EPC POWER CORPORATION |
10998854 | Resonant clock circuit with magnetic shield | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998855 | Scalable arrays of radiating oscillating units | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10998856 | Circuit and method to start a crystal oscillator | BESTECHNIC (SHANGHAI) CO., LTD |
10998857 | Resonance device | MURATA MANUFACTURING CO., LTD. |
10998858 | Power supply circuit and audio play device | HUAWEI TECHNOLOGIES CO., LTD. |
10998859 | Dual-input envelope tracking integrated circuit and related apparatus | QORVO US, INC. |
10998860 | Amplification apparatus and amplification method | NEC CORPORATION |
10998861 | Temperature adaptive audio amplifier device and control method thereof | -- |
10998862 | Temperature compensated oscillator | SKYWORKS SOLUTIONS, INC. |
10998863 | Power amplifier with nulling monitor circuit | ANALOG DEVICES, INC. |
10998864 | Non-linearity correction | XILINX, INC |
10998865 | Methods and apparatuses for digital pre-distortion | COMMSCOPE TECHNOLOGIES LLC |
10998866 | Preamplifier circuit configuration | -- |
10998867 | Avoiding clipping in audio power delivery by predicting available power supply energy | CIRRUS LOGIC, INC. |
10998868 | RF signal generation device and RF signal generation method | NEC CORPORATION |
10998869 | Superconducting traveling-wave parametric amplifier | SREEQC INC. |
10998870 | Information processing apparatus, information processing method, and program | SONY CORPORATION |
10998871 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
10998872 | Methods and apparatus for audio equalization | GRACENOTE, INC. |
10998873 | Capacitor unit, integrated capacitor, and resonance unit | HUAWEI TECHNOLOGIES CO., LTD. |
10998874 | Noise suppressor | -- |
10998875 | Cable television apparatus improving high frequency characteristics | -- |
10998876 | Balun | MURATA MANUFACTURING CO., LTD. |
10998877 | Film bulk acoustic resonator fabrication method with frequency trimming based on electric measurements prior to cavity etch | RESONANT INC. |
10998878 | Joined body of piezoelectric material substrate and support substrate | NGK INSULATORS, LTD. |
10998879 | Monolithic die with acoustic wave resonators and active circuitry | INTEL CORPORATION |
10998880 | Acoustic wave element and communication apparatus | KYOCERA CORPORATION |
10998881 | Composite substrate, method for producing the same, and electronic device | NGK INSULATORS, LTD. |
10998882 | XBAR resonators with non-rectangular diaphragms | RESONANT INC. |
10998883 | Type of ultra-wide band SAW filter | SHOULDER ELECTRONICS CO., LTD. |
10998884 | Acoustic wave filter device | MURATA MANUFACTURING CO., LTD. |
10998885 | Method for equivalent high sampling rate FIR filtering based on FPGA | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10998886 | Method and apparatus for sensing environment using a wireless passive sensor | RFMICRON, INC. |
10998887 | Power device driving apparatus | DENSO CORPORATION |
10998888 | Parameter monitoring circuit for detecting error of parameter, duty cycle correction circuit, and impedance calibration circuit | SAMSUNG ELECTRONICS CO., LTD. |
10998889 | Ring oscillator temperature sensor | BIRAD-RESEARCH & DEVELOPMENT COMPANY LTD. |
10998890 | Radiation-hardened D flip-flop circuit | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10998891 | Frequency converter based on non-linear transmission line including dispersion control elements | OXFORD UNIVERSITY INNOVATION LIMITED |
10998892 | Frequency doubler with duty cycle control and method thereof | -- |
10998893 | Semiconductor device, delay circuit, and related method | MICRON TECHNOLOGY, INC. |
10998894 | Duty cycle corrector | -- |
10998895 | Electronic circuit | KABUSHIKI KAISHA TOSHIBA |
10998896 | Clock doublers with duty cycle correction | TEXAS INSTRUMENTS INCORPORATED |
10998897 | Power switch over current protection system | NXP B.V. |
10998898 | Method for operating a transistor device and electronic circuit with a transistor device | INFINEON TECHNOLOGIES AG |
10998899 | EMI energy mitigation | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. |
10998900 | Radio frequency switch | QORVO US, INC. |
10998901 | RF switch with bypass topology | PSEMI CORPORATION |
10998902 | Semiconductor module and semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10998903 | Method and apparatus for delivering power to semiconductors | VICOR CORPORATION |
10998904 | Programmable termination circuits for programmable devices | XILINX, INC. |
10998905 | Semiconductor apparatus related to receiving clock signals having variable frequencies, and system including the semiconductor apparatus | SK HYNIX INC. |
10998906 | Logic device using spin torque | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10998907 | Integrated circuit device, oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
10998908 | Phase locked loop | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998909 | Sensing device comprising a phase locked loop circuit | DIALOG SEMICONDUCTOR B.V. |
10998910 | Method and apparatus for controlling clock cycle time | MARVELL ASIA PTE, LTD. |
10998911 | Fractional N PLL with sigma-delta noise cancellation | NXP USA, INC. |
10998912 | Digital-to-analog converter to reduce noise generated by a quiescent current | HUAWEI TECHNOLOGIES CO., LTD. |
10998913 | Switching circuit for checking an analog input circuit of an A/D converter | WAGO VERWALTUNGSGESELLSCHAFT MBH |
10998914 | Multi-stage conversion analog-to-digital converter | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10998915 | Digital-to-analog converter circuit, a method for operating the same, an apparatus and a method for controlling a digital-to-analog converter cell | INTEL IP CORPORATION |
10998916 | Sigma-delta analog-to-digital converter capable of reducing idle tones while alternately conducting signal conversion and comparator offset calibration | -- |
10998917 | Sigma-delta analog-to-digital converter | NXP B.V. |
10998918 | Reducing the amount of data stored in a sequence of data blocks by combining deduplication and compression | EMC IP HOLDING COMPANY LLC |
10998919 | Coded stream processing | MICROSOFT TECHNOLOGY LICENSING, LLC |
10998920 | Overcoming saturated syndrome condition in estimating number of readout errors | APPLE INC. |
10998921 | Encoding/decoding method, device, and system | HUAWEI TECHNOLOGIES CO., LTD. |
10998922 | Turbo product polar coding with hard decision cleaning | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10998923 | Stopping criteria for layered iterative error correction | MICRON TECHNOLOGY, INC. |
10998928 | Radio receiver, method and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10998951 | Communication apparatus and communication method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
10998992 | Method and device for improvement of the sound quality of an audio file | KRONOTON GMBH |
10999004 | Low density parity check encoder, and low density parity check encoding method using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10999009 | Channel encoding method and apparatus in wireless communications | HUAWEI TECHNOLOGIES CO., LTD. |
10999010 | Rate matching using low-density parity-check codes | APPLE INC. |
10999011 | Apparatus and method for transmitting and receiving data in communication system | SAMSUNG ELECTRONICS CO., LTD. |
10999012 | Packet coding based network communication | STRONG FORCE IOT PORTFOLIO 2016, LLC |
10999051 | Reference noise compensation for single-ended signaling | NVIDIA CORP. |
10999055 | SerDes systems and differential comparators | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
10999083 | Detecting unreliable bits in transistor circuitry | BIRAD—RESEARCH & DEVELOPMENT CORAPANY LTD. |
10999106 | Multidrop data transfer | KANDOU LABS, S.A. |
10999549 | Image sensor, column parallel ADC circuit and A/D conversion method thereof | -- |
10999677 | Sound reproducing apparatus having multiple directional speakers and sound reproducing method | ASAHI KASEI KABUSHIKI KAISHA |
10999687 | Multimedia apparatus, and method for processing audio signal thereof | LG ELECTRONICS INC. |
10999848 | Sparse-coded ambient backscatter communication method and system | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10999932 | Electronic package including cavity defined by resin and method of forming same | SKYWORKS FILTER SOLUTIONS JAPAN CO., LTD. |
11001143 | Dynamically re-configurable displays with reconfigurable regions of interest for safety critical content | QUALCOMM INCORPORATED |
11001147 | Operating unit for a device, in particular for a vehicle component | BEHR-HELLA THERMOCONTROL GMBH |
11002612 | Temperature sensor | SK HYNIX INC. |
11002764 | Systems and methods for synchronizing multiple test and measurement instruments | TEKTRONIX, INC. |
11002768 | Method and apparatus for detecting current using operational amplifier | MANDO CORPORATION |
11002771 | Predictive sample queueing for time-shared ADC in a multiphase PWM controller | RENESAS ELECTRONICS AMERICA INC. |
11002790 | Power gating system | SK HYNIX INC. |
11002797 | Fault diagnosis circuit for battery management system | HYUNDAI MOBIS CO., LTD. |
11002808 | Gas cell, magnetometric device, method of manufacturing gas cell, and method of manufacturing magnetometric device | SEIKO EPSON CORPORATION |
11002844 | Radar system with internal ramp linearity measurement capability | INFINEON TECHNOLOGIES AG |
11002879 | Sensor device for the capacitive detection of a user action in a vehicle | HUF HüLSBECK & FüRST GMBH & CO. KG |
11003142 | Time to digital converter with increased range and sensitivity | INNOPHASE INC. |
11003143 | Phase locked loop circuits, clock signal generators comprising digital-to-time convert circuits, operating methods thereof and wireless communication devices | SAMSUNG ELECTRONICS CO., LTD. |
11003202 | PMOS-output LDO with full spectrum PSR | QUALCOMM INCORPORATED |
11003203 | Circuits for and methods of calibrating a circuit in an integrated circuit device | XILINX, INC. |
11003204 | Relaxation oscillator having a dynamically controllable current source | XILINX, INC. |
11003205 | Receive analog to digital circuit of a low voltage drive circuit data communication system | SIGMASENSE, LLC. |
11003274 | Method and apparatus for automotive variable impedance touch sensor array | SENSEL, INC. |
11003279 | Touch sensor with peripheral electrodes | CIRQUE CORPORATION |
11003288 | Active input sensing using regional scanning | SYNAPTICS INCORPORATED |
11003370 | System on chip performing a plurality of trainings at the same time, operating method of system on chip, electronic device including system on chip | SAMSUNG ELECTRONICS CO., LTD. |
11003414 | Acoustic control system, apparatus and method | LG ELECTRONICS INC. |
11003527 | Decoding method and device utilizing flash channel characteristic and data storage system | SHANDONG STORAGE WINGS ELECTRONICS TECHNOLOGY CO., LTD |
11003528 | Memory system including an error correction function | TOSHIBA MEMORY CORPORATION |
11003529 | Encoding method and memory storage apparatus using the same | -- |
11003532 | Distributed data object management system operations | MICROSOFT TECHNOLOGY LICENSING, LLC |
11003534 | Systems, methods, and apparatuses for stacked memory | INTEL CORPORATION |
11003589 | Systems and methods for transforming large data into a smaller representation and for re-transforming the smaller representation back to the original large data | -- |
11003605 | Input/output (I/O) level shifter for half duplex sim card interface | TEXAS INSTRUMENTS INCORPORATED |
11003617 | USB control circuit utilizing a single configuration pin | -- |
11003971 | Ultra-wide-band saw sensor with hyperbolically frequency-modulated etched reflector | RESONANT INC. |
11004387 | High-efficiency piecewise linear column driver with asynchronous control for displays | SAMSUNG DISPLAY CO., LTD. |
11004421 | Operational amplifier circuit and display apparatus with operational amplifier circuit for avoiding voltage overshoot | -- |
11004486 | Driving circuit | -- |
11004488 | Memory device for generating word line signals having varying pulse widths | -- |
11004519 | Storage device and operating method thereof | SK HYNIX INC. |
11004522 | Fail bit number counting circuit and non-volatile semiconductor storage device | -- |
11004588 | Switching of perpendicularly magnetized nanomagnets with spin-orbit torques in the absence of external magnetic fields | UNIVERSITY OF ROCHESTER |
11004660 | Variable output impedance RF generator | EAGLE HARBOR TECHNOLOGIES, INC. |
11004830 | Control system, semiconductor device and method of the semiconductor device | RENESAS ELECTRONICS CORPORATION |
11004878 | Photodiodes integrated into a BiCMOS process | GLOBALFOUNDRIES U.S. INC. |
11005023 | Superconducting logic element | CONSIGLIO NAZIONALE DELLE RICERCHE |
11005024 | High linearity superconducting radio frequency magnetic field detector | SEEQC INC. |
11005253 | Circuit and method of over-current protection | INFINEON TECHNOLOGIES AG |
11005301 | System and method for encrypted resonant inductive power transfer | THE BOEING COMPANY |
11005368 | Hysteretic window adjustment of tri-level switching regulator | QUALCOMM INCORPORATED |
11005419 | Temperature compensated oscillator driver | TEXAS INSTRUMENTS INCORPORATED |
11005420 | Quartz crystal unit, quartz crystal oscillator and electronic apparatus | PIEDEK TECHNICAL LABORATORY |
11005421 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11005422 | Low allan-deviation oscillator | SITIME CORPORATION |
11005423 | Bias circuit and power amplification circuit | SMARTER MICROELECTRONICS (SHANGHAI) CO., LTD. |
11005424 | Power efficient amplifier | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11005425 | Source switched split LNA | PSEMI CORPORATION |
11005426 | Radio frequency (RF) integrated circuit performing signal amplification operation to support carrier aggregation and receiver including the same | SAMSUNG ELECTRONICS CO., LTD. |
11005427 | Audible noise reduction in an audio power amplifier | RGB SYSTEMS, INC. |
11005428 | Differential input circuit, amplification circuit, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11005429 | Current amplifier | MITSUBISHI ELECTRIC CORPORATION |
11005430 | Distortion compensation device and distortion compensation method | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11005431 | Power amplifier fault detector | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11005432 | RF switch with split tunable matching network | PSEMI CORPORATION |
11005433 | Continuous-mode harmonically tuned power amplifier output networks and systems including same | GEORGIA TECH RESEARCH CORPORATION |
11005434 | Output stage circuit, operational amplifier, and signal amplifying method capable of suppressing variation of output signal | -- |
11005435 | Amplifier with built in time gain compensation for ultrasound applications | BUTTERFLY NETWORK, INC. |
11005436 | Monolithic microwave integrated circuit having an overlay transformer and low impedance transmission lines | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11005437 | Spatial power-combining devices with thin film resistors | QORVO US, INC. |
11005438 | Active saturation prevention of pulse-mode transimpedance amplifiers | APPLE INC. |
11005439 | Earphone volume adjustment method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11005440 | Methods and systems for automatically equalizing audio output based on room position | GOOGLE LLC |
11005441 | Audio/video power processor and audio/video playback system | -- |
11005442 | Artificial transmission line using t-coil sections | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11005443 | Multilayer balun | MURATA MANUFACTURING CO., LTD. |
11005444 | Acoustic wave device, radio-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11005445 | Electronic component including a pad electrode and a bump stacked on a wiring electrode | MURATA MANUFACTURING CO., LTD. |
11005446 | Resonators and devices with a pixel electrode operating across a gap | FOX ENTERPRISES, INC. |
11005447 | Microelectronic devices having vertical piezoelectric membranes for integrated RF filters | INTEL CORPORATION |
11005448 | Film bulk acoustic wave resonators and fabrication methods thereof | SHANGHAI JADIC OPTOELECTRONICS TECHNOLOGY CO., LTD |
11005449 | Acoustically coupled resonator notch and bandpass filters | INFINEON TECHNOLOGIES AG |
11005451 | Acoustically coupled resonator notch and bandpass filters | INFINEON TECHNOLOGIES AG |
11005452 | Impedance tuner and signal amplification device | MITSUBISHI ELECTRIC CORPORATION |
11005453 | Dynamic high voltage (HV) level shifter with temperature compensation for high-side gate driver | -- |
11005454 | Pre-driver circuits for an output driver | GLOBALFOUNDRIES U.S. INC. |
11005455 | Generating voltage pulse with controllable width | SILANNA ASIA PTE LTD |
11005456 | Output circuit, circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11005457 | PTAT ring oscillator circuit | APPLE INC. |
11005458 | Semiconductor integrated circuit adapted to scan testing, and method of designing the same | SYNAPTICS INCORPORATED |
11005459 | Efficient retention flop utilizing different voltage domain | APPLE INC. |
11005460 | Flying level shifter for narrow pulses | PSEMI CORPORATION |
11005461 | Level shift latch circuitry | ARM LIMITED |
11005462 | Interface circuit and interface device | SAMSUNG ELECTRONICS CO., LTD. |
11005463 | Signal processor and signal processing method | ADVANTEST CORPORATION |
11005464 | Delay line circuit | -- |
11005465 | Zero-cross circuit with low phase delay | HAMILTON SUNDSTRAND CORPORATION |
11005466 | Measurement and correction of multiphase clock duty cycle and skew | KANDOU LABS, S.A. |
11005467 | Low-noise duty cycle correction circuit and method thereof | -- |
11005468 | Duty-cycle correction circuit for DDR devices | -- |
11005469 | Two step high speed auto-zero and self-calibration comparator | ROBERT BOSCH GMBH |
11005470 | Methods for consolidating module types for industrial control systems | BEDROCK AUTOMATION PLATFORMS INC. |
11005471 | Signal generating circuit and power supply device | AUTONETWORKS TECHNOLOGIES, LTD. |
11005472 | Method for operating a transistor device and electronic-circuit with a transistor device | INFINEON TECHNOLOGIES AG |
11005473 | Voltage difference measurement circuit and associated voltage difference measuring method | -- |
11005474 | Systems with power transistors, transistors coupled to the gates of the power transistors, and capacitive dividers coupled to the power transistors | TEXAS INSTRUMENTS INCORPORATED |
11005475 | Emission driver and pump unit | -- |
11005476 | Level shift circuit and fingerprint identification device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11005477 | Driver circuit and control method therefor, and transmission/reception system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11005478 | Integrated circuit device, resonator device, electronic device, and vehicle | SEIKO EPSON CORPORATION |
11005479 | Phase detection circuit, and clock generating circuit and semiconductor apparatus using the phase detection circuit | SK HYNIX INC. |
11005480 | Phase locked loop device and clock generation method | -- |
11005481 | Systems and methods for mitigation of nonlinearity related phase noise degradations | APPLE INC. |
11005482 | Phase detector for phase-locked loops | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11005483 | Charge pump with load driven clock frequency management | STMICROELECTRONICS DESIGN AND APPLICATION S.R.O. |
11005484 | Integrated circuit including phase locked loop circuit | SAMSUNG ELECTRONICS CO., LTD. |
11005485 | Frequency multiplier and method for frequency multiplying | INFINEON TECHNOLOGIES AG |
11005486 | Atomic oscillator and frequency signal generation system | SEIKO EPSON CORPORATION |
11005487 | Atomic oscillator and frequency signal generation system | SEIKO EPSON CORPORATION |
11005488 | Apparatus, circuits and methods for calibrating a time to digital converter | -- |
11005489 | Frequency management for interference reduction of A/D converters powered by switching power converters | TEXAS INSTRUMENTS INCORPORATED |
11005490 | Sampling circuit | STMICROELECTRONICS (ALPS) SAS |
11005491 | System and method for wireless receiver communication based on variable leading bit orthogonal code sets | HUAWEI TECHNOLOGIES CO., LTD. |
11005492 | Dual output signal paths for signal source channels to optimize for bandwidth and amplitude range | TEKTRONIX, INC. |
11005493 | Digital-to-analog conversion circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11005494 | Current steering digital-to-analog conversion systems | JARIET TECHNOLOGIES, INC. |
11005495 | Charge transfer circuit for compact modulators | SENSEEKER ENGINEERING, INC. |
11005496 | Multi-mode compression acceleration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11005497 | Information processing device, information processing method, and program | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11005498 | Methods and network device for uncoded bit protection in 10Gbase-T ethernet | MARVELL ASIA PTE, LTD. |
11005499 | LDPC decoder, semiconductor memory system, and operating method thereof | SK HYNIX INC. |
11005500 | Data processing apparatus, data processing method, and program with bit interleaving for non-uniform constellation wireless transmission | SONY CORPORATION |
11005501 | Error correction on a memory device | MICRON TECHNOLOGY, INC. |
11005502 | Iterative decoding circuit and decoding method | -- |
11005503 | Memory system with hybrid decoding scheme and method of operating such memory system | SK HYNIX INC. |
11005504 | Polar code rate matching method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11005507 | Targeted ratio of signal power to interference plus noise power for enhancement of a multi-user detection receiver | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11005512 | Active antenna for wireless local area network devices | NETGEAR, INC. |
11005513 | Receiver circuit and receiving system | SAMSUNG ELECTRONICS CO., LTD. |
11005514 | Amplification circuit, controller, and transceiver circuit | STMICROELECTRONICS (ROUSSET) SAS |
11005518 | Tranceiver circuit and receiver circuit | SK HYNIX INC. |
11005567 | Efficient multi-mode DFE | CREDO TECHNOLOGY GROUP LIMITED |
11005569 | Optical transmitter having cascaded modulators | NOKIA SOLUTIONS AND NETWORKS OY |
11005572 | Temperature-locked loop for optical elements having a temperature-dependent response | XILINX, INC. |
11005595 | Self-decodability for low-density parity-check codes | QUALCOMM INCORPORATED |
11005596 | Apparatus and method for determining polar code in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11005598 | System and method for a forward error correction decoder with error reporting | XILINX, INC. |
11005631 | Terminal, base station and radio communication method for separately encoding uplink control information | NTT DOCOMO, INC. |
11005642 | Output circuit for a source device with arbitrary access time | TEXAS INSTRUMENTS INCORPORATED |
11005644 | Time stamp generation | ARISTA NETWORKS, INC. |
11005688 | Common-mode control for AC-coupled receivers | ANALOG BITS INC. |
11005690 | Method and device for digital compensation of dynamic distortion in high-speed transmitters | INPHI CORPORATION |
11006215 | Hearing protection device with multiband limiter and related method | GN HEARING A/S |
11006343 | Distributed antenna system | DALI WIRELESS, INC. |
11006371 | High-frequency signal processing apparatus and wireless communication apparatus | MURATA MANUFACTURING CO., LTD. |
11006522 | Textile material comprising conductive elements | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11006527 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11006868 | System and method for intraoperative detection of cancer margins using conformal filters in a dual polarization configuration | CHEMIMAGE TECHNOLOGIES LLC |
11007029 | Method and apparatus for positioning a workstation for controlling a robotic system | TITAN MEDICAL INC. |
11007457 | Electronic configuration and control for acoustic standing wave generation | FLODESIGN SONICS, INC. |
11009334 | Information processing apparatus, non-transitory computer-readable storage medium having stored therein information processing program, information processing system, and information processing method | NINTENDO CO., LTD. |
11009351 | Vibrator device including reduced mounting stress and frequency variation | SEIKO EPSON CORPORATION |
11009411 | Increasing sensitivity of a sensor using an encoded signal | SENTONS INC. |
11009530 | Methods and circuitry for analyzing voltages | TEXAS INSTRUMENTS INCORPORATED |
11009549 | Multibit vectored sequential with scan | INTEL CORPORATION |
11009563 | Signal processing arrangement for a hall sensor and signal processing method for a hall sensor | AMS AG |
11009649 | Lighting control console having a slide control | MA LIGHTING TECHNOLOGY GMBH |
11009805 | PWM outputting circuit and image forming apparatus having the same | CANON KABUSHIKI KAISHA |
11009864 | Gated asynchronous multipoint network interface monitoring system | BENTLY NEVADA, LLC |
11009904 | Output signal generation circuit | LAPIS SEMICONDUCTOR CO., LTD. |
11009967 | Method for scanning keyboard circuit | -- |
11009996 | Optical proximity sensor with digital calibration circuit and digital calibration method thereof | -- |
11010004 | Input element and input device | RICOH COMPANY, LTD. |
11010126 | Headset, control module and method for automatic adjustment of volume of headset, and storage medium | MERRY ELECTRONICS (SUZHOU) CO., LTD. |
11010131 | Floating-point adder circuitry with subnormal support | INTEL CORPORATION |
11010136 | Random bit stream generator and method thereof | -- |
11010243 | Memory apparatus with error bit correction in data reading period | -- |
11010244 | Memory data management method | -- |
11010315 | Flexible hardware for high throughput vector dequantization with dynamic vector length and codebook size | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010319 | Embedded universal serial bus 2 repeater | TEXAS INSTRUMENTS INCORPORATED |
11010344 | High-density compression method and computing system | CROSS COMMERCE MEDIA, INC. |
11010382 | Computing device with multiple operating systems and operations thereof | OCIENT HOLDINGS LLC |
11010579 | Fingerprint sensor module and fingerprint recognition apparatus having same | LEADING UI CO., LTD. |
11010662 | Massively parallel neural inference computing elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011092 | Display apparatus and driving method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11011146 | More embodiments for common-point pickup circuits in musical instruments part C | -- |
11011214 | Data receiving circuit | SK HYNIX INC. |
11011216 | Compute-in-memory dynamic random access memory | QUALCOMM INCORPORATED |
11011258 | Systems and methods for data processing and performing structured and configurable data compression | PLEIOTEK |
11011292 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11011300 | Electronic component | MURATA MANUFACTURING CO., LTD. |
11011304 | Multilayer electronic component | TDK CORPORATION |
11011328 | Key module, keyboard and electronic device using same | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11011350 | Variable power capacitor for RF power applications | -- |
11011481 | Configurable resistor | INTEL CORPORATION |
11011633 | Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction | PSEMI CORPORATION |
11011642 | Ambipolar field-effect device using engineered work-functions | OHIO UNIVERSITY |
11011667 | Display panel with photo sensor and display device using the same | LG DISPLAY CO., LTD. |
11011668 | Semiconductor device, semiconductor system, and method of controlling the semiconductor device | RENESAS ELECTRONICS CORPORATION |
11011695 | Piezoelectric vibration device | KYOCERA CORPORATION |
11011793 | Protection of an electrical energy accumulation device | THALES |
11011813 | Power amplifier with shielded transmission lines | NXP B.V. |
11011818 | Transformer having series and parallel connected transmission lines | WERLATONE, INC. |
11011819 | Directional coupler and method for manufacturing the same as well as radio transmitter and radio device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11011903 | Disconnecting device | ELLENBERGER & POENSGEN GMBH |
11011904 | Low-voltage circuit breaker and method | SIEMENS AKTIENGESELLSCHAFT |
11011915 | Method of making a wireless connector transmitter module | NUCURRENT, INC. |
11011970 | Gate drive circuit | ROHM CO., LTD. |
11011985 | Voltage reducing circuit with pre-biasing circuit portion | NORDIC SEMICONDUCTOR ASA |
11011994 | Quasi-resonant flyback converter controller | APPULSE POWER INC. |
11012016 | Energy packet control of generator prime mover | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11012031 | Oscillator, electronic device, and vehicle | SEIKO EPSON CORPORATION |
11012032 | Systems and methods for frequency compensation of real-time-clock systems | GUANGZHOU ON-BRIGHT ELECTRONICS CO., LTD. |
11012033 | Oscillator with one-port resonator | KEYSIGHT TECHNOLOGIES, INC. |
11012034 | Digital isolator | TEXAS INSTRUMENTS INCORPORATED |
11012035 | Amplifier devices with input transient termination | NXP USA, INC. |
11012036 | Current reuse type field effect transistor amplifier | MITSUBISHI ELECTRIC CORPORATION |
11012037 | Techniques for controlling an auto-zero amplifier | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11012038 | Power amplifier | MITSUBISHI ELECTRIC CORPORATION |
11012039 | Signal processing circuit with reduction or cancelation of signal-dependent component | -- |
11012040 | Radio frequency amplifier having adaptive power supply capability | -- |
11012041 | Differential amplifier circuit | ABLIC INC. |
11012042 | Receiver module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11012043 | Hybrid autozeroing and chopping offset cancellation for switched-capacitor circuits | CIRRUS LOGIC, INC. |
11012044 | Amplifier with common mode detection | SENSATA TECHNOLOGIES, INC. |
11012045 | Variable gain amplifier | APPLE INC. |
11012046 | Radio transmitter | JVCKENWOOD CORPORATION |
11012047 | Resonant retunable antenna | DEZEGA HOLDING UKRAINE, LLC |
11012048 | Filter and multiplexer | TAIYO YUDEN CO., LTD. |
11012049 | Resonator electrode shields | SITIME CORPORATION |
11012050 | Radio-frequency filter, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11012051 | System and method for a radio frequency filter | INFINEON TECHNOLOGIES AG |
11012052 | Surface acoustic wave filter | MURATA MANUFACTURING CO., LTD. |
11012053 | BAW resonator and resonator arrangement | SNAPTRACK, INC. |
11012054 | Acoustic wave filter including two types of acoustic wave resonators | SKYWORKS SOLUTIONS, INC. |
11012055 | Comparator system | SILEGO TECHNOLOGY INC. |
11012056 | Ring oscillator and time measuring circuit | -- |
11012057 | Data retention circuit and method | -- |
11012058 | Linear low side recycling modulation | TEXAS INSTRUMENTS INCORPORATED |
11012059 | Clock recovery based on digital signals | SONY CORPORATION |
11012060 | Offset cancellation of duty cycle detector | MICRON TECHNOLOGY, INC. |
11012061 | Self-calibrating low-noise duty cycle correction circuit and method thereof | -- |
11012062 | Bootstrap circuit and a sampling circuit using the same | SAMSUNG ELECTRONICS CO., LTD. |
11012063 | GaN switch with integrated failsafe pulldown circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11012064 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11012066 | Drive device for power semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11012067 | Compensation device for compensating PVT variations of an analog and/or digital circuit | CSEM CENTRE SUISSE D'ELECTRONIQUE ET DE MICROTECHNIQUE SA—RECHERCHE ET DÉVELOPPEMENT |
11012068 | Capacitive sensor apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11012069 | Keyboard key with capacitive switch having mechanical and proximity switching functions | TACTUAL LABS CO. |
11012070 | Electronic device and method thereof for grip recognition | SAMSUNG ELECTRONICS CO., LTD. |
11012071 | Integrated circuit with configurable on-die termination | RAMBUS INC. |
11012072 | Thermal load balancing of programmable devices | XILINX, INC. |
11012073 | Level shifter circuit and method of operating the same | -- |
11012074 | Off chip driving circuit and signal compensation method | -- |
11012075 | Electronic system including FPGA and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11012076 | Linear input and non-linear output majority logic gate with and/or function | KEPLER COMPUTING INC. |
11012077 | Integrated circuit detecting frequency and phase of clock signal and clock and data recovery circuit including the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11012078 | IQ signal source | MITSUBISHI ELECTRIC CORPORATION |
11012079 | Continuous tuning of digitally switched voltage-controlled oscillator frequency bands | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11012080 | Frequency locked loop, electronic device, and frequency generation method | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11012081 | Apparatus and methods for digital phase locked loop with analog proportional control function | CIENA CORPORATION |
11012082 | Multiphase clock generator and associated frequency synthesizer | -- |
11012083 | Voltage-to-time-to-digital converter (VTDC) with coarse analog-to-digital converter (ADC) | IQ-ANALOG CORP. |
11012084 | Self-calibrating successive-approximation analog-to-digital converters | SILICON LABORATORIES INC. |
11012085 | Scheme for mitigating clock harmonic interference and desensitization in RF channels | -- |
11012086 | Analog-to-digital converter for converting analog signals input from a plurality of sensors | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11012087 | Encoding and decoding architecture for high speed data communication system and related physical layer circuit, transmitter and receiver and communication system thereof | -- |
11012088 | Data processing apparatuses, methods, computer programs and computer-readable media | V-NOVA INTERNATIONAL LIMITED |
11012089 | System and method for encrypting and compressing blocks of data | -- |
11012090 | Embedded cyclical redundancy check values | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11012091 | Transmitting apparatus and transmission method, receiving apparatus and reception method, and program | SONY CORPORATION |
11012092 | Polar decoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11012093 | High-speed decoder for polar codes | CANKAYA UNIVERSITESI |
11012094 | Encoder with mask based galois multipliers | ATI TECHNOLOGIES ULC |
11012095 | Method for protection of signal blockages in a satellite mobile broadcast system | EUTELSAT S A |
11012096 | Receiving apparatus and de-interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11012097 | Digital broadcasting system and method of processing data in digital broadcasting system | LG ELECTRONICS INC. |
11012098 | Data processing device and data processing method | SATURN LICENSING LLC |
11012099 | Half-size data array for encoding binary symmetry-invariant product codes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11012100 | Convolutional precoding and decoding of polar codes | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11012101 | Data transmission method, apparatus and storage medium | NTT DOCOMO, INC. |
11012102 | Puncturing of polar codes with complementary sequences | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11012104 | Apparatus and methods for calibrating radio frequency transmitters to compensate for common mode local oscillator leakage | ANALOG DEVICES, INC. |
11012105 | Digital predistortion of signals | APPLE INC. |
11012113 | Composite right-hand left-hand distributed attenuator | HUAWEI TECHNOLOGIES CO., LTD. |
11012150 | Autonomous failure recovery method and system for fiber-optic communication system | COMBA TELECOM SYSTEMS (CHINA) LIMITED |
11012162 | Local oscillator leakage detecting and cancellation | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11012185 | Apparatus and method for encoding and decoding using polar code in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11012226 | Oscillator calibration structure and method | MARVELL ASIA PTE, LTD. |
11012264 | Line driver circuit | TEXAS INSTRUMENTS INCORPORATED |
11012269 | Multi-channel transmission with flexible gains | MAXLINEAR, INC. |
11012274 | Demultiplexer and method of controlling the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11012396 | Mitigation of latency disparity in a data transaction processing system | CHICAGO MERCANTILE EXCHANGE INC. |
11012695 | Context initialization in entropy coding | GE VIDEO COMPRESSION, LLC |
11012775 | Audio system with limited array signals | BOSE CORPORATION |
11012776 | Volume adjustment model development | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11012787 | Piezoelectric element, piezoelectric microphone, piezoelectric resonator and method for manufacturing piezoelectric element | MURATA MANUFACTURING CO., LTD. |
11012953 | Frequency selective logarithmic amplifier with intrinsic frequency demodulation capability | -- |
11012983 | Method for transmitting uplink control information by terminal in wireless communication system and device for supporting same | LG ELECTRONICS INC. |
11013005 | Remotely reconfigurable distributed antenna system and methods | DALI WIRELESS, INC. |
11013070 | System and method for controlling multiple IGBT temperatures in a power converter of an electrical power system | GENERAL ELECTRIC COMPANY |
11013928 | Ground electrical path from an MLCC filter capacitor on an AIMD circuit board to the ferrule of a hermetic feedthrough | GREATBATCH LTD. |
11013989 | Independent game and chat volume control | VOYETRA TURTLE BEACH, INC. |
11014683 | Fluid characterization system with integrated density compensation | SIMMONDS PRECISION PRODUCTS, INC. |
11014686 | Dynamic power demand allocation on redundant power buses | ROLLS-ROYCE NORTH AMERICAN TECHNOLOGIES INC. |
11015758 | Gimbal radial counterbalance systems and methods | FLIR SURVEILLANCE, INC. |
11015985 | Time-controlled switch capacitor based temperature sensor | INTEL IP CORPORATION |
11016138 | Diagnosis system for power conversion device, diagnosis method for semiconductor module, and power conversion device | HITACHI, LTD. |
11016147 | Intelligent monitoring system and method for energy switch accessory device | BEIJING UNIVERSITY OF POSTS AND TELECOMMUNICATIONS |
11016151 | Semiconductor device and method of adjusting the same | ABLIC INC. |
11016519 | Process compensated gain boosting voltage regulator | STMICROELECTRONICS INTERNATIONAL N.V. |
11016522 | Waveform generation | NORDIC SEMICONDUCTOR ASA |
11016524 | Analog switch | ABLIC INC. |
11016526 | Integrated circuit with clock distribution | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11016548 | Single chip system and reset method for single chip system | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11016589 | Discrete high impedance implementation on push-pull outputs | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016601 | Sensor system with drive-sense circuit | SIGMASENSE, LLC. |
11016607 | Controlling audio volume using touch input force | SENTONS INC. |
11016681 | Multi-threshold parameter adaptation | SEAGATE TECHNOLOGY LLC |
11016701 | Oscillator circuitry to facilitate in-memory computation | INTEL CORPORATION |
11016718 | Conforming local and remote media characteristics data to target media presentation profiles | JAWB ACQUISITION LLC |
11016732 | Approximate nonlinear digital data conversion for small size multiply-accumulate in artificial intelligence | -- |
11016733 | Continuous carry-chain packing | INTEL CORPORATION |
11016765 | Bit string operations using a computing tile | MICRON TECHNOLOGY, INC. |
11016843 | Direct-input redundancy scheme with adaptive syndrome decoder | MICRON TECHNOLOGY, INC. |
11016844 | Error correction code structure | TOSHIBA MEMORY CORPORATION |
11016888 | Compressed cache using dynamically stacked roaring bitmaps | EBAY INC. |
11016962 | Blockchain data storage based on shared nodes and error correction code | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11017151 | Systems and methods for scalable hierarchical coreference | ORACLE INTERNATIONAL CORPORATION |
11017155 | Method and system for compressing data | AUTHPAPER LIMITED |
11017566 | Point cloud compression with adaptive filtering | APPLE INC. |
11017643 | Methods and systems for augmentative and alternative communication | UNIVERSITY OF IOWA RESEARCH FOUNDATION |
11017738 | Gate driving circuit | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11017786 | Vector quantizer | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11017794 | Electronic device, and method for reducing noise of voice signal by utilizing same | SAMSUNG ELECTRONICS CO., LTD. |
11017796 | Noise reduction apparatus | DENSO TEN LIMITED |
11017828 | Apparatus for generating a magnetic field and method of using said apparatus | CROCUS TECHNOLOGY SA |
11017850 | Master set of read voltages for a non-volatile memory (NVM) to mitigate cross-temperature effects | SEAGATE TECHNOLOGY LLC |
11017867 | Adjustable read retry order based on decoding success trend | WESTERN DIGITAL TECHNOLOGIES, INC. |
11017932 | Inductor apparatus optimized for low power loss in class-D audio amplifier applications and method for making the same | CRESTRON ELECTRONICS, INC. |
11017962 | Light device control apparatus | LEEDARSON AMERICA INC. |
11017963 | Intelligent lighting control system including releasable faceplate | RACEPOINT ENERGY, LLC |
11017966 | Key structure convertible between digital and analog switch modes and switching method thereof | -- |
11017983 | RF power amplifier | RENO TECHNOLOGIES, INC. |
11018084 | Managed integrated circuit power supply distribution | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018126 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11018421 | Tunable matching network for antenna systems | ETHERTRONICS, INC. |
11018425 | Active electronically scanned array with power amplifier drain bias tapering for optimal power added efficiency | ROCKWELL COLLINS, INC. |
11018494 | Electronic protection device | ABB SCHWEIZ AG |
11018526 | Detuning for a resonant wireless power transfer system including cooperative power sharing | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11018528 | Wireless power transmission/reception system, power conversion device including the same, and power conversion method | HITACHI, LTD. |
11018577 | Charge pump circuit for providing voltages to multiple switch circuits | FAIRCHILD SEMICONDUCTOR CORPORATION |
11018579 | Boost converter and boost converter cell | SAMSUNG ELECTRONICS CO., LTD. |
11018582 | Adaptive synchronous rectification in a voltage converter | TEXAS INSTRUMENTS INCORPORATED |
11018583 | Switching voltage regulators with phase-lock loops and lock range extension | KINETIC TECHNOLOGIES |
11018586 | Multi-stage chained feedback regulated voltage supply | VIASAT, INC. |
11018601 | Half-bridge driver circuit, related integrated circuit and system | STMICROELECTRONICS S.R.L. |
11018625 | Frequency reference generator | NXP B.V. |
11018626 | Temperature compensation for a voltage controlled oscillator | IXI TECHNOLOGY HOLDINGS, INC. |
11018627 | Multi-bandwidth envelope tracking integrated circuit and related apparatus | QORVO US, INC. |
11018628 | Antenna impedance prediction via power amplifier parameter | SKYWORKS SOLUTIONS, INC. |
11018629 | Integrated multiple-path power amplifier | NXP USA, INC. |
11018630 | Disabled mode error reduction for high-voltage bilateral operational amplifier current source | HAMILTON SUNDSTRAND CORPORATION |
11018631 | Switch circuits having integrated overdrive protection and related transmit/receive circuits and MMIC amplifiers | CREE, INC. |
11018632 | Envelope tracking power amplifier module and envelope tracking method for the same | -- |
11018633 | Method and apparatus for calibrating digital pre-distortion of cellular transmitter | SAMSUNG ELECTRONICS CO., LTD. |
11018634 | Audio codec circuit and method for processing audio data | -- |
11018635 | Embedded test circuitry and method therefor | NXP USA, INC. |
11018637 | High dynamic range transimpedance amplifier | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11018638 | Multimode envelope tracking circuit and related apparatus | QORVO US, INC. |
11018639 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
11018640 | Differential amplifier, corresponding integrated circuit, system, instrumentation amplifier and method | STMICROELECTRONICS S.R.L. |
11018641 | Power combiner circuit | OPHIR RF, INC. |
11018642 | Methods, systems, and media for controlling audio of an HDMI audio system | GOOGLE LLC |
11018643 | Signal amplifier device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018644 | Audio amplifier with embedded buck controller for class-G application | STMICROELECTRONICS (SHENZEN) R&D CO. LTD. |
11018645 | Oscillator, electronic apparatus and vehicle | SEIKO EPSON CORPORATION |
11018646 | Filter and front end circuit | TAIYO YUDEN CO., LTD. |
11018647 | Electrical filter structure | ADVANTEST CORPORATION |
11018648 | Sonic logging broadband impedance matching transformer modular design method and module | INSTITUUTE OF GEOLOGY AND GEOPHYSICS, CHINESE ACADEMY OF SCIENCES |
11018649 | Compensation of on-die inductive parasitics in ladder filters through negative mutual inductance between ground inductors | QORVO US, INC. |
11018650 | Acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11018651 | Bulk acoustic wave resonators having doped piezoelectric material and an adhesion and diffusion barrier layer | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11018652 | Tunable resonator element, filter circuit and method | INFINEON TECHNOLOGIES AG |
11018653 | Low voltage clock swing tolerant sequential circuits for dynamic power savings | APPLE INC. |
11018654 | Temperature sensor with reduced power supply voltage sensitivity | MARVELL ASIA PTE, LTD. |
11018655 | Level shift circuit and display driver integrated circuit | SHENZHEN TOREY MICROELECTRONIC TECHNOLOGY CO. LTD. |
11018656 | Multi-function level finder for serdes | CREDO TECHNOLOGY GROUP LIMITED |
11018657 | Clock glitch alerting circuit | NXP USA, INC. |
11018658 | Electronic device and operating method of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11018659 | Comparator, AD converter, solid-state image pickup device, electronic device, method of controlling comparator, data writing circuit, data reading circuit, and data transferring circuit | SONY CORPORATION |
11018660 | Multi-mode feedback control through digital isolator | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11018661 | Short circuit detector including a voltage detector | FUJI ELECTRIC CO., LTD. |
11018662 | AC coupling modules for bias ladders | PSEMI CORPORATION |
11018663 | Linear switch circuits and methods | TEXAS INSTRUMENTS INCORPORATED |
11018664 | Smart semiconductor switch | INFINEON TECHNOLOGIES AG |
11018665 | Electronic control device | MITSUBISHI ELECTRIC CORPORATION |
11018666 | Thyristor current interrupter and auxiliary quasi-resonant turn-off unit | ABB SCHWEIZ AG |
11018667 | Optical keyswitch | -- |
11018668 | Characterization of power delivery network in field programmable gate arrays or digital integrated circuits | TORONTO |
11018669 | Interface circuitry with series switch and shunt attenuator | INTEL IP CORPORATION |
11018670 | Output buffer circuit | TEXAS INSTRUMENTS INCORPORATED |
11018671 | Reconfigurable circuit and the method for using the same | NEC CORPORATION |
11018672 | Linear input and non-linear output majority logic gate | KEPLER COMPUTING INC. |
11018673 | Multi-modulus frequency dividers | MICROCHIP TECHNOLOGY INCORPORATED |
11018674 | Reducing resource requirements for high-frequency counter arrays | CIENA CORPORATION |
11018675 | Matrix phase interpolator for phase locked loop | KANDOU LABS, S.A. |
11018676 | Coarse delay lock estimation for digital DLL circuits | INTEL CORPORATION |
11018677 | Transmission enable signal generation circuit and integrated circuit | SK HYNIX INC. |
11018678 | Field programmable gate array with internal phase-locked loop | -- |
11018679 | On-chip phase-locked loop response measurement | SILICON LABORATORIES INC. |
11018680 | Phase lock loops (PLLS) and methods of initializing PLLS | KEYSIGHT TECHNOLOGIES, INC. |
11018681 | Digital-to-analog converter waveform generator | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11018682 | Time-interleaved sub-ranging analog-to-digital converter | NXP B.V. |
11018683 | Analog to digital converter | -- |
11018684 | Hybrid pipeline analog-to-digital converter | NXP B.V. |
11018685 | Analog-to-digital converter and method of performing analog-to-digital conversion | SAMSUNG ELECTRONICS CO., LTD. |
11018686 | Voltage detector | TEXAS INSTRUMENTS INCORPORATED |
11018687 | Power-efficient compute-in-memory analog-to-digital converters | QUALCOMM INCORPORATED |
11018688 | DTC device and method based on capacitive DAC charging | SAMSUNG ELECTRONICS CO., LTD. |
11018689 | Parallel computing using stochastic circuits and deterministic shuffling networks | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11018690 | Device for generating a random electrical signal and associated architecture | THALES |
11018691 | Increasing storage capacity and data transfer speed in genome data backup | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018692 | Floating point data set compression | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11018693 | System and method for continuously verifying device state integrity | MAXIM INTEGRATED PRODUCTS, INC. |
11018694 | Fast cyclic redundancy check code generation | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11018695 | Fast-converging bit-flipping decoder for low-density parity-check codes | SK HYNIX INC. |
11018696 | Soft output decoding of polar codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11018697 | Transmission method and reception device | SONY CORPORATION |
11018698 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 3/15 and 256-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11018699 | Method and apparatus for controlling interleaving depth | SAMSUNG ELECTRONICS CO., LTD. |
11018700 | Bit interleaver for low-density parity check codeword having length of 16200 and code rate of 2/15 and 256-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11018701 | Reliable data transmission method based on reliable UDP and fountain code in aeronautical ad hoc networks | SICHUAN UNIVERSITY |
11018703 | Systems and methods for antenna tuning | QUALCOMM INCORPORATED |
11018707 | Adaptive gain control for sidelink communications | QUALCOMM INCORPORATED |
11018714 | Radio front end module with reduced loss and increased linearity | SKYWORKS SOLUTIONS, INC. |
11018717 | Snap-on triaxial cable balun and method for tuned trapping of RF current | LIFE SERVICES, LLC |
11018728 | Transmission phase measurement and calibration method utilizing higher-order frequency bins | INFINEON TECHNOLOGIES AG |
11018795 | Methods and apparatus for coding for interference network | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11018805 | Apparatus and method of transmission using HARQ in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11018819 | Method and device in UE and base station used for wireless communication | SHANGHAI LANGBO COMMUNICATION TECHNOLOGY COMPANY LIMITED |
11018827 | Information transmission method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11018842 | Dynamic timing recovery bandwidth modulation for phase offset mitigation | SEAGATE TECHNOLOGY LLC |
11018904 | Equalization for a transmitter circuit | QUALCOMM INCORPORATED |
11018907 | Sampler reference level, DC offset, and AFE gain adaptation for PAM-N receiver | RAMBUS INC. |
11018924 | Spoiled code trellis coded modulation | RAYTHEON COMPANY |
11019110 | Access control to a voice service by a wireless access point | BRITISH TELECOMMUNICATIONS PUBLIC LIMITED COMPANY |
11019301 | Methods and apparatus to perform an automated gain control protocol with an amplifier based on historical data corresponding to contextual data | THE NIELSEN COMPANY (US), LLC |
11019352 | Sample array coding for low-delay | GE VIDEO COMPRESSION, LLC |
11019377 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
11019419 | Headphone operation during headphone insertion detection | AVNERA CORPORATION |
11019439 | Adjusting system and adjusting method for equalization processing | -- |
11019509 | Receivers incorporating non-uniform constellations with overlapping constellation point locations | CONSTELLATION DESIGNS, LLC |
11019714 | Waveform detection of states and faults in plasma inverters | ATMOSPHERIC PLASMA SOLUTIONS, INC. |
11019720 | Method for transforming the impedance of a radio-frequency transmission line of a printed circuit and printed circuit thereof | GATESAIR, INC. |
11021810 | Lithium tantalate single crystal substrate, bonded substrate, manufacturing method of the bonded substrate, and surface acoustic wave device using the bonded substrate | SHIN-ETSU CHEMICAL CO., LTD. |
11022587 | Electric conductivity detector and method for determining phase adjustment value | SHIMADZU CORPORATION |
11022629 | Low-glitch range change techniques | ANALOG DEVICES, INC. |
11022650 | Capacitive switch controller | ROHM CO., LTD. |
11022654 | Universal driver systems and methods of operating the same | UNIVERSITY OF TENNESSEE RESEARCH FOUNDATION |
11022997 | Semiconductor device, signal processing device, and control method of signal processing device | RENESAS ELECTRONICS CORPORATION |
11023023 | Start-and-stop detecting apparatus and method for I<sup>3</sup>C bus | -- |
11023053 | Inner-sensor pointing device system | CONTOUR INNOVATIONS LLC |
11023077 | System and method of controlling an electropermanent magnet at a trackpad | DELL PRODUCTS L.P. |
11023078 | Inputter, display apparatus having the same, and control method of the inputter | SAMSUNG ELECTRONICS CO., LTD. |
11023176 | Storage interface, timing control method, and storage system | HUAWEI TECHNOLOGIES CO., LTD. |
11023207 | True random number generator and system comprising the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11023309 | Method, apparatus and electronic device for blockchain-based transaction consensus processing | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11023317 | Read recovery control circuitry | MICRON TECHNOLOGY, INC. |
11023321 | Raid storage-device-assisted deferred parity data update system | DELL PRODUCTS L.P. |
11023322 | Raid storage-device-assisted parity update data storage system | DELL PRODUCTS L.P. |
11023331 | Fast recovery of data in a geographically distributed storage environment | EMC IP HOLDING COMPANY LLC |
11023338 | Handling storage unit failure in a dispersed storage network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023340 | Layering a distributed storage system into storage groups and virtual chunk spaces for efficient data recovery | NETAPP, INC. |
11023412 | RDMA data sending and receiving methods, electronic device, and readable storage medium | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11023430 | Sparse dictionary tree | ORACLE INTERNATIONAL CORPORATION |
11023452 | Data dictionary with a reduced need for rebuilding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023454 | Checking data integrity in incremental journaling | EMC IP HOLDING COMPANY LLC |
11023631 | Reduced-power dynamic data circuits with wide-band energy recovery | REZONENT CORPORATION |
11023632 | Pseudo-asynchronous digital circuit design | BAR-IIAN UNIVERSITY |
11023701 | Signal processing circuit and related method of processing sensing signal | -- |
11023922 | Graph-based compression of data records | GROUPON, INC. |
11024245 | Gate driver and display device using the same | LG DISPLAY CO., LTD. |
11024301 | Modification of electronic system operation based on acoustic ambience classification | GRACENOTE, INC. |
11024345 | Power management integrated circuit load switch driver with dynamic biasing | MICRON TECHNOLOGY, INC. |
11024346 | Semiconductor circuit, driving method, and electronic device with less disturbance | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024350 | Semiconductor device including a calibration circuit capable of generating strobe signals and clock signals having accurate duty ratio and training method thereof | SK HYNIX INC. |
11024354 | Low power linear memory readout | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024391 | System and method for estimating uninformed log-likelihood ratio (LLR) for NAND flash memories | TOSHIBA MEMORY CORPORATION |
11024454 | High performance inductors | QUALCOMM INCORPORATED |
11024479 | Passive wireless switch circuit and related apparatus | QORVO US, INC. |
11024626 | Apparatus and circuits including transistors with different threshold voltages and methods of fabricating the same | -- |
11024707 | Charge balance semiconductor device, in particular for high efficiency RF applications, and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
11024798 | Protective passivation layer for magnetic tunnel junctions | -- |
11024932 | Tunable frequency selective limiter | ROCKWELL COLLINS, INC. |
11024935 | Apparatuses for power combining and power dividing | COMMSCOPE TECHNOLOGIES LLC |
11025015 | Electrical socket apparatus, electrical plug apparatus and method of operation | VESTEL ELEKTRONIK SANAYI VE TICARET A.S. |
11025038 | Methods and apparatus for a current circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11025047 | Backflow prevention circuit and power supply circuit | ABLIC INC. |
11025141 | On-board electric compressor with a motor and noise reducing unit with inverter device having a damping unit reducing Q value of low pass filter circuit | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11025159 | Control of direct parallel automotive switches for power converters to selectively prevent activation thereof | FORD GLOBAL TECHNOLOGIES, LLC |
11025166 | DC-DC converter for a low voltage power source | EM MICROELECTRONIC MARIN S.A. |
11025169 | Overload protection for power converter | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11025170 | Systems and methods for reducing switching loss in power conversion systems | ON-BRIGHT ELECTRONICS (SHANGHAI) CO., LTD. |
11025194 | Integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11025195 | Swing tracking and control | QUALCOMM INCORPORATED |
11025196 | LC oscillator driven by a negative resistance element | TEXAS INSTRUMENTS INCORPORATED |
11025197 | Resonator circuit | HUAWEI TECHNOLOGIES CO., LTD. |
11025198 | Radio frequency oscillator | HUAWEI TECHNOLOGIES CO., LTD. |
11025199 | Oscillator and imaging device | CANON KABUSHIKI KAISHA |
11025200 | Magnetic coupling layers, structures comprising magnetic coupling layers and methods for fabricating and/or using same | -- |
11025201 | Power efficient radio mixers | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11025202 | High efficiency ultra-wideband amplifier | -- |
11025203 | Communication device and operating method thereof | SOLID, INC. |
11025204 | Circuit having high-pass filter with variable corner frequency | -- |
11025205 | High frequency amplifier | MITSUBISHI ELECTRIC CORPORATION |
11025206 | Power supply for envelope tracking | -- |
11025207 | Bias techniques for controlled voltage distribution in stacked transistor amplifiers | PSEMI CORPORATION |
11025208 | Switchless multi input stacked transistor amplifier tree structure | PSEMI CORPORATION |
11025209 | Power amplifier layout | SKYWORKS SOLUTIONS, INC. |
11025210 | Systems and methods related to power amplification and power supply control | SKYWORKS SOLUTIONS, INC. |
11025211 | Amplification apparatus and transmission apparatus | KABUSHIKI KAISHA TOSHIBA |
11025212 | Operational transconductance amplifier and a method for converting a differential input voltage to a differential output current | -- |
11025213 | Output pole-compensated operational amplifier | TEXAS INSTRUMENTS INCORPORATED |
11025214 | Low voltage class AB operational trans-conductance amplifier | INTEL CORPORATION |
11025215 | High input impedance, high dynamic range, common-mode-interferer tolerant sensing front-end for neuromodulation systems | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11025216 | Biased amplifier | TEXAS INSTRUMENTS INCORPORATED |
11025217 | Fast automatic gain control for high performance wireless communications in substation automation | ABB POWER GRIDS SWITZERLAND AG |
11025218 | Frontend module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11025219 | Common-mode filter | STMICROELECTRONICS (TOURS) SAS |
11025220 | Acoustic wave device with high thermal conductivity layer on interdigital transducer | SKYWORKS SOLUTIONS, INC. |
11025221 | Acoustic wave device, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11025222 | Vibration element, manufacturing method of vibration element, physical quantity sensor, inertial measurement device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11025223 | Miniature tunable filters | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11025224 | RF circuitry having simplified acoustic RF resonator parallel capacitance compensation | QORVO US, INC. |
11025225 | Resonance apparatus for processing electrical loss using conductive material and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11025226 | Multiplexer | TAIYO YUDEN CO., LTD. |
11025227 | Multiplexer, high-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11025228 | Dynamic noise shaping filters and corresponding methods | HONEYWELL INTERNATIONAL INC. |
11025229 | Compensation for binary weighted divider | TEXAS INSTRUMENTS INCORPORATED |
11025230 | Filter that minimizes in-band noise and maximizes detection sensitivity of exponentially-modulated signals | DYNASPOT CORP. |
11025231 | Providing a programmable inductor to enable wide tuning range | SILICON LABORATORIES INC. |
11025232 | Electronic device | CSEM CENTRE SUISSE D'ELECTRONIQUE ET DE MICROTECHNIQUE SA—RECHERCHE ET DÉVELOPPEMENT |
11025233 | Oscillator circuit resistant to noise and jitter | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11025234 | Process and temperature compensated ring oscillator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11025235 | Level shifter | SK HYNIX INC. |
11025236 | Low-power AOI-based flip-flop | -- |
11025237 | Zero static high-speed, low power level shifter | SIFIVE, INC. |
11025238 | Level-shifting circuit configured to limit leakage current | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11025239 | Static compensation of an active clock edge shift for a duty cycle correction circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11025240 | Circuits for delay mismatch compensation and related methods | -- |
11025241 | Comparator circuit and mobile device | -- |
11025242 | Methods and apparatus to transmit signals in isolated gate drivers | TEXAS INSTRUMENTS INCORPORATED |
11025243 | Power circuit | MASCHINENFABRIK REINHAUSEN GMBH |
11025244 | Switch driving circuit and driving apparatus | DENSO CORPORATION |
11025245 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11025246 | Switch control circuit and switch control system | WENZHOU MTLC ELECTRIC APPLIANCES CO., LTD. |
11025247 | Gate driver circuit providing an output voltage that is clamped | ALLEGRO MICROSYSTEMS, LLC |
11025248 | Hybrid power devices | FUTUREWEI TECHNOLOGIES, INC. |
11025249 | Clamp for a hybrid switch | POWER INTEGRATIONS, INC. |
11025250 | Oscillation sensor with calibration unit and measurement device | PEPPERL+FUCHS GMBH |
11025251 | Button assemblies | SATECO AG |
11025252 | Circuit for detection of single bit upsets in generation of internal clock for memory | STMICROELECTRONICS INTERNATIONAL N.V. |
11025253 | Output stage circuit and related control method | -- |
11025254 | Linear input and non-linear output threshold logic gate | KEPLER COMPUTING INC. |
11025255 | Signal generation circuit synchronized with a clock signal and a semiconductor apparatus using the same | SK HYNIX INC. |
11025256 | Filter with direct current level shift and associated phase-locked loop circuit | -- |
11025257 | Devices and methods for generating a broadband frequency signal | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11025258 | Systems and methods for integration of injection-locked oscillators into transceiver arrays | SKYWORKS SOLUTIONS, INC. |
11025259 | Systems and methods for integration of injection-locked oscillators into transceiver arrays | SKYWORKS SOLUTIONS, INC. |
11025260 | Phase-locked loop (PLL) with multiple error determiners | QUALCOMM INCORPORATED |
11025261 | Phase-locked loop monitor circuit | -- |
11025262 | Pipelined analog-to-digital converter | -- |
11025263 | Adaptive low power common mode buffer | STMICROELECTRONICS INTERNATIONAL N.V. |
11025264 | Distributed ADC for enhanced bandwidth and dynamic range | ANALOG DEVICES, INC. |
11025265 | Method and system for an asynchronous successive approximation register analog-to-digital converter with word completion function | LUXTERA LLC |
11025266 | Digital-to-analog converter, conversion circuit and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11025267 | DAC and oscillation circuit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11025268 | Encoding and decoding method for optical isolation amplifier employing sigma-delta modulation technology | LITE-ON SINGAPORE PTE. LTD. |
11025269 | Capacitively coupled continuous-time delta-sigma modulator and operation method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11025270 | System and methods for data compression and nonuniform quantizers | CABLE TELEVISION LABORATORIES, INC. |
11025271 | Compression of high dynamic ratio fields for machine learning | QUALCOMM INCORPORATED |
11025272 | Real-time history-based byte stream compression | CITRIX SYSTEMS, INC. |
11025273 | Reducing error in data compression | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11025274 | Memory controller and method of data bus inversion using an error detection correction code | RAMBUS INC. |
11025275 | LDPC code block segmentation | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11025276 | Enhanced puncturing and low-density parity-check (LDPC) code structure | QUALCOMM INCORPORATED |
11025277 | Data processing method, data processing apparatus, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11025278 | Polar coding encoding/decoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11025279 | System and method for decoding encoded messages in a wireless communication system | AT&T INTELLECTUAL PROPERTY I, L.P. |
11025280 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11025281 | Memory system | KIOXIA CORPORATION |
11025282 | Transmitter and repetition method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11025283 | Decoding latency and throughput of a multi-decoder error correction system | SK HYNIX INC. |
11025291 | Low power, centralized data collection | APANA INC. |
11025298 | Near-end crosstalk cancellation | GENESIS TECHNICAL SYSTEMS CORP. |
11025347 | Compensating for channel distortion during contactless communication | APPLE INC. |
11025356 | Clock synchronization in a master-slave communication system | KNOWLES ELECTRONICS, LLC |
11025363 | Data processing method, precoding method, and communication device | SUN PATENT TRUST |
11025364 | Robust line coding scheme for communication under severe external noises | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11025366 | Channel encoding method and apparatus in wireless communications | HUAWEI TECHNOLOGIES CO., LTD. |
11025410 | Shared blockchain data storage based on error correction coding in trusted execution environments | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11025458 | Adaptive frequency equalizer for wide modulation bandwidth envelope tracking | QORVO US, INC. |
11025461 | Systems and methods for delta-sigma digitization | CABLE TELEVISION LABORATORIES, INC. |
11025751 | Method for processing data packet and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11025853 | Comparator circuit, solid-state imaging apparatus, and electronic device | SONY CORPORATION |
11026019 | Ambisonic signal noise reduction for microphone arrays | QUALCOMM INCORPORATED |
11026021 | Hybrid speaker and converter | SONY INTERACTIVE ENTERTAINMENT INC. |
11026033 | Audio component adjusting | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11026041 | Compensation of own voice occlusion | CIRRUS LOGIC, INC. |
11026232 | Remotely reconfigurable distributed antenna system and methods | DALI WIRELESS, INC. |
11026253 | Mapping a physical downlink control channel (PDCCH) across multiple transmission configuration indication (TCI) states | QUALCOMM INCORPORATED |
11026633 | Method for detecting motion artifact using wavelet transform | UNIVERSITY OF ULSAN FOUNDATION FOR INDUSTRY COOPERATION |
11026751 | Display of alignment of staple cartridge to prior linear staple line | CILAG GMBH INTERNATIONAL |
11028814 | Semiconductor device for internal combustion engine ignition | FUJI ELECTRIC CO., LTD. |
11029216 | IC with stragically biased digital circuitry | RAMBUS INC. |
11029275 | Device for detecting a wear level of a wear plate | DEERE & COMPANY |
11029288 | Sensor apparatus | KYOCERA CORPORATION |
11029338 | Current sensor | TEXAS INSTRUMENTS INCORPORATED |
11029357 | Embedded logic analyzer and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11029365 | Semiconductor device | ROHM CO., LTD. |
11029438 | Capacitive-coupling sensor and method for producing the same | SUMITOMO RIKO COMPANY LIMITED |
11029659 | Method and apparatus for remote field programmable gate array processing | INTEL CORPORATION |
11029740 | Power conversion device and semiconductor device | RENESAS ELECTRONICS CORPORATION |
11029779 | Capacitive sensor and input device | CAPITAL ONE SERVICES, LLC |
11029787 | Haptic feedback system | GOOGLE LLC |
11029870 | Technologies for dividing work across accelerator devices | INTEL CORPORATION |
11029891 | Hybrid distributed storage system to dynamically modify storage overhead and improve access performance | CISCO TECHNOLOGY, INC. |
11029919 | Internally truncated multiplier | TEXAS INSTRUMENTS INCORPORATED |
11030041 | Decoding method, associated flash memory controller and electronic device | -- |
11030043 | Error correction circuit and memory system | TOSHIBA MEMORY CORPORATION |
11030044 | Dynamic blockchain data storage based on error correction code | ALIPAY (HANGZHOU) INFORMATION TECHNOLOGY CO., LTD. |
11030131 | Data processing performance enhancement for neural networks using a virtualized data iterator | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030139 | Circuit device, circuit device determination method, and electronic apparatus | SEIKO EPSON CORPORATION |
11030369 | Superconducting circuit with virtual timing elements and related methods | MICROSOFT TECHNOLOGY LICENSING, LLC |
11030985 | Musical instrument special effects device | ALGORHYTHM TECHNOLOGIES INC. |
11030988 | Signal processing device, signal processing method, and program | SONY CORPORATION |
11031002 | Recognizing speech in the presence of additional audio | GOOGLE LLC |
11031042 | Efficient rewrite using larger codeword sizes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031091 | Apparatus and method for measuring round-trip time of test signal using programmable logic | UNITEST INC. |
11031158 | Continuously variable precision and linear floating resistor using metal-oxide-semiconductor field-effect transistors | INDIAN INSTITUTE OF TECHNOLOGY BOMBAY |
11031385 | Standard cell for removing routing interference between adjacent pins and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11031388 | Semiconductor structure and driving chip | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11031519 | Light receiving unit | AZUR SPACE SOLAR POWER GMBH |
11031539 | Piezoelectric vibrator and sensor | PIEZO STUDIO INC. |
11031540 | Substituted aluminum nitride for improved acoustic wave filters | SKYWORKS SOLUTIONS, INC. |
11031662 | Low temperature co-fired ceramic band-pass filter | AAC TECHNOLOGIES PTE. LTD. |
11031663 | Stacked ceramic resonator filter connected by tabs to a printed circuit board and usable for forming an RF module and a wireless mobile device | SKYWORKS SOLUTIONS, INC. |
11031665 | Magnetic-free non-reciprocal circuits based on sub-harmonic spatio-temporal conductance modulation | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11031762 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
11031775 | DC electronic switch with temperature independent current limit | ENLIGHTEN LUMINAIRES LLC |
11031823 | Dynamic volumetric refocusing of arrays | GURU INC. |
11031863 | Amplifier circuit and methods of operation thereof | CIRRUS LOGIC, INC. |
11031867 | Digital-to-analog converter with embedded minimal error adaptive slope compensation for digital peak current controlled switched mode power supply | CIRRUS LOGIC, INC. |
11031869 | Dual mode switching regulator with PWM/PFM frequency control | TEXAS INSTRUMENTS INCORPORATED |
11031872 | Controlling output voltage for power converter | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11031908 | Vibration device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11031909 | Group delay optimization circuit and related apparatus | QORVO US, INC. |
11031910 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
11031911 | Envelope tracking integrated circuit and related apparatus | QORVO US, INC. |
11031912 | Self-optimising RF amplifier | ETL SYSTEMS LIMITED |
11031913 | Bias voltage connections in RF power amplifier packaging | CREE, INC. |
11031914 | Diode linearizer | MITSUBISHI ELECTRIC CORPORATION |
11031915 | Biasing an amplifier using a mirror bias signal | SKYWORKS SOLUTIONS, INC. |
11031916 | Circuit with wide range input common mode voltage operation | TEXAS INSTRUMENTS INCORPORATED |
11031917 | Method for generating a bias current for biasing a differential pair of transistors and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
11031918 | Millimeter wave transmitter design | INTEL CORPORATION |
11031919 | Elastic wave device, duplexer, and communication device | KYOCERA CORPORATION |
11031920 | Radio-frequency filter, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11031921 | Acoustic wave filter device, duplexer, radio frequency front end circuit and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11031922 | Switch circuit with reduced switch node ringing | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LIMITED |
11031923 | Interface device and interface method for 3D semiconductor device | -- |
11031924 | Clock multiplier | -- |
11031925 | Frequency multiplying circuit for clock signal | SPREADTRUM COMMUNICATIONS (SHANGHAI) CO., LTD. |
11031926 | Digital clock circuit for generating high-ratio frequency multiplication clock signal | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11031927 | Systems and methods for generating a controllable-width pulse signal | -- |
11031928 | Semiconductor integrated circuit and transmission device | TOSHIBA MEMORY CORPORATION |
11031929 | Actively tracking switching speed control of a power transistor | INFINEON TECHNOLOGIES AG |
11031930 | Electric circuit having a charge pump monitor | SUMITOMO WIRING SYSTEMS, LTD. |
11031931 | Method for protecting a semiconductor switch, protective device for a semiconductor switch, and control circuit for a semiconductor switch | ROBERT BOSCH GMBH |
11031932 | Power module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11031933 | Enhancement mode startup circuit with JFET emulation | TEXAS INSTRUMENTS INCORPORATED |
11031934 | Connection circuit and connection method thereof | -- |
11031935 | Switching circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11031936 | Hybrid transmitter | -- |
11031937 | Dual electro-mechanical oscillator for dynamically reprogrammable logic gate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11031938 | Radio frequency synchronization in low-power and lossy networks | CISCO TECHNOLOGY, INC. |
11031939 | Phase detector command propagation between lanes in MCM USR serdes | MELLANOX TECHNOLOGIES, LTD. |
11031940 | Sensing circuits | CIRRUS LOGIC, INC. |
11031941 | Filterless digital phase-locked loop | ANALOG DEVICES, INC. |
11031942 | Frequency generator and associated method | -- |
11031943 | Linear frequency ramp generator using multi-point injection | APPLE INC. |
11031944 | Systems for transporting externally received signals within a motor vehicle | AUDI AG |
11031945 | Time-to-digital converter circuit linearity test mechanism | APPLE INC. |
11031946 | Apparatus and method for low-latency low-power analog-to-digital conversion with high input signals | DIALOG SEMICONDUCTOR |
11031947 | Conversion and folding circuit for delay-based analog-to-digital converter system | TEXAS INSTRUMENTS INCORPORATED |
11031948 | Diagnostic system | BAKER HUGHES OILFIELD OPERATIONS LLC |
11031949 | Analog-to-digital converter, sensor arrangement and method for analog-to-digital conversion | AMS AG |
11031950 | Compressively-accelerated read mapping framework for next-generation sequencing | -- |
11031951 | Verifying the correctness of a deflate compression accelerator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031952 | Error correction decoder and memory system having the same | SK HYNIX INC. |
11031953 | High performance, flexible, and compact low-density parity-check (LDPC) code | QUALCOMM INCORPORATED |
11031954 | Data decoding method using LDPC code as error correction code and data transmitting method thereof | -- |
11031955 | Incremental redundancy and variations for polar codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11031956 | Generalized concatenated error correction coding scheme with locality | SAMSUNG ELECTRONICS CO., LTD. |
11031957 | Decoder performing iterative decoding, and storage device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11031958 | Hybrid polar code design for ultra-reliable low latency communications (URLLC) | QUALCOMM INCORPORATED |
11031959 | System and method for informational reduction | OPEN INVENTION NETWORK LLC |
11031960 | Rate matching methods for LDPC codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11031961 | Smart symbol changes for optimization of communications using error correction | MICROSOFT TECHNOLOGY LICENSING, LLC |
11031962 | Carrier aggregated signal transmission and reception | SAMSUNG ELECTRONICS CO., LTD. |
11031974 | Methods and systems for controlled communication in wireless charging | CHENGDU CONVENIENTPOWER SEMICONDUCTOR CO. LTD. |
11031979 | Regulated switch banks for driving transmit power amplifiers of a MIMO wireless transceiver | QUANTENNA COMMUNICATIONS, INC. |
11031989 | Front end module for 5.2 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
11032023 | Methods for creating check codes, and systems for wireless communication using check codes | TARANA WIRELESS, INC. |
11032025 | Sequence generation method for polar code, storage medium thereof, and data transmission method and apparatus using same | SAMSUNG ELECTRONICS CO., LTD. |
11032026 | High performance, flexible, and compact low-density parity-check (LDPC) code | QUALCOMM INCORPORATED |
11032027 | Transmitting device for performing an encoding process on an information bit sequence using a coding scheme selected from a coding scheme set | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11032028 | Systems and methods for mitigating decoding errors due to puncturing of symbols | QUALCOMM INCORPORATED |
11032054 | Sequenced transmit muting for wideband power amplifiers | ANDREW WIRELESS SYSTEMS GMBH |
11032055 | Clock data recovery circuit | -- |
11032114 | Apparatus and method for sending and receiving broadcast signals | LG ELECTRONICS INC. |
11032501 | Low noise image sensor system with reduced fixed pattern noise | APPLE INC. |
11032642 | Combined frequency response and dynamic range correction for loudspeakers | -- |
11032711 | Systems and methods for security analysis of applications on user mobile devices while maintaining user application privacy | PROOFPOINT, INC. |
11032741 | Wireless communication device and wireless communication method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11032905 | Unmanned vehicle control systems | GE AVIATION SYSTEMS LLC |
11033196 | Digital range gated radio frequency sensor | RESMED SENSOR TECHNOLOGIES LIMITED |
11033197 | Apparatus for measuring bioimpedance and electrode-side board thereof | INBODY CO., LTD. |
11033322 | Circuit topologies for combined generator | ETHICON LLC |
11035900 | Scan-chain testing via deserializer port | CREDO TECHNOLOGY GROUP, LTD |
11036113 | Photonically-sampled electronically-quantized analog-to-digital converter | PHOTONIC SYSTEMS, INC. |
11036231 | In-vehicle device and estimation method | CLARION CO., LTD. |
11036253 | Dynamic scaling of system clock signal in response to detection of supply voltage droop | MICROSOFT TECHNOLOGY LICENSING, LLC |
11036262 | Radio frequency power amplifier with adjacent channel leakage correction circuit | MICRO MOBIO CORPORATION |
11036318 | Capacitive touch or proximity detection for crown | APPLE INC. |
11036380 | Display apparatus for performing function of user selected menu item on a user interface and method for controlling display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11036463 | Terminal device, control method, and audio data reproduction system | SONY CORPORATION |
11036579 | Decoder for memory system and method thereof | SK HYNIX INC. |
11036585 | Distributed storage system | HITACHI, LTD. |
11036671 | Asynchronous transceiver for on-vehicle electronic device | CYPRESS SEMICONDUCTOR CORPORATION |
11036685 | System and method for compressing data in a database | FUTUREWEI TECHNOLOGIES, INC. |
11036904 | Apparatus and method for ternary logic synthesis with modified Quine-McCluskey algorithm | POSTECH RESEARCH AND BUSINESS DEVELOPMENT FOUNDATION |
11036910 | Element removal design in microwave filters | RESONANT INC. |
11037069 | Method for creating gates and circuits for greatly improved computing apparatus by using symbol transformer | -- |
11037513 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11037579 | Coding method, device and recording medium | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11037607 | Strong arm latch with wide common mode range | INTEL CORPORATION |
11037619 | Using dual channel memory as single channel memory with spares | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037893 | Selectively shielded radio frequency module with linearized low noise amplifier | SKYWORKS SOLUTIONS, INC. |
11037896 | Method and apparatus for forming backside die planar devices and saw filter | INTEL CORPORATION |
11037927 | Circuit device and electronic apparatus | SEIKO EPSON CORPORATION |
11038096 | Stack assembly having electro-acoustic device | SKYWORKS SOLUTIONS, INC. |
11038099 | Perpendicular magnetoelectric spin orbit logic | INTEL CORPORATION |
11038249 | Harmonic hybrid electronic load pull tuner | -- |
11038250 | Directional coupler assembly | MINIRF, INC. |
11038251 | Resonator | SOSHIN ELECTRIC CO., LTD. |
11038282 | Energy absorbing circuit | COMMSCOPE TECHNOLOGIES LLC |
11038340 | Soft-starter AC-AC converter with integrated solid-state circuit breaker and method of operation thereof | EATON INTELLIGENT POWER LIMITED |
11038341 | Load driving device | ROHM CO., LTD. |
11038345 | Over-voltage tolerant analog test bus | NXP B.V. |
11038362 | Self-contained power signal generation system for electricity meter testing | TECHNOLOGY FOR ENERGY CORPORATION |
11038371 | Power supply control device | AUTONETWORKS TECHNOLOGIES, LTD. |
11038380 | Split-ring resonator with integrated magnetic tunnel junction for highly sensitive and efficient energy harvesting | NATIONAL UNIVERSITY OF SINGAPORE |
11038415 | Power supply device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11038417 | Series-parallel charge pump with NMOS devices | SILANNA ASIA PTE LTD |
11038459 | Temperature compensated oscillator | EOSEMI LIMITED |
11038460 | Circuit apparatus, oscillator, electronic instrument, and vehicle | SEIKO EPSON CORPORATION |
11038461 | Optocoupler emulating input stage for digital isolators | TEXAS INSTRUMENTS INCORPORATED |
11038462 | Semiconductor device and wireless communication apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11038463 | Integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11038464 | Envelope tracking amplifier apparatus | QORVO US, INC. |
11038465 | Amplifier linearity boost circuits and methods for post distortion feedback cancelation | SKYWORKS SOLUTIONS, INC. |
11038466 | Wideband envelope control in polar modulators | ERIDAN COMMUNICATIONS, INC. |
11038467 | Power detector with all transistors being bipolar junction transistors | -- |
11038468 | Circuit arrangement for generating a supply voltage with controllable ground potential level | SIEMENS AKTIENGESELLSCHAFT |
11038469 | Power amplification module | MURATA MANUFACTURING CO., LTD. |
11038470 | Autonomous power reduction | INTEL IP CORPORATION |
11038471 | Envelope tracking system with modeling of a power amplifier supply voltage filter | SKYWORKS SOLUTIONS, INC. |
11038472 | Power amplifier system | QORVO US, INC. |
11038473 | Phase shifters for gallium nitride amplifiers and related methods | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038474 | Phased array amplifier linearization | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11038475 | Low-power, low-noise amplifier with negative feedback loop | KNU-INDUSTRY COOPERATION FOUNDATION |
11038476 | Power amplification device, terminal having the same, and base station having the same | SAMSUNG ELECTRONICS CO., LTD. |
11038477 | High gain resonant amplifier for resistive output impedance | AIRILY TECHNOLOGIES, LLC |
11038478 | Radio frequency signal transceiver | MONTAGE LZ TECHNOLOGIES (CHENGDU) CO., LTD. |
11038479 | High power amplifier circuit with protective feedback circuit | COMET AG |
11038480 | Amplifier | SAMSUNG ELECTRONICS CO., LTD. |
11038481 | Automatic volume control for combined game and chat audio | VOYETRA TURTLE BEACH, INC. |
11038482 | Parametric equalization for audio applications | DIRAC RESEARCH AB |
11038483 | Multiple-output radiofrequency matching module and associated methods | LAM RESEARCH CORPORATION |
11038484 | Acoustic wave device, band pass filter, and multiplexer | MURATA MANUFACTURING CO., LTD. |
11038485 | Crystal vibration element, and crystal vibrator equipped with crystal vibration element | MURATA MANUFACTURING CO., LTD. |
11038486 | Acoustic wave device | TAIYO YUDEN CO., LTD. |
11038487 | FBAR filter with integrated cancelation circuit | SKYWORKS SOLUTIONS, INC. |
11038488 | Multiplexer | MURATA MANUFACTURING CO., LTD. |
11038489 | Acoustic wave band-pass filter with low loss LC notch matching network | RESONANT INC. |
11038490 | Active gyrator circuit in one-pair ethernet with PoDL | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11038491 | Power switching apparatus | ARTILUX, INC. |
11038492 | Clock pulse generation circuit | APPLE INC. |
11038493 | Local oscillator (LO) for wireless communication | QUALCOMM INCORPORATED |
11038494 | Semiconductor circuit and method of controlling same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11038495 | Low voltage level shifter for integrated circuit | SILICON STORAGE TECHNOLOGY, INC. |
11038496 | Isolator and signal generation method for improving common mode transient immunity | -- |
11038497 | Semiconductor device including clock generation circuit | SK HYNIX INC. |
11038498 | Device and method for controlling switching | MITSUBISHI ELECTRIC CORPORATION |
11038499 | Gate drive apparatus and switching apparatus | FUJI ELECTRIC CO., LTD. |
11038500 | Gate resistance adjustment device | KABUSHIKI KAISHA TOSHIBA |
11038501 | Transistor circuit and electronic circuit having same | DB HITEK CO., LTD. |
11038502 | Methods, apparatus, and systems to drive a transistor | TEXAS INSTRUMENTS INCORPORATED |
11038503 | GaN driver using active pre-driver with feedback | EFFICIENT POWER CONVERSION CORPORATION |
11038504 | Generating high dynamic voltage boost | -- |
11038505 | Switch control circuit and switch circuit | -- |
11038506 | Output circuit | SOCIONEXT INC. |
11038507 | Switch assembly and control method thereof | -- |
11038508 | Controller area network (CAN), CAN device and method therefor | NXP B.V. |
11038509 | Circuit device, oscillator, real-time clock device, electronic device, and vehicle | SEIKO EPSON CORPORATION |
11038510 | Oscillator with time error correction | TIMECUBIC, INC. |
11038511 | Apparatus and methods for system clock compensation | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11038512 | Phase-locking apparatus and phase-locking method | HUAWEI TECHNOLOGIES CO., LTD. |
11038513 | Phase-locked loop with reduced frequency transients | RAYTHEON COMPANY |
11038514 | Variable resolution digital equalization | RAMBUS INC. |
11038515 | Noise shaping algorithmic analog-to-digital converter | ANALOG DEVICES, INC. |
11038516 | Apparatus and method for analog-to-digital conversion | INTEL CORPORATION |
11038517 | Multiplying digital-to-analog converter (MDAC) with nonlinear calibration | TEXAS INSTRUMENTS INCORPORATED |
11038518 | Dynamic integration time adjustment of a clocked data sampler using a static analog calibration circuit | KANDOU LABS, S.A. |
11038519 | Circuits and methods for reducing charge losses in switched capacitor analog to digital converters | TEXAS INSTRUMENTS INCORPORATED |
11038520 | Analog-to-digital conversion with reconfigurable function mapping for neural networks activation function acceleration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038521 | Spur and quantization noise cancellation for PLLS with non-linear phase detection | SILICON LABORATORIES INC. |
11038522 | Offset compensation in ADC circuitry | NXP B.V. |
11038523 | Ring oscillator-based analog-to-digital converter | INTEL CORPORATION |
11038524 | Convolutions of digital signals using a bit requirement optimization of a target digital signal | ACOUSTICAL BEAUTY |
11038525 | Methods of converting or reconverting a data signal and method and system for data transmission and/or data reception | TECHNISCHE UNIVERSITAT MüNCHEN |
11038526 | Energy efficient adaptive data encoding method and circuit | ADVANCED MICRO DEVICES, INC. |
11038527 | Electronic device and method for compressing sampled data | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
11038528 | Genetic programming based compression determination | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038529 | Wireless preamble design for wireless communication devices and methods | QUALCOMM INCORPORATED |
11038530 | Error correction using cyclic code-based LDPC codes | WESTHOLD CORPORATION |
11038531 | Encoding method and apparatus, and computer storage medium | CHINA ACADEMY OF TELECOMMUNICATIONS TECHNOLOGY |
11038532 | Data processing method and device | ZTE CORPORATION |
11038533 | Expansion for generalized EVENODD codes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038534 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 7/15 and quadrature phase shift keying, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11038535 | Parity puncturing device for variable-length signaling information encoding, and parity puncturing method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11038536 | Semiconductor memory device and method of controlling the same | TOSHIBA MEMORY CORPORATION |
11038537 | Magic state distillation with low space overhead and asymptotic input count | MICROSOFT TECHNOLOGY LICENSING, LLC |
11038538 | Maximum likelihood error detection for decision feedback equalizers with PAM modulation | INPHI CORPORATION |
11038539 | Near-capacity iterative detection of co-channel interference for a high-efficiency multibeam satellite system | HUGHES NETWORK SYSTEMS, LLC |
11038540 | Method and apparatus for low-density parity-check (LDPC) coding | IDAC HOLDINGS, INC. |
11038543 | Carrier aggregation using split band filters | SKYWORKS SOLUTIONS, INC. |
11038544 | Method and apparatus for IIP2 calibration | APPLE INC. |
11038546 | Electronic device including a temperature sensor connected to a power amplifier and a controller to control an input power based on a temperature of the power amplifier detected by the temperature sensor | SAMSUNG ELECTRONICS CO., LTD. |
11038571 | Communication node and method for generating beamformed signals by backscattering | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11038603 | Antenna detection through noise measurement | NEPTUNE TECHNOLOGY GROUP INC. |
11038611 | Method and apparatus for controlling a multichannel TDM device | MAXIM INTEGRATED PRODUCTS, INC. |
11038625 | Base station apparatus, terminal apparatus, and communication method | SHARP KABUSHIKI KAISHA |
11038721 | Digital isolator | KABUSHIKI KAISHA TOSHIBA |
11038722 | Adaptive equalizer system | VIASAT, INC. |
11038726 | 8b10b PAM4 encoding | VALENS SEMICONDUCTOR LTD. |
11038728 | Demodulating surveillance signals | HONEYWELL INTERNATIONAL INC. |
11038738 | Encoding method and encoder for (n,n(n-1),n-1) permutation group code in communication modulation system | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11039098 | Image sensor, method of controlling image sensor, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11039100 | Signal conversion circuit and signal readout circuit | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11039142 | Encoding and decoding of significant coefficients in dependence upon a parameter of the significant coefficients | SONY CORPORATION |
11039169 | GOLOMB-RICE/EG coding technique for CABAC in HEVC | DOLBY INTERNATIONAL AB |
11039183 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
11039243 | Apparatus for providing an audio signal for reproduction by a sound transducer, system, method and computer program | FRAUNHOFER-GESELLSCHAFT ZUR FOFRDFRTTNG DER ANGEWANDTEN FORSCHUNG E.V. |
11039246 | Volume adjusting method, device, and terminal device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11039401 | Electronic device and method for adjusting electrical length of radiating portion | SAMSUNG ELECTRONICS CO., LTD. |
11039425 | Polar codes with a cross-referenceable nested structure for hierarchical signaling | QUALCOMM INCORPORATED |
11039511 | Defrosting apparatus with two-factor mass estimation and methods of operation thereof | NXP USA, INC. |
11039512 | Defrosting apparatus with lumped inductive matching network and methods of operation thereof | NXP USA, INC. |
11039517 | Fraction PWM with multiple phase display clock | SCT LTD. |
11039524 | Automated system for lighting control | POWERCAST CORPORATION |
11040326 | Method for treating liquids with alternating electromagnetic field | VLADIMIR YURIEVICH KUKUSHKIN |
11040621 | Input device having an actuation part and a magnetic measuring field for determining a position parameter of the actuation part | PREH GMBH |
11040626 | AC electric rolling stock controller | MITSUBISHI ELECTRIC CORPORATION |
11041765 | Temperature sensor and modulation circuit for voltage to duty-cycle conversion of the same | HANGZHOU VANGO TECHNOLOGIES, INC. |
11041877 | Determining motion of a moveable platform | BLACKBERRY LIMITED |
11041884 | Calibration for test and measurement instrument including asynchronous time-interleaved digitizer using harmonic mixing | TEKTRONIX, INC. |
11041888 | Current detection circuit, semiconductor device and semiconductor system | RENESAS ELECTRONICS CORPORATION |
11041890 | Current measurement at a switching amplifier output | SYNAPTICS INCORPORATED |
11041893 | Method and apparatus to evaluate audio equipment for dynamic distortions and or differential phase and or frequency modulation effects | -- |
11041922 | Magnetic coil power methods and apparatus | HYPERFINE RESEARCH, INC. |
11041937 | Multiple radars on chip-based systems | INXPECTS.P.A. |
11042024 | Methods and devices for detecting open and/or shorts circuits in MEMS micro-mirror devices | GOOGLE LLC |
11042126 | Time-to-digital converter | HUAWEI INTERNATIONAL PTE. LTD. |
11042180 | Detecting irregularities in an input clock signal | ARM LIMITED |
11042277 | Display apparatus for performing function of user selected menu item on a user interface and method for controlling display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11042289 | Electronic device, character input control method, and non-transitory storage medium | KYOCERA CORPORATION |
11042346 | Artificial cochlea | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042353 | Monitoring loudness level during media replacement event using shorter time constant | ROKU, INC. |
11042354 | Audio adjustment control for wireless device | SONY CORPORATION |
11042433 | Data interpretation with modulation error ratio analysis | COMCAST CABLE COMMUNICATIONS, LLC |
11042439 | Efficient read and recovery with outer code | SEAGATE TECHNOLOGY LLC |
11042544 | Derived data dictionary for optimizing transformations of encoded data | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042812 | Optimized testing of quantum-logic circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11042813 | Quantum circuits with reduced T gate count | GOOGLE LLC |
11043045 | Monitoring device and motor vehicle including the same | ROHM CO., LTD. |
11043180 | Power supply circuit and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11043227 | Coding method, device and recording medium | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11043259 | System and method for in-memory compute | THE TRUSTEES OF PRINCETON UNIVERSITY |
11043285 | Bioinformatics systems, apparatus, and methods executed on an integrated circuit processing platform | EDICO GENOME CORPORATION |
11043483 | Semiconductor device in a level shifter with electrostatic discharge (ESD) protection circuit and semiconductor chip | MAGNACHIP SEMICONDUCTOR, LTD. |
11043485 | Electronic device having semiconductor device with protective resistor | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11043508 | Semiconductor integrated circuit device, current control device using semiconductor integrated circuit device, and automatic transmission control device using current control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11043592 | Antiferromagnet field-effect based logic circuits including spin orbital coupling channels with opposing preferred current paths and related structures | GEORGIA TECH RESEARCH CORPORATION |
11043627 | Techniques for monolithic co-integration of thin-film bulk acoustic resonator devices and III-N semiconductor transistor devices | INTEL CORPORATION |
11043753 | Method for separately biasing power amplifier for additional power control | INTEGRATED DEVICE TECHNOLOGY, INC. |
11043847 | Wireless charging receiver | THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11043854 | Wireless power transfer system and method | SPARK CONNECTED LLC |
11043893 | Bias regulation system | NXP USA, INC. |
11043894 | Charge pump circuit | CIRRUS LOGIC, INC. |
11043897 | Current regulator | DIALOG SEMICONDUCTOR (UK) LIMITED |
11043911 | Motor control device with built-in current sensing resistor and power transistor | -- |
11043916 | Oscillator with reduced acceleration sensitivity | KVG QUARTS CRYSTAL TECHNOLOGY GMBH |
11043917 | Optoelectronic oscillator | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES |
11043918 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
11043919 | Power amplifier | SAMSUNG ELECTRONICS CO., LTD. |
11043920 | Wideband Doherty high efficiency power amplifier | CITY UNIVERSITY OF HONG KONG |
11043921 | Doherty amplifier system | QORVO US, INC. |
11043922 | Amplification circuit | MURATA MANUFACTURING CO., LTD. |
11043923 | Bias circuit and amplifying device having temperature compensation function | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11043924 | High frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11043925 | High-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11043926 | Audio power source with improved efficiency | BIAMP SYSTEMS, LLC |
11043927 | Signal amplification structure and communication device | SMARTER MICROELECTRONICS (GUANG ZHOU) CO., LTD. |
11043928 | RF power amplifier system | AMPLEON NETHERLANDS B.V. |
11043929 | Method and system for gain control in a communications device | NXP B.V. |
11043930 | Radio frequency circuit, radio frequency front end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11043931 | Power combiner/divider | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11043932 | Surface acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11043933 | Notch filter | MURATA MANUFACTURING CO., LTD. |
11043934 | Multiplexer, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11043935 | LVDS driver circuit, integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11043936 | Tuning method for current mode relaxation oscillator | -- |
11043937 | Reduced area, reduced power flip-flop | TEXAS INSTRUMENTS INCORPORATED |
11043938 | Digital logic circuit for deterring race violations at an array test control boundary using an inverted array clock signal feature | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043939 | Frequency management for quantum control | QUANTUM MACHINES |
11043940 | Time difference amplifier with delay storage unit and saturation detector | QUALCOMM INCORPORATED |
11043941 | Apparatuses and methods for adjusting a phase mixer circuit | MICRON TECHNOLOGY, INC. |
11043942 | Variable delay circuits and methods | INTEL CORPORATION |
11043943 | Switching of paralleled reverse conducting IGBT and wide bandgap switch | ABB POWER GRIDS SWITZERLAND AG |
11043944 | System and method employing low distortion and low loss switch with anti-series diodes | LOCKHEED MARTIN CORPORATION |
11043945 | Capacitance-variable pressure sensor | -- |
11043946 | Continuous skew adjust | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043947 | Energy efficient power distribution circuits for protection of sensitive information | ARM LIMITED |
11043948 | Bandwidth enhanced amplifier for high frequency CML to CMOS conversion | QUALCOMM INCORPORATED |
11043949 | Programmable logic circuit for controlling an electrical facility, in particular a nuclear facility, associated control device and method | FRAMATOME |
11043950 | Method and system for providing a configurable logic device having a programmable DSP block | GOWIN SEMICONDUCTOR CORPORATION |
11043951 | Analog computer architecture for fast function optimization | PALO ALTO RESEARCH CENTER INCORPORATED |
11043952 | 3D stacked integrated circuits having failure management | MICRON TECHNOLOGY, INC. |
11043953 | Method and apparatus for calibration of voltage controlled oscillator | APPLE INC. |
11043954 | Oscillation circuit, oscillator, communication device, and method of controlling oscillation circuit | SEIKO EPSON CORPORATION |
11043955 | PLL circuit | MITSUBISHI ELECTRIC CORPORATION |
11043956 | Analog to digital converting system, time-skew calibration method, and related computer program product | -- |
11043957 | Sampling circuit and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11043958 | Time-interleaved noise-shaping successive-approximation analog-to-digital converter | -- |
11043959 | Current digital-to-analog converter with warming of digital-to-analog converter elements | CIRRUS LOGIC, INC. |
11043960 | Sigma-delta analog-to-digital converter circuit with correction for mismatch error introduced by the feedback digital-to-analog converter | STMICROELECTRONICS INTERNATIONAL N.V. |
11043961 | Analog-to-digital converter and associated chip | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11043962 | Information processing apparatus, information processing method, and recording medium | FUJITSU LIMITED |
11043963 | System and components for encoding integers | IDENSIFY LLC |
11043964 | Memory system, packet protection circuit, and CRC calculation method | KIOXIA CORPORATION |
11043965 | PCI express enhancements | INTEL CORPORATION |
11043966 | Methods and apparatus for efficiently generating multiple lifted low-density parity-check (LDPC) codes | QUALCOMM INCORPORATED |
11043967 | Method and apparatus for channel encoding and decoding in a communication system using a low-density parity check code | SAMSUNG ELECTRONICS CO., LTD. |
11043968 | Data processing device and data processing method | SATURN LICENSING LLC |
11043969 | Fast-converging soft bit-flipping decoder for low-density parity-check codes | SK HYNIX INC. |
11043970 | Method for transmitting LDPC code using row-orthogonal and apparatus therefor | LG ELECTRONICS INC. |
11043971 | Integrated circuit for transmission apparatus | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11043972 | Blockwise parallel frozen bit generation for polar codes | ACCELERCOMM LIMITED |
11043973 | Transmitting apparatus and signal processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11043974 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11043975 | Encoding method, decoding method, apparatus, and system | HUAWEI TECHNOLOGIES CO., LTD. |
11043976 | System and methods for low complexity list decoding of turbo codes and convolutional codes | SAMSUNG ELECTRONICS CO., LTD. |
11043978 | Bidirectional coupler | MURATA MANUFACTURING CO., LTD. |
11043979 | Transmission device and communication system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11043985 | Cable loss compensation system for time domain duplexed (TDD) radios using embedded radio frequency (RF) control | AMAZON TECHNOLOGIES, INC. |
11044028 | Apparatus for radio-frequency receiver with interference detection and associated methods | SILICON LABORATORIES INC. |
11044031 | Apparatus, system and method of transmitting a PPDU | INTEL IP CORPORATION |
11044033 | Method, apparatus and system to amplify and transport analog and digital signals | SAFE-COM WIRELESS |
11044041 | Transmitter and method for generating additional parity thereof | SAMSUNG ELECTRONICS CO., LTD. |
11044042 | Apparatus and method for encoding and decoding channel in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11044045 | High speed interconnect symbol stream forward error-correction | INTEL CORPORATION |
11044046 | Data processing method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11044047 | Method and apparatus for using at least one redundancy version for transmission of a data unit | NOKIA TECHNOLOGIES OY |
11044048 | Puncturing and repetition for information encoding | QUALCOMM INCORPORATED |
11044068 | Communication device and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11044071 | Serializer/Deserializer (SerDes) lanes with lane-by-lane datarate independence | MARVELL ASIA PTE, LTD. |
11044113 | MoCA connectivity splitter and hub | COMMSCOPE, INC. OF NORTH CAROLINA |
11044125 | Nodes and methods therein for improving multi-user transmissions in a wireless communications network | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11044128 | Systems and methods for adjusting the sample timing of a GFSK modulated signal | IMAGINATION TECHNOLOGIES LIMITED |
11044130 | Device, method, and program | SONY CORPORATION |
11044137 | Analog-to-digital converter system, transceiver, base station and mobile device | INTEL CORPORATION |
11044369 | Method and apparatus for adjusting volume of user terminal, and terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11044538 | Environmental sensor or semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11044548 | Dynamic speaker chamber | INTEL CORPORATION |
11044811 | High power RF capacitor | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11045008 | Presence detection system for an electrically adjustable furniture assembly and method of presence detection with an electrically adjustable furniture assembly | LOGICDATA ELECTRONICS & SOFTWARE ENTWICKLUNGS GMBH |
11045133 | Systems and methods for performing electrophysiology (EP) signal processing | BIOSIG TECHNOLOGIES, INC. |
11045144 | Coronary artery disease detection signal processing system and method | AUSCULSCIENCES, INC. |
11046356 | Electric power steering device | MITSUBISHI ELECTRIC CORPORATION |
11047686 | System for digital cancellation of clock jitter induced noise in a gyroscope with provides better power effect | ROBERT BOSCH GMBH |
11047710 | Inductive position sensor assembly | KSR IP HOLDINGS, LLC |
11047733 | Light-to-frequency converter arrangement and method for light-to-frequency conversion | AMS INTERNATIONAL AG |
11047890 | Minimizing phase mismatch and offset sensitivity in a dual-path system | CIRRUS LOGIC, INC. |
11047929 | Signal processing circuit and motor drive system | NIDEC CORPORATION |
11047933 | Fast response magnetic field sensors and associated methods for removing undesirable spectral components | ALLEGRO MICROSYSTEMS, LLC |
11047946 | Differential current sensing with robust path, voltage offset removal and process, voltage, temperature (PVT) tolerance | QUALCOMM INCORPORATED |
11048284 | Self-referenced droop detector circuitry | INTEL CORPORATION |
11048291 | High speed FlexLED digital interface | TEXAS INSTRUMENTS INCORPORATED |
11048292 | Duty cycle control for reduced dynamic power consumption | NXP USA, INC. |
11048319 | Data processing device to adjust size of data communicated to memory device and data processing method | SAMSUNG ELECTRONICS CO., LTD. |
11048336 | Luminous keyboard | -- |
11048367 | Capacitive sensor device | SYNAPTICS INCORPORATED |
11048395 | Display apparatus for selecting and executing menu items on a user interface, and controlling method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11048477 | Entropy server for random number generation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048579 | Performing a cyclic redundancy checksum operation responsive to a user-level instruction | INTEL CORPORATION |
11048585 | Storage device and operating method thereof | SK HYNIX INC. |
11048586 | Memory systems and methods of correcting errors in the memory systems | SK HYNIX INC. |
11048602 | Electronic devices | SK HYNIX INC. |
11048849 | Integrated circuit and method of manufacturing the same | -- |
11049386 | Switch with current and voltage collection | EATON INTELLIGENT POWER LIMITED |
11049419 | Method for randomly modifying the consumption profile of a logic circuit, and associated device | STMICROELECTRONICS (ROUSSET) SAS |
11049531 | Nonvolatile memory device, operating method thereof, and data storage apparatus including the same | SK HYNIX INC. |
11049543 | Apparatuses and methods for deactivating a delay locked loop update in semiconductor devices | MICRON TECHNOLOGY, INC. |
11049567 | Read-once memory | PROTON WORLD INTERNATIONAL N.V. |
11049646 | Noise filter and noise reduction unit | YAZAKI CORPORATION |
11049830 | Level shifting between interconnected chips having different voltage potentials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049837 | Bond wire array for packaged semiconductor device | NXP USA, INC. |
11049965 | Semiconductor device and alternator using the same | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
11050132 | Chip-type antenna improved structure | -- |
11050143 | Integrated vehicle antenna | SAMSUNG ELECTRONICS CO., LTD. |
11050242 | Driver for power device | SHENZHENSHI PENGYUAN ELECTRONICS CO., LTD. |
11050244 | Transient voltage detection technique | QUALCOMM INCORPORATED |
11050245 | Switch apparatus | -- |
11050252 | Solid state power contactor | GE AVIATION SYSTEMS LLC |
11050279 | Charge/discharge switch control circuits for batteries | O2MICRO, INC. |
11050345 | Power supply apparatus and electronic control unit | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11050347 | Dynamic enhancement of loop response upon recovery from fault conditions | NXP USA, INC. |
11050348 | Semiconductor device | ROHM CO., LTD. |
11050385 | Class-C oscillator | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11050386 | Inverse pseudo fully-differential amplifier having common-mode feedback control circuit | BEIJING SMARTCHIP MICROELECTRONICS TECHNOLOGY COMP |
11050387 | Integrated circuit devices with parallel power amplifier output paths | TEXAS INSTRUMENTS INCORPORATED |
11050388 | Compact three-way Doherty amplifier module | NXP USA, INC. |
11050389 | Inverted Doherty power amplifier with large RF and instantaneous bandwidths | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11050390 | Amplifier circuit | KABUSHIKI KAISHA TOSHIBA |
11050391 | Distortion compensation apparatus and distortion compensation method | FUJITSU LIMITED |
11050392 | Bias device | -- |
11050393 | Amplifier system for use as high sensitivity selective receiver without frequency conversion | -- |
11050394 | Modules, multi-stage systems, and related methods for radio frequency power amplifiers | TOKYO ELECTRON LIMITED |
11050395 | Radio frequency (RF) amplifier | NXP USA, INC. |
11050396 | Amplifier circuit | -- |
11050397 | Interpolation operational amplifier circuit and display panel | SEEYA OPTRONICS CO., LTD. |
11050398 | Large input current detection and fast response optical receiver | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11050399 | Ambient sound activated device | SONY INTERACTIVE ENTERTAINMENT INC. |
11050400 | Impedance matching device and impedance matching method | DAIHEN CORPORATION |
11050401 | Current sensor and measurement device | HIOKI E.E. CORPORATION |
11050402 | Electronically adjustable inductor circuit | NATIONAL INSTRUMENTS CORPORATION |
11050403 | Circuit module, network module, and in-vehicle electronic device | MURATA MANUFACTURING CO., LTD. |
11050404 | Bulk-acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11050405 | Micromechanical vibrasolator | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
11050406 | Multi-layer piezoelectric substrate with heat dissipation | SKYWORKS SOLUTIONS, INC. |
11050407 | Electronic devices formed in a cavity between substrates | SKYWORKS SOLUTIONS, INC. |
11050408 | Acoustic wave device | TAIYO YUDEN CO., LTD. |
11050409 | Acoustic resonator and acoustic resonator filter | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11050410 | Filter system including resonator | SAMSUNG ELECTRONICS CO., LTD. |
11050411 | Wideband filter structure and apparatus, radio transceiver, mobile terminal, method for filtering a radio signal | INTEL IP CORPORATION |
11050412 | Acoustic filter using acoustic coupling | QORVO US, INC. |
11050413 | Latched comparator, clock generation circuit and semiconductor apparatus relating to the latched comparator | SK HYNIX INC. |
11050414 | Dynamic single input-dual output latch | GLOBALFOUNDRIES U.S. INC. |
11050415 | Flip-flop with delineated layout for reduced footprint | -- |
11050416 | Implementing process, voltage, and/or temperature-insensitive resistance in complementary metal-oxide-semiconductors using a short-duty-clock cycle | INVENSENSE, INC. |
11050417 | Load-switch gate-protection circuit | NXP B.V. |
11050418 | Gate level triggered desaturation blanking | INFINEON TECHNOLOGIES AG |
11050419 | High-voltage unity-gain buffer | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11050420 | Bootstrap diode circuits | TEXAS INSTRUMENTS INCORPORATED |
11050421 | Electrical assembly | GENERAL ELECTRIC TECHNOLOGY GMBH |
11050422 | Diagnostics for capacitive sensor | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
11050423 | Flip-flop device and method of operating flip-flop device | -- |
11050424 | Current-mirror based level shifter circuit and methods for implementing the same | SAMSUNG ELECTRONICS CO., LTD. |
11050425 | Memory device processing | MICRON TECHNOLOGY, INC. |
11050426 | Logic gate devices and methods of forming a logic gate device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11050427 | Fractional frequency divider and flash memory controller | -- |
11050428 | Synchronous sampling in-phase and quadrature-phase (I/Q) detection circuit | SAMSUNG ELECTRONICS CO., LTD. |
11050429 | Atomic oscillator and frequency signal generation system | SEIKO EPSON CORPORATION |
11050430 | Sampling device | ROHDE & SCHWARZ GMBH & CO. KG |
11050431 | Single-ended successive approximation register analog-to-digital converter | -- |
11050432 | Pseudo differential analog-to-digital converter | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11050433 | Using a tracking switched-mode power supply to increase efficiency of a current digital-to-analog converter-based output stage | CIRRUS LOGIC, INC. |
11050434 | Digital-to-analog converter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11050435 | Sample rate conversion circuit with noise shaping modulation | SYNAPTICS INCORPORATED |
11050436 | Advanced database compression | SAP SE |
11050437 | Implementation of invertible functions using party logic | -- |
11050438 | Memory controller | SK HYNIX INC. |
11050439 | LDPC coding with differentiated protection | THALES |
11050440 | Encoder, decoder, encoding method and decoding method based on low-density parity-check code | -- |
11050441 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11050442 | Reducing the latency of a syndrome-based quasi-cyclic decoder | SK HYNIX INC. |
11050454 | Radio frequency front-end circuitry intermediate driver systems and methods | APPLE INC. |
11050457 | Circuits for continuous-time clockless analog correlators | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11050490 | Methods and systems for launching tranverse magnetic waves using data-carrying arrestor | ARRIS ENTERPRISES LLC |
11050507 | Coding and modulation apparatus using non-uniform constellation | SONY CORPORATION |
11050508 | Data transmission method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11050509 | Apparatus and method for channel encoding/decoding in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11050510 | Polar code transmission method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11050513 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
11050547 | Reception apparatus, communication system, and clock recovery method | TOSHIBA MEMORY CORPORATION |
11050591 | Method and apparatus for nonlinear filtering and for secure communications | -- |
11050965 | Image sensor and image recognition apparatus using the same | GWANGJU INSTITUTE OF SCIENCE AND TECHNOLOGY |
11050971 | Pulse code modulation (PCM) data-marking | CIRRUS LOGIC, INC. |
11051153 | Method for compressive sensing based LPWAN communication | KING ABDULAZIZ UNIVERSITY |
11051187 | Transmitters incorporating non-uniform constellations with overlapping constellation point locations | CONSTELLATION DESIGNS, LLC |
11051331 | Techniques and apparatuses for paired physical downlink shared channel and physical uplink shared channel scheduling | QUALCOMM INCORPORATED |
11051396 | Integrated circuit capable of controlling impedance and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11051723 | Single remote controlled encrypted wireless visual reinforcement audiometry (VRA) system that connects an audiometer to multiple physical (3D) and video VRA units and prevents frequency overlap between multiple adjacent and nearby VRA systems | -- |
11051758 | Electronic device capable of detecting wearing state or touching state | -- |
11051882 | High-voltage analog circuit pulser | PULSE BIOSCIENCES, INC. |
11052249 | Neuromuscular stimulation using multistage current driver circuit | -- |
11054328 | Parasitic insensitive sampling in sensors | PSEMI CORPORATION |
11054363 | Dynamic high-speed high-sensitivity imaging device and imaging method | THE UNIVERSITY OF TOKYO |
11054435 | Method and device for processing a signal produced by a sensor for detecting the rotation of a rotating target | CONTINENTAL AUTOMOTIIVE FRANCE |
11054450 | Method of calibrating a measurement and analyzing device as well as method of measuring a frequency-converting device under test | ROHDE & SCHWARZ GMBH & CO. KG |
11054470 | Double edge triggered Mux-D scan flip-flop | INTEL CORPORATION |
11054471 | Electrical testing apparatus for spintronics devices | -- |
11054512 | Frequency converter circuit for a radar-based measuring device | ENDRESS+HAUSER SE+CO. KG |
11054598 | Cryogenic optical transmitter | HRL LABORATORIES, LLC |
11054852 | Low voltage drive circuit with variable oscillating frequencies and methods for use therewith | SIGMASENSE, LLC. |
11054854 | System and method to drive logic circuit with non-deterministic clock edge variation | GLOBALFOUNDRIES U.S. INC. |
11054877 | Power management based on real time platform power sensing | INTEL CORPORATION |
11054888 | Power gating circuit and power gating control system | SK HYNIX INC. |
11054947 | Key reference updating method and module, and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11054958 | Aperature filtering for resistive multi-touch distortions | TEXAS INSTRUMENTS INCORPORATED |
11054999 | Methods and systems for encoding and decoding communications | SEAPORT, INC. |
11055062 | Switched capacitor vector-matrix multiplier | AREANNA INC. |
11055087 | Leveraging previously installed application elements to install an application | GOOGLE LLC |
11055099 | Branch look-ahead instruction disassembling, assembling, and delivering system apparatus and method for microprocessor system | ERIE |
11055164 | Error correction decoder and memory controller having the same | SK HYNIX INC. |
11055174 | Soft chipkill recovery for bitline failures | SK HYNIX INC. |
11055175 | Method and devices for creating redundant block devices using Mojette transform projections | ZEBWARE AB |
11055254 | Mixed media ethernet switch | THE AEROSPACE CORPORATION |
11055423 | Signal pattern checksum | INFINEON TECHNOLOGIES AG |
11055613 | Method and apparatus for a binary neural network mapping scheme utilizing a gate array architecture | INTEL CORPORATION |
11055627 | Universal control for implementing quantum gates | GOOGLE LLC |
11056070 | Encoding method and device, decoding method and device, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11056128 | Apparatus and method for processing an audio signal using noise suppression filter values | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11056158 | Memory device and divided clock correction method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11056163 | Amplifier circuit devices and methods | ARM LIMITED |
11056169 | Current comparator for submicron processes | MENTIUM TECHNOLOGIES INC. |
11056192 | Monotonic counters in memories | MICRON TECHNOLOGY, INC. |
11056209 | Track-and-hold circuit | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11056262 | Inductive element and LC filter | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11056265 | Magnetic field generation with thermovoltaic cooling | CALAGEN, INC. |
11056266 | Filter device and power converter | MITSUBISHI ELECTRIC CORPORATION |
11056289 | Retrofit switch | LEEDARSON AMERICA INC. |
11056463 | Arrangement of penetrating electrode interconnections | SONY CORPORATION |
11056515 | Logic circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056532 | Techniques for monolithic co-integration of polycrystalline thin-film bulk acoustic resonator devices and monocrystalline III-N semiconductor transistor devices | INTEL CORPORATION |
11056583 | OR gate based on electron interference | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11056636 | Oscillator, method of manufacturing oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11056700 | Circuit arrangement for impressing an electrical signal into an electrochemical energy supply device | AVL LIST GMBH |
11056827 | Electronic device and method for identifying state of connection between connector and electrical path | SAMSUNG ELECTRONICS CO., LTD. |
11056875 | Systems and methods for gate driver with field-adjustable UVLO | MAXIM INTEGRATED PRODUCTS, INC. |
11056965 | Gate driver and power converter | FUJI ELECTRIC CO., LTD. |
11056966 | Process of operating switched-mode DC/DC converter having a bootstrapped high-side driver | TEXAS INSTRUMENTS INCORPORATED |
11056999 | Oscillator and operation method thereof | -- |
11057000 | Superconducting device that mixes surface acoustic waves and microwave signals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11057001 | Apparatus, system, and method of distributing a reset signal to a plurality of PHY chains | INTEL CORPORATION |
11057002 | Amplifier configurable into multiple modes | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11057003 | Devices and methods for detecting a saturation condition of a power amplifier | SKYWORKS SOLUTIONS, INC. |
11057004 | Multiband digital predistorter | NANOSEMI, INC. |
11057005 | Low noise amplifier circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11057006 | Systems and methods for full duplex amplification | CABLE TELEVISION LABORATORIES, INC. |
11057007 | Tuning system and method for automobile power amplifier | HARMAN BECKER AUTOMOTIVE SYSTEMS GMBH |
11057008 | Power amplifier and electronic device | RADIAWAVE TECHNOLOGIES CO., LTD. |
11057009 | Digital power amplifier with RF sampling rate and wide tuning range | APPLE INC. |
11057010 | Power amplifier and method of operating a power amplifier | NXP B.V. |
11057011 | Amplifiers suitable for mm-wave signal splitting and combining | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11057012 | Distributed envelope tracking amplifier circuit and related apparatus | QORVO US, INC. |
11057013 | Two-stage lateral bulk acoustic wave filter | VTT TECHNICAL RESEARCH CENTRE OF FINLAND LTD |
11057014 | Bonded substrate and a manufacturing method thereof, and a surface acoustic wave device using the said bonded substrate | SHIN-ETSU CHEMICAL CO., LTD. |
11057015 | Surface acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11057016 | Acoustic wave element and acoustic wave device | KYOCERA CORPORATION |
11057017 | Bulk-acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD |
11057018 | Acoustically coupled resonator notch and bandpass filters | INFINEON TECHNOLOGIES AG |
11057019 | Non-volatile adjustable phase shifter using non-volatile radio frequency (RF) switch | NEWPORT FAB, LLC |
11057020 | Real-time matching of target reactance in non-foster matching network | RAYTHEON COMPANY |
11057021 | Fixed latency configurable tap digital filter | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11057022 | PVT compensated delay cell for a monostable | STMICROELECTRONICS S.R.L. |
11057023 | Accurate high-voltage gate detector | PSEMI CORPORATION |
11057024 | Flip flop circuit and data processing apparatus | ROHM CO., LTD. |
11057025 | Level shifter | -- |
11057026 | Semi-dynamic flip-flop implemented as multi-height standard cell and method of designing integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11057027 | Circuit having a plurality of modes | -- |
11057028 | Double clock architecture for small duty cycle DC-DC converter | STMICROELECTRONICS S.R.L. |
11057029 | Gate driver with integrated miller clamp | SILICON LABORATORIES INC. |
11057030 | Reliability in start up sequence for D-mode power FET driver | PSEMI CORPORATION |
11057031 | Reliability in start up sequence for D-mode power FET driver | PSEMI CORPORATION |
11057032 | Control circuit and ideal diode circuit | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11057033 | Hybrid power module | CREE, INC. |
11057034 | Semiconductor relay module | OMRON CORPORATION |
11057035 | Multi-voltage input output device | -- |
11057036 | Switching operation sensing device that distinguishes touch regions on surface of integrated housing | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11057037 | Touch switch | -- |
11057038 | Semiconductor device including buffer circuit | MICRON TECHNOLOGY, INC. |
11057039 | Clock divider with quadrature error correction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11057040 | Phase-locked loop circuit and clock generator including the same | SAMSUNG ELECTRONICS CO., LTD. |
11057041 | Self-calibrating single slope analog-to-digital converter | NXP B.V. |
11057042 | Digital to analog converter device and calibration method | -- |
11057043 | Background calibration of random chopping non-idealities in data converters | ANALOG DEVICES, INC. |
11057044 | Time-interleaved analog-to-digital converter with calibration | INTEL CORPORATION |
11057045 | Automatic gain control for analog to digital converters | BAE SYSTEMS AUSTRALIA LIMITED |
11057046 | Multi-stage analog to digital converter | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11057047 | Ratiometric gain error calibration schemes for delta-sigma ADCs with capacitive gain input stages | MICROCHIP TECHNOLOGY INCORPORATED |
11057048 | Ratiometric gain error calibration schemes for delta-sigma ADCs with programmable gain amplifier input stages | MICROCHIP TECHNOLOGY INCORPORATED |
11057049 | Generalized low-density parity check codes in digital communication system | HUAWEI TECHNOLOGIES CO., LTD. |
11057050 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11057051 | Fractally enhanced kernel polar coding | QUALCOMM INCORPORATED |
11057052 | Data processing method and apparatus to restore mother code sequences with different code lengths by using an indication sequence and a basic sequence | HUAWEI TECHNOLOGIES CO., LTD. |
11057053 | Method and apparatus for wirelessly communicating over a noisy channel with a variable codeword length polar code to improve transmission capacity | HUAWEI TECHNOLOGIES CO., LTD. |
11057054 | Channel coding method and apparatus in communication system | HUAWEI TECHNOLOGIES CO., LTD. |
11057055 | Encoding and decoding using Golay-based block codes | QUALCOMM INCORPORATED |
11057056 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11057057 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11057058 | Quality of service of an adaptive soft decoder | SK HYNIX INC. |
11057059 | Content aware bit flipping decoder | WESTERN DIGITAL TECHNOLOGIES, INC. |
11057060 | Method and apparatus for matrix flipping error correction | SAGE MICROELECTRONICS CORPORATION |
11057062 | System and method for dividing the carrier center frequency of an rf modulated signal by a non-integer divisor | INNOPHASE INC. |
11057063 | Dual-band digital pre-distortion | NOKIA SOLUTIONS AND NETWORKS OY |
11057065 | Adaptive analog parallel combiner | FOLSOM |
11057068 | Receiver module, data transmission system and method for receiving an electromagnetic signal | ROHDE & SCHWARZ GMBH & CO. KG |
11057069 | Radio frequency (RF) front end of low power consumption and fully automatic adjustable broadband receiver | VERISILICON MICROELECTRONICS (SHANGHAI) CO., LTD. |
11057073 | Integrated circuit with physical layer interface circuit | NXP USA, INC. |
11057151 | Mutual information based polar code construction | QUALCOMM INCORPORATED |
11057152 | Communication method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11057153 | Multi-user data packet | QUALCOMM INCORPORATED |
11057159 | Method and an apparatus for improving a determination of HARQ-ACK messages in a wireless communications system | HONG KONG APPLIED SCIENCE AND TECHNOLOGY RESEARCH INSTITUTE CO. LTD. |
11057164 | Memory conservation in delta-compressed message transmission and recovery | TD AMERITRADE IP COMPANY, INC. |
11057226 | Electronic device detecting change of power mode based on external signal | SAMSUNG ELECTRONICS CO., LTD. |
11057414 | Asynchronous hidden markov models for internet metadata analytics | BRIDGERY TECHNOLOGIES, LLC |
11057468 | Vast data storage system | PURE STORAGE, INC. |
11057559 | Endoscope and endoscope system | OLYMPUS CORPORATION |
11057579 | Anti-overexposure circuit structure and electronic device using the same | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
11057587 | Compositing video signals and stripping composite video signal | HANGZHOU HIKVISION DIGITAL TECHNOLOGY CO., LTD. |
11057700 | Microphone device, telephone device, and decoupling circuit | -- |
11057794 | Redundancy version indication in fifth generation (5G) or other next generation communication systems | AT&T INTELLECTUAL PROPERTY I, L.P. |
11057840 | Method for detecting control information in wireless communication system | LG ELECTRONICS INC. |
11057893 | Usage of synchronization signal block index in new radio | QUALCOMM INCORPORATED |
11058382 | Charge amplifiers that can be implemented in thin film and are useful for imaging systems such as digital breast tomosynthesis with reduced X-ray exposure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11058475 | Method and apparatus for selecting operations of a surgical instrument based on user intention | CILAG GMBH INTERNATIONAL |
11058833 | Usage recording smart label | TIMESTAMP LTD. |
11059574 | Electronic braking arrangements | SIMMONDS PRECISION PRODUCTS, INC. |
11059647 | Apparatus, systems and methods for identifying products | TOUCHCODE HOLDINGS, LLC |
11060906 | Transimpedance amplifier with automatic current control | FACEBOOK, INC. |
11061100 | System for continuous calibration of hall sensors | TEXAS INSTRUMENTS INCORPORATED |
11061125 | Symmetric receiver switch for bipolar pulser | BUTTERFLY NETWORK, INC. |
11061126 | Cooperative frequency-modulated continuous-waveform radar systems | THE BOEING COMPANY |
11061425 | Voltage stabilizer | -- |
11061426 | Voltage reference circuit with combined power-on reset | ROBERT BOSCH GMBH |
11061429 | Fine-grained speed binning in an accelerated processing device | ADVANCED MICRO DEVICES, INC. |
11061430 | Spread spectrum clock generating system | SI EN TECHNOLOGY (XIAMEN) LIMITED |
11061456 | Transmission of pulse power and data over a wire pair | CISCO TECHNOLOGY, INC. |
11061475 | Vehicle interior component | SHANGHAI YANFENG JINQIAO AUTOMOTIVE TRIM SYSTEMS CO. LTD. |
11061517 | Input device | ALPS ALPINE CO., LTD. |
11061581 | Data stream assembly control | ARM IP LIMITED |
11061612 | Internal communication interface management | MICRON TECHNOLOGY, INC. |
11061645 | Optimizing data approximation analysis using low power circuitry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061761 | Multichip package link error detection | INTEL CORPORATION |
11061765 | Systems and methods for adaptive error-correction coding | UNIFICATION TECHNOLOGIES, LLC |
11061766 | Fault-tolerant dot product engine | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11061844 | Transmitter with independently adjustable voltage and impedance | NXP B.V. |
11061847 | Low voltage drive circuit with variable frequency characteristics and methods for use therewith | SIGMASENSE, LLC. |
11061852 | Reconfigurable circuit architecture | ARM LIMITED |
11061911 | Driving data analyzer | DENSO CORPORATION |
11062189 | Flag holding circuit and flag holding method | LAPIS SEMICONDUCTOR CO., LTD. |
11062546 | Voting systems and methods for encoding voting selection data in a compressed format | ELECTION SYSTEMS & SOFTWARE, LLC |
11062650 | Sensing circuit and a source driver of a display device | -- |
11062717 | Systems and methods for processing an audio signal for replay on an audio device | MIMI HEARING TECHNOLOGIES GMBH |
11062750 | Semiconductor devices and semiconductor systems | SK HYNIX INC. |
11062758 | Memory system to process multiple word line failures with limited storage and method of operating such memory system | SK HYNIX INC. |
11062871 | Analog amplification vacuum tube | NORITAKE CO., LIMITED |
11063037 | Devices, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11063072 | Image capturing apparatus and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11063074 | Autozero of buffered direct injection pixels | RAYTHEON COMPANY |
11063202 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
11063204 | Method of manufacture for single crystal acoustic resonator devices using micro-vias | AKOUSTIS, INC. |
11063355 | Bi-directional vector modulator/active phase shifter | TUBIS TECHNOLOGY INC. |
11063422 | Power semiconductor module and power converter | MITSUBISHI ELECTRIC CORPORATION |
11063514 | Methods and apparatuses for voltage regulation using predictively charged precharge rails | QUALCOMM INCORPORATED |
11063557 | Oscillation circuit, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11063558 | Direct-current tuning of bulk acoustic wave resonator devices | TEXAS INSTRUMENTS INCORPORATED |
11063559 | High-implant channel semiconductor device and method for manufacturing the same | -- |
11063560 | Mixer with series connected active devices | HRL LABORATORIES, LLC |
11063561 | Receiver circuit with input common mode voltage sensing | -- |
11063562 | Programmable filter in an amplifier | TEXAS INSTRUMENTS INCORPORATED |
11063563 | Systems and methods for specific emitter identification | RAYTHEON COMPANY |
11063564 | Bidirectional leakage compensation circuits for use in integrated circuits and method therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11063565 | Audio amplifier assemblies, processes, and methods | QSC, LLC |
11063566 | RF module and method for testing an RF module | SNAPTRACK, INC. |
11063567 | Input circuit with wide range input voltage compatibility | TEXAS INSTRUMENTS INCORPORATED |
11063568 | Resonance device manufacturing method | MURATA MANUFACTURING CO., LTD. |
11063569 | Common mode noise suppression filter | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11063570 | Integrated isolator circuit in a time division duplex transceiver | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11063571 | Packaged electronic components | ZHUHAI CRYSTAL RESONANCE TECHNOLOGIES CO., LTD. |
11063572 | Polarity patterned piezoelectric film | QORVO US, INC. |
11063573 | Quartz crystal resonator and quartz crystal resonator unit | MURATA MANUFACTURING CO., LTD. |
11063574 | Piezoelectric resonator unit | MURATA MANUFACTURING CO., LTD. |
11063575 | Band reject filters | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11063576 | Front end module for 5.6 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
11063577 | Pulse width modulation technique with time-ratio duty cycle computation | TEXAS INSTRUMENTS INCORPORATED |
11063578 | Level conversion device and method | -- |
11063579 | Circuit for providing variable waveform excitation | NORTH CAROLINA STATE UNIVERSITY |
11063580 | Input buffer with wide range of I/O voltage level | TEXAS INSTRUMENTS INCORPORATED |
11063581 | Transistor control circuit | STMICROELECTRONICS (ROUSSET) SAS |
11063582 | Current detection circuit | KABUSHIKI KAISHA TOSHIBA |
11063583 | Multi-sense circuit for parallel-connected power switches | INFINEON TECHNOLOGIES AG |
11063584 | Switching circuit | SAFRAN ELECTRONICS & DEFENSE |
11063585 | Method of tuning light color temperature for LED lighting device and application thereof | VAXCEL INTERNATIONAL CO., LTD. |
11063586 | Main-auxiliary field-effect transistor configurations with an auxiliary stack and interior parallel transistors | SKYWORKS SOLUTIONS, INC. |
11063587 | Voltage on-off detector and electronic device including the same | DB HITEK CO., LTD. |
11063588 | Reset device, circuit device, and resetting method | ALPS ALPINE CO., LTD. |
11063589 | Power circuit facilitating the operation of a high electron mobility transistor | -- |
11063590 | High voltage integrated circuit devices with hot carrier injection damage protection | NXP USA, INC. |
11063591 | Multi-termination scheme interface | MARVELL ASIA PTE, LTD. |
11063592 | Integrated clock gating circuit | SAMSUNG ELECTRONICS CO., LTD. |
11063593 | Level shifter enable | -- |
11063594 | Adaptive integrated programmable device platform | XILINX, INC. |
11063595 | Dynamic multiphase injection-locked phase rotator for electro-optical transceiver | CISCO TECHNOLOGY, INC. |
11063596 | Frame decoding circuit and method for performing frame decoding | -- |
11063597 | Wide frequency range step size programmability for delay-locked loops using variable bias voltage generation | SIFIVE, INC. |
11063598 | Phase-locked loop with a sampling circuit | -- |
11063599 | Apparatus and method for automatic search of sub-sampling phase locked loop (SS-PLL) locking acquisition | SAMSUNG ELECTRONICS CO., LTD. |
11063600 | Multi-stage clock generator using mutual injection for multi-phase generation | APPLE INC. |
11063601 | File system format for persistent memory | NETAPP, INC. |
11063602 | Switched capacitor circuits | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11063603 | Phase alignment of CT-MASH converter | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11063604 | Analog-to-digital converter, solid-state imaging element, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11063605 | Code block segmentation method, terminal, base station, and computer-readable storage medium | CHINA ACADEMY OF TELECOMMUNICATIONS TECHNOLOGY |
11063606 | Successive cancellation list-based decoder and decoding method thereof | -- |
11063607 | Compressing error vectors for decoding logic to store compressed in a decoder memory used by the decoding logic | INTEL CORPORATION |
11063608 | Coding method and apparatus, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11063609 | Transmitter, receiver, transmission method, and reception method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11063610 | Transmitting apparatus and signal processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11063611 | Encoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11063612 | Parallelizing encoding of binary symmetry-invariant product codes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063613 | Early termination of successive cancellation list decoding | QUALCOMM INCORPORATED |
11063614 | Polar decoder processor | CADENCE DESIGN SYSTEMS, INC. |
11063615 | Transmitting apparatus and mapping method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11063617 | Bandtilt correction using combined signal and image passive mixers | QUALCOMM INCORPORATED |
11063619 | Communication device that tunes an antenna by proximal association | MOTOROLA MOBILITY LLC |
11063637 | Systems and methods for low-power near-field-communication | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11063666 | Communication device, communication method, and communication program | SONY CORPORATION |
11063693 | Transmitting device with erasure correction coding and transmitting method with erasure correction coding | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11063694 | Checksum-filtered decoding, checksum-aided forward error correction of data packets, forward error correction of data using bit erasure channels and sub-symbol level decoding for erroneous fountain codes | ÉCOLE DE TECHNOLOGIE SUPERIEURE |
11063697 | Enhanced polarization weighting to enable scalability in polar code bit distribution | COHERENT LOGIX, INCORPORATED |
11063700 | Method and apparatus for constructing coding sequence | HUAWEI TECHNOLOGIES CO., LTD. |
11063741 | Phase control block for managing multiple clock domains in systems with frequency offsets | RAMBUS INC. |
11063794 | Continuous-time sampler circuits | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11063798 | High spectral efficiency zero bandwidth modulation process without side bands | -- |
11063808 | Transmitting apparatus and mapping method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11064280 | Wireless audio system and method for wirelessly communicating audio information using the same | BESTECHNIC (SHANGHAI) CO., LTD. |
11064293 | Systems, apparatus and methods for dynamic range enhancement of audio signals | CIRRUS LOGIC, INC. |
11064306 | Calibration state variable | SONOS, INC. |
11064373 | Wireless sensor including an RF signal circuit | RFMICRON, INC. |
11064379 | Method and apparatus for measuring D2D signal or selecting relay in wireless communication system | LG ELECTRONICS INC. |
11064491 | Front-end modules with integrated power amplifiers and low noise amplifiers to support carrier aggregation | SKYWORKS SOLUTIONS, INC. |
11064501 | Harmonizing noise aggregation and noise management in distributed antenna system | -- |
11064587 | LED lighting apparatus and LED driving circuit thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11065644 | Method for exciting piezoelectric transducers and sound-producing arrangement | WEBER ULTRASONICS GMBH |
11065963 | Diagnostic system for a DC-DC voltage converter | LG CHEM, LTD. |
11067085 | Method for determining operational parameters of a blood pump | BERLIN HEART GMBH |
11067265 | Intelligent lighting control system method for mapping light circuits | RACEPOINT ENERGY, LLC |
11067428 | Radar fill level measurement device comprising a high-frequency amplifier | VEGA GRIESHABER KG |
11067436 | Optical sensor arrangement | AMS AG |
11067439 | Photo receiver circuits | OMNI DESIGN TECHNOLOGIES INC. |
11067440 | Hybrid leakage-compensation scheme for improved correction range | TEXAS INSTRUMENTS INCORPORATED |
11067608 | Current sensor and frequency compensation method thereof | -- |
11067611 | Method for sensing inductor current across range exceeding ADC dynamic range and PWM controller system including ADC therefor | RENESAS ELECTRONICS AMERICA INC. |
11067619 | Integrated circuit having trim function for component | SILICON WORKS CO., LTD. |
11067626 | Circuit arrangement comprising a microprocessor and a voltage generating circuit | VITESCO TECHNOLOGIES GMBH |
11067629 | Automated test equipment for testing high-power electronic components | TERADYNE, INC. |
11067672 | Shared sample and convert capacitor architecture | WAYMO LLC |
11067954 | Time-to-digital converters with low area and low power consumption | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11068003 | Differential amplifier | ROHM CO., LTD. |
11068009 | Regulator circuit and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11068010 | Current mirror circuit | TEXAS INSTRUMENTS INCORPORATED |
11068011 | Signal generating device and method of generating temperature-dependent signal | -- |
11068016 | Output signal generation circuit | LAPIS SEMICONDUCTOR CO., LTD. |
11068060 | Low-pressure capacitive tactile sensor and method for fabricating the same | NANO AND ADVANCED MATERIALS INSTITUTE LIMITED |
11068078 | System and method for detecting hand gesture | -- |
11068163 | Storing a credential in a storage network | PURE STORAGE, INC. |
11068208 | Capacity reduction in a storage system | EMC IP HOLDING COMPANY LLC |
11068228 | Board-level noise reduction during headphone load current sensing | QUALCOMM INCORPORATED |
11068232 | Detection of volume adjustments during media replacement events using loudness level profiles | ROKU, INC. |
11068235 | Volume adjustment method, terminal device, storage medium and electronic device | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11068237 | Dual-domain combinational logic circuitry | RAMBUS INC. |
11068330 | Semiconductor device and analysis system | RENESAS ELECTRONICS CORPORATION |
11068336 | Generating error checking data for error detection during modification of data in a memory sub-system | MICRON TECHNOLOGY, INC. |
11068343 | Data storage error protection | MICRON TECHNOLOGY, INC. |
11068344 | Candidate bit detection and utilization for error correction | INFINEON TECHNOLOGIES AG |
11068345 | Method and system for erasure coded data placement in a linked node system | DELL PRODUCTS L.P. |
11068346 | Method and apparatus for data protection | EMC IP HOLDING COMPANY LLC |
11068359 | Stream level uninterrupted restore operation using data probe | EMC IP HOLDING COMPANY LLC |
11068367 | Storage system and storage system control method | HITACHI, LTD. |
11068589 | Interference detecting ring oscillators | THE BOEING COMPANY |
11068630 | Synchronous device with slack guard circuit | DOLPHIN DESIGN |
11069160 | Systems and methods of optimizing utilization of vehicle onboard storage | BELL HELICOPTER TEXTRON INC. |
11069282 | Correlated double sampling pixel sensing front end | SAMSUNG DISPLAY CO., LTD. |
11069311 | Colorblind video adapter | -- |
11069331 | Noise reduction filter for signal processing | PERKINELMER HEALTH SCIENCES, INC. |
11069369 | Method and electronic device | SONY EUROPE B.V. |
11069395 | Analog-to-digital converter for non-volatile memory arrays used for in-memory computation with floating bitlines | MENTIUM TECHNOLOGIES INC. |
11069397 | Phase clock correction | MICRON TECHNOLOGY, INC. |
11069403 | Semiconductor storage device and memory system | TOSHIBA MEMORY CORPORATION |
11069490 | Self-adjusting frame for mounting over a wall-mounted electrical device | LUTRON TECHNOLOGY COMPANY, LLC |
11069515 | Pulsed power module with pulse and ion flux control for magnetron sputtering | STARFIRE INDUSTRIES LLC |
11069615 | Inductor, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11069634 | Amplifier and amplification apparatus | FUJITSU LIMITED |
11069805 | Embedded JFETs for high voltage applications | -- |
11069806 | Integrated circuit including a low-noise amplifying circuit with asymmetrical source and drain regions and a logic circuit with symmetrical source and drain regions | -- |
11069924 | Battery pack and overdischarge control method thereof | SAMSUNG SDI CO., LTD. |
11070046 | Short-circuit protection circuit for self-arc-extinguishing type semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11070077 | Hibernate control circuits for battery power switching | CALAMP CORP. |
11070127 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11070129 | Ultra low-voltage circuits | OREGON STATE UNIVERSITY |
11070137 | Resonant core power supply | -- |
11070168 | Oscillator circuit | ROHM CO., LTD. |
11070169 | Vibration element and oscillator | SEIKO EPSON CORPORATION |
11070170 | Oscillator circuit arrangement | AMS AG |
11070171 | Apparatus and methods for biasing of power amplifiers | SKYWORKS SOLUTIONS, INC. |
11070172 | Method and device for reducing power consumption of PA | HUAWEI TECHNOLOGIES CO., LTD. |
11070173 | Wide band Doherty power amplifier | HONEYWELL INTERNATIONAL INC. |
11070174 | Quadrature combined doherty amplifiers | SKYWORKS SOLUTIONS, INC. |
11070175 | Power amplification module | MURATA MANUFACTURING CO., LTD. |
11070176 | Amplifier linearization and related apparatus thereof | MEDIATEK SINGAPORE PTE. LTD. |
11070177 | Minimizing crossover distortion in a class B current driver | CIRRUS LOGIC, INC. |
11070178 | Class D power amplifier | -- |
11070179 | Apparatus and method for measuring speaker transducer impedance versus frequency with ultralow inaudible signal | CIRRUS LOGIC, INC. |
11070180 | Class AB buffer with multiple output stages | TEXAS INSTRUMENTS INCORPORATED |
11070181 | Push-pull output driver and operational amplifier using same | -- |
11070182 | Image sensor and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11070183 | Systems, apparatus and methods for dynamic range enhancement of audio signals | CIRRUS LOGIC, INC. |
11070184 | Piezoelectric acoustic resonator manufactured with piezoelectric thin film transfer process | AKOUSTIS, INC. |
11070185 | Bulk acoustic wave filter and a method of frequency tuning for bulk acoustic wave resonator of bulk acoustic wave filter | -- |
11070186 | Electronic filter intended to filter the supply power of an electric motor | VALEO SYSTEMES DE CONTROLE MOTEUR |
11070187 | Multilayer band pass filter | MURATA MANUFACTURING CO., LTD. |
11070188 | Impedance matching | BAE SYSTEMS PLC |
11070189 | Joint and elastic wave element | NGK INSULATORS, LTD. |
11070190 | Silver-bonded quartz crystal | STATEK CORPORATION |
11070191 | Torsional mode quartz crystal device | STATEK CORPORATION |
11070192 | Torsional mode quartz crystal device | STATEK CORPORATION |
11070193 | Elastic wave device, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11070194 | Ladder-type surface acoustic wave device | QORVO US, INC. |
11070195 | Acoustic wave filter and multiplexer | MURATA MANUFACTURING CO., LTD. |
11070196 | Using a multi-tone signal to tune a multi-stage low-noise amplifier | INNOPHASE INC. |
11070197 | Methods and apparatus for transistor health monitoring | TEXAS INSTRUMENTS INCORPORATED |
11070198 | Loop independent differential hysteresis receiver | STMICROELECTRONICS INTERNATIONAL N.V. |
11070199 | Detecting peak laser pulses using control signal timings | ALCON INC. |
11070200 | Duty cycle correction system and low dropout (LDO) regulator based delay-locked loop (DLL) | INTEL CORPORATION |
11070201 | Apparatus for controlling an electronic switching unit | HELLA GMBH & CO. KGAA |
11070202 | Signal transmission circuit, switch driving device, and power module | ROHM CO., LTD. |
11070203 | Dual bootstrapping for an open-loop pulse width modulation driver | CIRRUS LOGIC, INC. |
11070204 | Driver circuit capable of detecting abnormality of capacitive load | ROHM CO., LTD. |
11070205 | Glitch-free multiplexer | NVIDIA CORPORATION |
11070206 | Logic circuit | LAPIS SEMICONDUCTOR CO., LTD. |
11070207 | Bootstrapped switch | -- |
11070208 | Level shifter | -- |
11070209 | Programmable logic device with fine-grained disaggregation | INTEL CORPORATION |
11070210 | Flux-tunable qubit device with multiple Josephson junctions | RIGETTI & CO, INC. |
11070211 | Event counter circuits using partitioned moving average determinations and related methods | SYZEXION, INC. |
11070212 | Oscillator, electronic apparatus and vehicle | SEIKO EPSON CORPORATION |
11070213 | Oscillator circuit with bias current generator | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11070214 | Test circuit for a digital phase-locked loop | MELLANOX TECHNOLOGIES DENMARK APS |
11070215 | Locked loop circuit and method with digitally-controlled oscillator (DCO) gain normalization | MOVELLUS CIRCUITS, INC. |
11070216 | Locked loop circuit and method with digitally-controlled oscillator (DCO) gain normalization | MOVELLUS CIRCUITS, INC. |
11070217 | Phase locked loop circuit | ROHM CO., LTD. |
11070218 | Real time counter-based method for the determination and measurement of frequency lock time in phase-locked loops | TEXAS INSTRUMENTS INCORPORATED |
11070219 | Digital/analog converter | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11070220 | Correction device for A/D converter and A/D conversion device | AUTONETWORKS TECHNOLOGIES, LTD. |
11070221 | Analog to digital converter device and method for calibrating clock skew | -- |
11070222 | Successive-approximation analog-to-digital converter | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11070223 | Wireless receiver with decoupled encoder and decoder rates | HUAWEI TECHNOLOGIES CO., LTD. |
11070224 | Method and apparatus for implementing multirate SerDes systems | MELLANOX TECHNOLOGIES, LTD. |
11070225 | Successive approximation register (SAR) analog to digital converter (ADC) with overlapping reference voltage ranges | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11070226 | A/D conversion device | DENSO CORPORATION |
11070227 | Guaranteed data compression | IMAGINATION TECHNOLOGIES LIMITED |
11070228 | Data compressor and data compression method | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
11070229 | Codeword generator | PLIOPS |
11070230 | Run-length base-delta encoding for high-speed compression | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11070231 | Reducing storage of blockchain metadata via dictionary-style compression | PALO ALTO RESEARCH CENTER INCORPORATED |
11070232 | Multi channel memory with flexible code-length ECC | MICRON TECHNOLOGY, INC. |
11070233 | Data processing device and data processing method | SATURN LICENSING LLC |
11070234 | Memory system with hybrid decoding scheme with information exchange and method of operating such memory system | SK HYNIX INC. |
11070235 | Transmission method and reception device | SONY CORPORATION |
11070236 | Parallel bit interleaver | PANASONIC CORPORATION |
11070237 | Parity bit channel assignment for polar coding | QUALCOMM INCORPORATED |
11070238 | Decoding device and decoding method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11070239 | Interface circuit and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11070244 | Integrated RF front end with stacked transistor switch | PSEMI CORPORATION |
11070253 | Near field communication device and operating method of near field communication device | SAMSUNG ELECTRONICS CO., LTD. |
11070312 | Compression forward error correction coding using a tree structure | CIENA CORPORATION |
11070314 | Use of LDPC base graphs for NR | NOKIA SOLUTIONS AND NETWORKS OY |
11070316 | Information processing method, apparatus, communications device, and communications system | HUAWEI TECHNOLOGIES CO., LTD. |
11070317 | Sub-block wise interleaving for polar coding systems, procedures, and signaling | IDAC HOLDINGS, INC. |
11070318 | Forwarding data amongst cooperative computing devices of a massive data ingestion system | PURE STORAGE, INC. |
11070319 | Method and device for transmitting building services data | DIEHL METERING SYSTEMS GMBH |
11070352 | CDR circuit and receiver of multilevel modulation method | FUJITSU LIMITED |
11070405 | Reduction and/or mitigation of spatial emissions in multi-antenna wireless communication systems for advanced networks | AT&T INTELLECTUAL PROPERTY I, L.P. |
11070450 | Network tap with clock adaptation | PROFITAP HQ B.V. |
11070563 | Trace-based transaction validation and commitment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11071116 | Coding/decoding method, apparatus, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11071239 | High power surface mount filter | AVX CORPORATION |
11071499 | Multichannel ultra-low noise amplifier | CASE WESTERN RESERVE UNIVERSITY |
11071858 | Hermetically sealed filtered feedthrough having platinum sealed directly to the insulator in a via hole | GREATBATCH LTD. |
11072298 | On-demand power supply in vehicle sound system | STILLWATER DESIGNS AND AUDIO, INC. |
11073014 | Cased formation parameter data sampling employing an impedance matching directional coupling device | HALLIBURTON ENERGY SERVICES, INC. |
11073409 | SINCOS encoder interface | TEXAS INSTRUMENTS INCORPORATED |
11073413 | Optical encoder and interpolation circuit and operating method thereof | -- |
11073493 | Sensor device | ABLIC INC. |
11073853 | Power supply with low variation of total radiated power | -- |
11073856 | Input circuit having hysteresis without power supply voltage dependence | ABLIC INC. |
11073857 | Maximum voltage selector for power management applications | NXP B.V. |
11073861 | Digital circuits for radically reduced power and improved timing performance on advanced semiconductor manufacturing processes | REZONENT MICROCHIPS PVT. LTD. |
11073937 | Capacitive interface device with mixed electrode structure, and apparatus comprising the device | FOGALE NANOTECH |
11073951 | Method and device for improved accuracy of proximity and touch detection in mobile devices | SEMTECH CORPORATION |
11074035 | Smart audio settings | SONOS, INC. |
11074074 | Extending operational lifetime of spatial light modulator devices with content-dependent encoding | TEXAS INSTRUMENTS INCORPORATED |
11074083 | Fast loading kernel image file for booting | HUAWEI TECHNOLOGIES CO., LTD. |
11074089 | Switch state determining device | ROHM CO., LTD. |
11074125 | Data storage system and method for decoding data based on extrapolated flipped-bit data | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074128 | Memory system with hybrid iterative decoding capability and method of operating such memory system | SK HYNIX INC. |
11074129 | Erasure coded data shards containing multiple data objects | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074220 | Consistent file system semantics with cloud object storage | ORACLE INTERNATIONAL CORPORATION |
11074221 | Efficient incremental backup and restoration of file system hierarchies with cloud object storage | ORACLE INTERNATIONAL CORPORATION |
11074260 | Space-efficient methodology for representing label information in large graph data for fast distributed graph query | ORACLE INTERNATIONAL CORPORATION |
11074318 | Hardware accelerated discretized neural network | WESTERN DIGITAL TECHNOLOGIES, INC. |
11074805 | Resistor-capacitor sensor circuit | MICRON TECHNOLOGY, INC. |
11074807 | Remote three-way switch | -- |
11074857 | DC boost circuit and method | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11074878 | Liquid crystal display | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11074902 | Output of babble noise according to parameter(s) indicated in microphone input | LENOVO (SINGAPORE) PTE. LTD. |
11074948 | Semiconductor device and memory system | TOSHIBA MEMORY CORPORATION |
11074976 | Temperature dependent impedance mitigation in non-volatile memory | SANDISK TECHNOLOGIES LLC |
11075058 | Spatially variable wafer bias power system | EAGLE HARBOR TECHNOLOGIES, INC. |
11075064 | Amplification system for continuously adjusting amplification gain of a high frequency weak signal for mass spectrometers | SHANGHAI YUDA INDUSTRIAL CO., LTD. |
11075178 | RF power amplifier pallet | AMPLEON NETHERLANDS B.V. |
11075194 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11075289 | Heterojunction bipolar transistor including ballast resistor and semiconductor device | MURATA MANUFACTURING CO., LTD. |
11075338 | Resistive memory cell structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075527 | Portable dual-device charger system | GOOGLE LLC |
11075577 | Power supply control and use of generated ramp signal | INFINEON TECHNOLOGIES AMERICAS CORP. |
11075602 | Oscillator compensation using bias current | SILICON LABORATORIES INC. |
11075603 | Integrated LC oscillator and method thereof | -- |
11075604 | RF frequency multiplier without balun | PSEMI CORPORATION |
11075605 | Dual-domain power distribution system in a mobile device | CIRRUS LOGIC, INC. |
11075606 | Power amplifier circuit and antenna device | FUJITSU LIMITED |
11075607 | High-speed differential transimpedance amplifier and method thereof | -- |
11075608 | System and method to reduce standby power dissipation in class D amplifiers | HARMAN PROFESSIONAL, INC. |
11075609 | Transforming audio content for subjective fidelity | WARNER BROS. ENTERTAINMENT INC. |
11075610 | Receiver for compensating common mode offset | SAMSUNG ELECTRONICS CO., LTD. |
11075611 | Frequency adjustment method for piezoelectric resonator device | DAISHINKU CORPORATION |
11075612 | Integrated and combined phase shifter and isolation switch | PSEMI CORPORATION |
11075613 | Vibrator device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11075614 | Piezoelectric thin film resonator, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11075615 | Crystal vibration element, and crystal vibrator equipped with crystal vibration element | MURATA MANUFACTURING CO., LTD. |
11075616 | Filter including two types of acoustic wave resonators | SKYWORKS SOLUTIONS, INC. |
11075617 | DC-removing CIC filter | NXP USA, INC. |
11075618 | Pulse width modulation signal generator | -- |
11075619 | Contactless readable programmable transponder to monitor chip join | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075620 | Miller clamp driver with feedback bias control | TEXAS INSTRUMENTS INCORPORATED |
11075621 | Delay circuit, time to digital converter, and A/D conversion circuit | SEIKO EPSON CORPORATION |
11075622 | Switch turn on in a gate driver circuit | ALLEGRO MICROSYSTEMS, LLC |
11075623 | Method for controlling a direct current switch, direct current switch, and DC voltage system | SIEMENS AKTIENGESELLSCHAFT |
11075624 | Hybrid driver having low output pad capacitance | STMICROELECTRONICS INTERNATIONAL N.V. |
11075625 | Slew rate control circuit and method | -- |
11075626 | Power-on clear circuit and semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11075627 | Methods and circuitry for driving a device | TEXAS INSTRUMENTS INCORPORATED |
11075628 | Power sharing solid-state relay | TRIUNE IP, LLC |
11075629 | Digital output driver circuit and method | STMICROELECTRONICS S.R.L. |
11075630 | Semiconductor relay module and semiconductor relay circuit | OMRON CORPORATION |
11075631 | Semiconductor relay module and semiconductor relay circuit | OMRON CORPORATION |
11075632 | Dynamic sensor for measurement device | PEPPERL + FUCHS GMBH |
11075633 | Water-rejection proximity detector and method | SEMTECH CORPORATION |
11075634 | Switching operation sensing apparatus with touch input member identification | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11075635 | Display device and manufacturing method of same | -- |
11075636 | Differential output driver circuit and method of operation | NXP USA, INC. |
11075637 | Signal generation circuit, memory storage device and signal generation method | -- |
11075638 | System and method for calibrating digital phase locked loop | NXP USA, INC. |
11075639 | Frequency divider with delay compensation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075640 | Analog to digital converter device and method for calibrating clock skew | -- |
11075641 | Analog to digital converter device and method for calibrating clock skew | -- |
11075642 | Linear calibration system and method for time-to-digital converter and digital phase-locked loop | MONTAGE LZ TECHNOLOGIES (CHENGDU) CO., LTD. |
11075643 | Background timing skew error measurement for RF DAC | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11075644 | Efficient all-digital domain calibration architecture for a successive approximation register analog-to-digital converter | NEWRACOM, INC. |
11075645 | Correction of a value of a passive component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075646 | Σ-Δmodulator and method for reducing nonlinear error and gain error | JIANGSU RUNIC TECHNOLOGY CO., LTD. |
11075647 | Methods and apparatus to compress data | MOVIDIUS LIMITED |
11075648 | Seemingly monolithic interface between separate integrated circuit die | INTEL CORPORATION |
11075649 | Method and apparatus for decoding data in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11075650 | Sub-matrix reduction for quasi-cyclic LDPC codes | XILINX, INC. |
11075651 | Generalized low-density parity check codes (GLDPC) | HUAWEI TECHNOLOGIES CO., LTD. |
11075652 | Polar code transmission method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11075653 | Polar code encoding and decoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11075654 | Method and error correction system for correcting an error at a unit position of a received signal | -- |
11075656 | Bit error reduction of communication systems using error correction | MICROSOFT TECHNOLOGY LICENSING, LLC |
11075658 | Multilayer substrate, filter, multiplexer, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11075659 | Power adjustment method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11075661 | Method and apparatus to optimize power clamping | PSEMI CORPORATION |
11075671 | Configurable, power supply voltage referenced single-ended signaling with ESD protection | RAMBUS INC. |
11075676 | Facilitating semi-open loop based transmission diversity for uplink transmissions for 5G or other next generation networks | AT&T INTELLECTUAL PROPERTY I, L.P. |
11075709 | Variable spreading factor codes for non-orthogonal multiple access | QUALCOMM INCORPORATED |
11075714 | Transmission device, transmission method, reception device, reception method, integrated circuit, and program | SUN PATENT TRUST |
11075716 | Repetition scheme for flexible bandwidth utilization | INTEGRATED SILICON SOLUTION, (CAYMAN) INC. |
11075745 | Shared blockchain data storage based on error correction coding in trusted execution environments | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11075779 | Transceiver baseband processing | INTEL CORPORATION |
11075790 | Transmitting apparatus and mapping method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11076117 | Solid-state imaging device and method of driving solid-state imaging device | CANON KABUSHIKI KAISHA |
11076220 | Loudspeaker system | VUE AUDIOTECHNIK LLC |
11076228 | Electronic device including actuator configured to output sound and method for operating same | SAMSUNG ELECTRONICS CO., LTD. |
11076231 | Systems and methods for controlling plate loudspeakers using modal crossover networks | UNIVERSITY OF ROCHESTER |
11076249 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11076408 | Information transmission method, network device, and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11076464 | Control method and driving circuit for light emitting diode | -- |
11077366 | Methodology for equalizing systemic latencies in television reception in connection with games of skill played in connection with live television programming | WINVIEW, INC. |
11077755 | Self-test circuit, and corresponding device, vehicle and method | STMICROELECTRONICS S.R.L. |
11078691 | Deployable vehicle door handle | FORD GLOBAL TECHNOLOGIES, LLC |
11078692 | Method for detecting a user's intention to lock or unlock a motor vehicle door and associated device | CONTINENTAL AUTOMOTIVE FRANCE |
11079066 | Fiber optic safety system | -- |
11079151 | Controlling systems with motor drives using pulse width modulation | LENNOX INDUSTRIES INC. |
11079172 | Appliance having touch sensor assembly | LG ELECTRONICS INC. |
11079268 | Precision ADC sampling clock for high accuracy wireless guided wave radar | ROSEMOUNT INC. |
11079403 | Physical quantity sensor module, clinometer, and structure monitoring device | SEIKO EPSON CORPORATION |
11079415 | Peak detector calibration | INFINEON TECHNOLOGIES AG |
11079416 | Voltage monitoring apparatus | ROHM CO., LTD. |
11079723 | Apparatus and methods for automatic time measurements | INTEGRATED DEVICE TECHNOLOGY, INC. |
11079730 | Control device comprising a processor and an IC | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11079778 | Modulating jitter frequency as switching frequency approaches jitter frequency | POWER INTEGRATIONS, INC. |
11079779 | Transconductor system for a power supply system | TEXAS INSTRUMENTS INCORPORATED |
11079790 | Semiconductor digital logic circuitry for non-quantum enablement of quantum algorithms | SYNOPSYS, INC. |
11079812 | Modular button assembly for an electronic device | APPLE INC. |
11079874 | Virtual button characterization engine | CIRRUS LOGIC, INC. |
11079878 | Noise mitigation touch sensing circuit | APPLE INC. |
11079880 | Method for measuring a capacitance value | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11079881 | Signal processing circuit and system | -- |
11079970 | Storage array supporting multi-thread access | QUANTUM CORPORATION |
11080001 | Concurrent transmission and playback of audio information | SONOS, INC. |
11080008 | Command dial in a media playback system | SONOS, INC. |
11080010 | System and method for optimizing loudness and dynamic range across different playback devices | DOLBY LABORATORIES LICENSING CORPORATION |
11080017 | Host-based bit string conversion | MICRON TECHNOLOGY, INC. |
11080131 | High performance method and system for performing fault tolerant matrix multiplication | YISSUM RESEARCH DEVELOPMENT COMPANY OF THE HEBREW UNIVERSITY OF JERUSALEM LTD. |
11080133 | Systems and methods for reduced latency in data processing | JERSEY CITY |
11080134 | Memory controller and memory system including the same | SK HYNIX INC. |
11080138 | Storing integrity information in a vast storage system | PURE STORAGE, INC. |
11080156 | Electronic controller and control method thereof, and electronic control system | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11080277 | Data set compression within a database system | OCIENT INC. |
11080398 | Identifying signatures for data sets | TREND MICRO INCORPORATED |
11080432 | Hardware countermeasures in a fault tolerant security architecture | TEXAS INSTRUMENTS INCORPORATED |
11080449 | Modular periphery tile for integrated circuit device | INTEL CORPORATION |
11080461 | Method for improved cut metal patterning | -- |
11080604 | Computer architecture for emulating digital delay lines in a correlithm object processing system | BANK OF AMERICA CORPORATION |
11081034 | Driving circuit for gamma voltage generator and gamma voltage generator using the same | -- |
11081036 | Slew rate enhancement circuit | -- |
11081064 | Reference signal generation by reusing the driver circuit | SAMSUNG DISPLAY CO., LTD. |
11081091 | Adaptive multibit bus for energy optimization | INTEL CORPORATION |
11081193 | Inverter based delay chain for calibrating data signal to a clock | SANDISK TECHNOLOGIES LLC |
11081205 | Semiconductor apparatus for compensating for degradation and semiconductor system using the same | SK HYNIX INC. |
11081244 | Incore nuclear instrumentation system | MITSUBISHI ELECTRIC CORPORATION |
11081271 | Element for protecting circuit | MODA-INNOCHIPS CO., LTD. |
11081273 | Magnetic field generation with thermovoltaic cooling | CALAGEN, INC. |
11081300 | Mechanical switch of photoelectrical type and a key assembly of a keyboard | -- |
11081305 | Method, controller and system for regulating a current of a coil | SCHNEIDER ELECTRIC INDUSTRIES SAS |
11081316 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
11081317 | Modular high-frequency source | APPLIED MATERIALS, INC. |
11081477 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081574 | IGBT power device | SUZHOU ORIENTAL SEMICONDUCTOR CO., LTD. |
11081634 | Use of selective hydrogen etching technique for building topological qubits | MICROSOFT TECHNOLOGY LICENSING, LLC |
11081767 | Multilayered filter device | TDK CORPORATION |
11081799 | Antenna device | MURATA MANUFACTURING CO., LTD. |
11081836 | Circuits and methods for wearable device charging and wired control | SNAP INC. |
11081855 | Laser-MOPA with burst-mode control | COHERENT, INC. |
11081884 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11081960 | Timer for power converter controller | TEXAS INSTRUMENTS INCORPORATED |
11081962 | Methods and systems for power management | UPLIFT SOLAR CORP. |
11082006 | Low power crystal oscillator | STMICROELECTRONICS INTERNATIONAL N.V. |
11082007 | Envelope tracking integrated circuit and related apparatus | QORVO US, INC. |
11082008 | Multi-mode stacked amplifier | SKYWORKS SOLUTIONS, INC. |
11082009 | Envelope tracking power amplifier apparatus | QORVO US, INC. |
11082010 | Systems and methods for TIA base current detection and compensation | VELODYNE LIDAR USA, INC. |
11082011 | RF power amplifier performance by clipping prevention of large PAPR signals | HARMONIC, INC. |
11082012 | Highly linear input and output rail-to-rail amplifier | CIRRUS LOGIC, INC. |
11082013 | Method of reducing memory effect of power amplifier | -- |
11082014 | Advanced amplifier system for ultra-wide band RF communication | -- |
11082015 | Methods and apparatuses for reflection measurements | ANDREW WIRELESS SYSTEMS GMBH |
11082016 | Amplifier devices with reflection absorption | NXP USA, INC. |
11082017 | Amplifier with a compensator with a network of at least third order | PURIFI APS |
11082018 | Circuit employing MOSFETs and corresponding method | STMICROELECTRONICS DESIGN AND APPLICATION S.R.O. |
11082019 | Amplifier with adaptively-controlled local feedback loop | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11082020 | Apparatus for attenuating noise in vehicle and control method thereof | HYUNDAI MOTOR COMPANY |
11082021 | Advanced gain shaping for envelope tracking power amplifiers | SKYWORKS SOLUTIONS, INC. |
11082022 | Audio equalization system and method | DOLBY LABORATORIES LICENSING CORPORATION |
11082023 | Multi-layer raised frame in bulk acoustic wave device | SKYWORKS GLOBAL PTE. LTD. |
11082024 | Temperature stable mems resonator | SITIME CORPORATION |
11082025 | Joined body of piezoelectric material substrate and support substrate | NGK INSULATORS, LTD. |
11082026 | Joined body of piezoelectric material substrate and support substrate | NGK INSULATORS, LTD. |
11082027 | Acoustic wave device | TAIYO YUDEN CO., LTD. |
11082028 | 3D-printed protective shell structures with support columns for stress sensitive circuits | TEXAS INSTRUMENTS INCORPORATED |
11082029 | Acoustic wave device with multi-layer interdigital transducer electrode | SKYWORKS SOLUTIONS, INC. |
11082030 | High-pass filter and multiplexer | TAIYO YUDEN CO., LTD. |
11082031 | Filter device, multiplexer, radio frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11082032 | System for generating low-jitter digital clock signals using pulsed laser | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11082033 | Rapid and high voltage pulse generation circuits | TEXAS INSTRUMENTS INCORPORATED |
11082034 | Cycle accurate skew adjust | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11082035 | Digitally controlled delay line circuit and method | -- |
11082036 | Memory interface system for duty-cycle error detection and correction | SANDISKTECHNOLOGIES LLC |
11082038 | Gate driver isolating circuit | ALLEGRO MICROSYSTEMS, LLC |
11082039 | GaN transistor with integrated drain voltage sense for fast overcurrent and short circuit protection | GAN SYSTEMS INC. |
11082040 | Devices and methods for improving voltage handling and/or bi-directionality of stacks of elements when connected between terminals | PSEMI CORPORATION |
11082041 | Switching circuit and operation method | -- |
11082042 | Material-discernment proximity sensor | TEXAS INSTRUMENTS INCORPORATED |
11082043 | Memory device | SK HYNIX INC. |
11082044 | Integrated circuit including power gating cell | SAMSUNG ELECTRONICS CO., LTD. |
11082045 | Bias circuitry and biasing method | -- |
11082047 | Low dropout linear voltage regulator | SOUTHERN UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11082048 | Semiconductor integrated circuit, receiving device, and control method of receiving device | KIOXIA CORPORATION |
11082049 | Semiconductor device | SK HYNIX INC. |
11082050 | Clock distribution circuit using adjustable phase control and voltage converter including the same | SAMSUNG ELECTRONICS CO., LTD. |
11082051 | Apparatus and methods for timing offset compensation in frequency synthesizers | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11082052 | Frequency lock loop circuits, low voltage dropout regulator circuits, and related methods | TEXAS INSTRUMENTS INCORPORATED |
11082053 | Phase locked loop-based power supply circuit and method, and chip | MONTAGE TECHNOLOGY CO., LTD. |
11082054 | Apparatus and method for time-interleaved analog-to-digital conversion | INTEL CORPORATION |
11082055 | Beamforming using fractional time delay in digitally oversampled sensor systems, apparatuses, and methods | SOLOS TECHNOLOGY LIMITED |
11082056 | Analog to digital converter stage | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11082057 | Dynamic common mode control | INFINEON TECHNOLOGIES AUSTRIA AG |
11082058 | Concept of capacitor scaling | APPLE INC. |
11082059 | Method and system for obtaining and storing sensor data | TERAKI GMBH |
11082060 | LPDC code transmission method using row-orthogonal structure and apparatus therefor | LG ELECTRONICS INC. |
11082061 | High-rate long LDPC codes | HUAWEI TECHNOLOGIES CO., LTD. |
11082062 | Hardware implementations of a quasi-cyclic syndrome decoder | SK HYNIX INC. |
11082063 | Bit interleaver for low-density parity check codeword having length of 16200 and code rate of 3/15 and 256-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11082064 | Transmitting apparatus and mapping method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11082065 | Data processing apparatus and data processing method using low density parity check encoding for decreasing signal-to-noise power ratio | SATURN LICENSING LLC |
11082066 | Efficient control channel design using polar codes | QUALCOMM INCORPORATED |
11082067 | System and method for determining bit types for polar encoding and decoding | XILINX, INC. |
11082068 | Error correction circuit, memory controller having error correction circuit, and memory system having memory controller | SK HYNIX INC. |
11082069 | Decoding scheme for error correction code structure in data storage devices | KIOXIA CORPORATION |
11082070 | Channel interleaving method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11082071 | Quality of service (QoS) aware data storage decoder | SK HYNIX INC. |
11082073 | Method and controller for controlling power amplifier in radio transmitter as well as radio unit and radio device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11082076 | Method and device for transmitting or receiving at least one high-frequency signal using parallel and undersampled baseband signal processing | ROSENBERGER HOCHFREQUENZTECHNIK GMBH & CO. KG |
11082080 | Transceiver circuit | -- |
11082091 | Method and apparatus for communication utilizing electromagnetic waves and a power line | AT&T INTELLECTUAL PROPERTY I, L.P. |
11082120 | Dual-polarization beamforming | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11082147 | Processing method, device and system for overlap multiplexing system | SHEN ZHEN KUANG-CHI HEZHONG TECHNOLOGY LTD |
11082158 | Transmitting method and receiving method using non-uniform constellation | SAMSUNG ELECTRONICS CO., LTD. |
11082159 | Transmitter apparatus and signal processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11082194 | Multifunctional amplifier | ACTELIS NETWORKS (ISRAEL) LTD. |
11082241 | Physically unclonable function with feed-forward addressing and variable latency output | INTEL CORPORATION |
11082242 | Transistor-based physically unclonable function | -- |
11082271 | Methods and apparatus for performing a high speed phase demodulation scheme using a low bandwidth phase-lock loop | TEXAS INSTRUMENTS INCORPORATED |
11082364 | Network interface device | XILINX, INC. |
11082544 | Compact timestamp, encoders and decoders that implement the same, and related devices, systems and methods | MICROCHIP TECHNOLOGY INCORPORATED |
11082642 | Photoelectric conversion device, imaging system, and mobile apparatus | CANON KABUSHIKI KAISHA |
11082770 | Multi-channel pairing in a media system | SONOS, INC. |
11082776 | Hearing device comprising an amplifier system for minimizing variation in an acoustical signal caused by variation in gain of an amplifier | OTICON A/S |
11082925 | Staggered extended sleep cycles, comprising on and off sleep durations, in wireless devices | TRACIE WIRELESS LLC |
11083965 | Methodology for equalizing systemic latencies in television reception in connection with games of skill played in connection with live television programming | WINVIEW, INC. |
11084033 | Drive circuit and drive method thereof, and panel and drive method thereof | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11084327 | Apparatus for providing environmental noise compensation for a synthesized vehicle sound | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11085625 | LED lamp | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11085817 | Device and method for detecting light intensity, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11085944 | Optically levitated nanoparticle accelerometer | THE GOVERNMENT OF THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11085951 | Non-linear active shunt ammeter | KEITHLEY INSTRUMENTS, LLC |
11085954 | Control circuit, bias circuit, and control method | SMARTER MICROELECTRONICS (SHANGHAI) CO., LTD. |
11085962 | Circuit aging detection sensor based on lookup table | NINGBO UNIVERSITY |
11086342 | Low power high speed maximum input supply selector | QUALCOMM INCORPORATED |
11086353 | Fractional clock generator with low power and low noise | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11086625 | Compression assist instructions | APPLE INC. |
11086634 | Data processing apparatus and method | SHANGHAI CAMBRICON INFORMATION TECHNOLOGY CO., LTD. |
11086714 | Permutation of bit locations to reduce recurrence of bit error patterns in a memory device | INTEL CORPORATION |
11086716 | Memory controller and method for decoding memory devices with early hard-decode exit | MICROCHIP TECHNOLOGY INC. |
11086717 | Random selection of code words for read voltage calibration | SEAGATE TECHNOLOGY LLC |
11086720 | Memory system and operating method thereof | SK HYNIX INC. |
11086778 | Multicore shared cache operation engine | TEXAS INSTRUMENTS INCORPORATED |
11086803 | Dynamically configuring transmission lines of a bus | MICRON TECHNOLOGY, INC. |
11086843 | Embedding codebooks for resource optimization | ADOBE INC. |
11087071 | Self-compressed YANG model | CIENA CORPORATION |
11087099 | Single transistor multiplier and method therefor | AISTORM, INC. |
11087599 | Medical device alert, optimization, personalization, and escalation | ROCHE DIABETES CARE, INC. |
11087708 | Method for transmitting data from timing controller to source driver and associated timing controller and display system | -- |
11087732 | Oscillatory timbres for musical synthesis through synchronous ring modulation | ROSSUM ELECTRO-MUSIC, LLC |
11087834 | Read and write techniques | ARM LIMITED |
11087911 | Autonomous mode change circuit for solenoid drivers | HAMILTON SUNDSTRAND CORPORATION |
11087914 | Common mode choke coil | TAIYO YUDEN CO., LTD. |
11088044 | Compound semiconductor device and fabrication method therefor, and amplifier | FUJITSU LIMITED |
11088112 | Radio frequency system-in-package with stacked clocking crystal | SKYWORKS SOLUTIONS, INC. |
11088534 | Overvoltage protection and short-circuit withstanding for gallium nitride devices | TEXAS INSTRUMENTS INCORPORATED |
11088540 | Switch circuit with high voltage protection that reduces leakage currents | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088560 | Charger having fast transient response and control method thereof | -- |
11088606 | Linear vibration generating device | MPLUS CO., LTD. |
11088609 | Low noise power supply MOSFET gate drive scheme | KEITHLEY INSTRUMENTS, LLC |
11088610 | Driving and controlling method for switching element and circuit thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11088618 | PWM DC-DC converter with linear voltage regulator for DC assist | QORVO US, INC. |
11088648 | Intelligent power module and controller for air conditioner | GD MIDEA AIR-CONDITIONING EQUIPMENT CO., LTD. |
11088657 | Vibration element, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11088658 | Envelope tracking amplifier apparatus | QORVO US, INC. |
11088659 | Multi-amplifier envelope tracking circuit and related apparatus | QORVO US, INC. |
11088660 | Power supply with envelope tracking modulation | -- |
11088661 | Power amplifier devices containing inverted power transistor dies and methods for the fabrication thereof | NXP USA, INC. |
11088662 | Digital amplifier and output device | SHARP KABUSHIKI KAISHA |
11088663 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
11088664 | Amplitude sweep generator and method | IMEC VZW |
11088665 | Linear broadband transconductance amplifier | ANALOG DEVICES, INC. |
11088666 | Operational amplifier with controllable output modes | MICROCHIP TECHNOLOGY INCORPORATED |
11088667 | Methods and apparatus for a dual mode operational amplifier | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088668 | LNA with controlled phase bypass | PSEMI CORPORATION |
11088669 | Band pass filter | MURATA MANUFACTURING CO., LTD. |
11088670 | Loaded series resonators for adjusting frequency response of acoustic wave resonators | VTT TECHNICAL RESEARCH CENTRE OF FINLAND LTD |
11088671 | Surface acoustic wave device, filter circuit, and electronic component | NDK SAW DEVICES CO., LTD. |
11088672 | Bulk acoustic wave resonators with shaped border rings | QORVO US, INC. |
11088673 | Composite filter device, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11088674 | Saw filter manufacturing method and saw filter | DISCO CORPORATION |
11088675 | Acoustic wave filter with temperature sensor | SKYWORKS SOLUTIONS, INC. |
11088676 | Calibration circuit and transmitter including the same | SK HYNIX INC. |
11088677 | Signal receiving device | -- |
11088678 | Pulsed flip-flop capable of being implemented across multiple voltage domains | XILINX, INC. |
11088679 | Synchronization in a quantum controller with modular and dynamic pulse generation and routing | QUANTUM MACHINES |
11088680 | Method and apparatus for eliminating crosstalk effects in high switching-speed power modules | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11088681 | High speed signal adjustment circuit | MICRON TECHNOLOGY, INC. |
11088682 | High speed digital phase interpolator with duty cycle correction circuitry | INTEL CORPORATION |
11088683 | Reconfigurable clock flipping scheme for duty cycle measurement | APPLE INC. |
11088684 | Calibrating internal pulses in an integrated circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088685 | High-frequency switch | MITSUBISHI ELECTRIC CORPORATION |
11088686 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11088687 | Current controlled amplifier | FLEXTRONICS AP, LLC |
11088688 | Configurations of composite devices comprising of a normally-on FET and a normally-off FET | LOGISIC DEVICES, INC. |
11088689 | Switching apparatus | GENERAL ELECTRIC COMPANY |
11088690 | Switch | AUTONICS CORPORATION |
11088691 | Oscillation circuit and interface circuit | KIOXIA CORPORATION |
11088692 | Programmable input/output circuit | CYPRESS SEMICONDUCTOR CORPORATION |
11088693 | Configurable logic block for implementing a Boolean function | -- |
11088694 | Application specific integrated circuit accelerators | X DEVELOPMENT LLC |
11088695 | Phase-locked loop apparatus and method for clock synchronization | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11088696 | Charge pump | TEXAS INSTRUMENTS INCORPORATED |
11088697 | PLL circuit | MITSUBISHI ELECTRIC CORPORATION |
11088698 | Phase-locked loop circuit | MITSUBISHI ELECTRIC CORPORATION |
11088699 | Piecewise compensation method for ultra-low temperature drift | TEXAS INSTRUMENTS INCORPORATED |
11088700 | Rollable display device and rollable device | SAMSUNG DISPLAY CO., LTD. |
11088701 | Analogue to digital converter with top plate sampling architecture for linear operation | SILICONCH SYSTEMS PVT LTD |
11088702 | Analog-to-digital converter with interpolation | TEXAS INSTRUMENTS INCORPORATED |
11088703 | Digital-to-analog conversion system with current-mode converter and voltage-mode converter | JARIET TECHNOLOGIES, INC. |
11088704 | Analog-to-digital converter | -- |
11088705 | Phase-shifting encoding for signal transition minimization | SYNOPSYS, INC. |
11088706 | Information processing method, apparatus, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11088707 | Low density parity check (LDPC) decoder architecture with check node storage (CNS) or bounded circulant | INTEL CORPORATION |
11088708 | Polar code encoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11088709 | Polar code encoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11088710 | Memory controllers and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11088711 | Memory apparatus and data accessing method thereof | -- |
11088712 | Iterative decoder performance prediction using machine learning | WESTERN DIGITAL TECHNOLOGIES, INC. |
11088713 | Solid state drive implementing a rate-compatible polar code | -- |
11088719 | Serdes with pin sharing | SAMSUNG ELECTRONICS CO., LTD. |
11088780 | Low complexity blind detection of code rate | HUAWEI TECHNOLOGIES CO., LTD. |
11088782 | Use of a cyclic redundancy code multiple-input shift register to provide early warning and fail detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088817 | Data transmission method, data transmission device, and computer readable storage medium | HKC CORPORATION LIMITED |
11088888 | Wireless devices and systems including examples of mixing coefficient data specific to a processing mode selection | MICRON TECHNOLOGY, INC. |
11088909 | Multi-stage reconfigurable triplexer | SKYWORKS SOLUTIONS, INC. |
11089253 | Image sensor with controllable conversion gain | SAMSUNG ELECTRONICS CO., LTD. |
11089403 | Directivity control system | DREAM INCORPORATED |
11089418 | Microphone array with automated adaptive beam tracking | BIAMP SYSTEMS, LLC |
11089420 | Speech processing system and speech processing method | -- |
11090431 | Infusion system and method of use which prevents over-saturation of an analog-to-digital converter | ICU MEDICAL, INC. |
11090481 | Wireless power delivery in dynamic environments | SEATTLE |
11090927 | Driving circuit and liquid ejection apparatus | SEIKO EPSON CORPORATION |
11091094 | Capacitive sensing car-door pre-opening warning device based on a flexible printed circuit | -- |
11091107 | Connection unit and power supply system | AUTONETWORKS TECHNOLOGIES, LTD. |
11092464 | High speed AC input sensor conversion | HAMILTON SUNDSTRAND CORPORATION |
11092465 | Optical encoder | SHARP KABUSHIKI KAISHA |
11092482 | Leakage compensation for a detector | TEXAS INSTRUMENTS INCORPORATED |
11092618 | Offset cancellation device for micro-electromechanical system | STMICROELECTRONICS, INC. |
11092633 | Capacitance detection circuit, semiconductor device, input device and electronic apparatus including the same, and method of detecting capacitance | ROHM CO., LTD. |
11092647 | Programmable integrated circuit with internal diagnostic hardware | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11092981 | Control gain automation | SIEMENS SCHWEIZ AG |
11092990 | Apparatuses and related methods for staggering power-up of a stack of semiconductor dies | MICRON TECHNOLOGY, INC. |
11092993 | Digital sinusoid generator | STMICROELECTRONICS INTERNATIONAL N.V. |
11092994 | Clock compensation circuit | SK HYNIX INC. |
11093042 | System for interacting with objects using gestures in an environment | EPIC SEMICONDUCTORS INC |
11093048 | System for modified key actions and haptic feedback for smart typing assist with a solid-state keyboard and touchpad | DELL PRODUCTS L.P. |
11093049 | Electronic device and method for controlling display in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11093075 | Electronic device | -- |
11093077 | Electronic device with biometric sensor | -- |
11093213 | Cryptographic computer machines with novel switching devices | TERNARYLOGIC LLC |
11093214 | Domino full adder based on delayed gating positive feedback | NINGBO UNIVERSITY |
11093322 | Memory error recovery using shared structural element error correlations | FACEBOOK, INC. |
11093326 | Dynamic multi-stage decoding | WESTERN DIGITAL TECHNOLOGIES, INC. |
11093327 | Failure abatement approach for failed storage units common to multiple vaults | PURE STORAGE, INC. |
11093330 | Combining data objects in a vast data storage network | PURE STORAGE, INC. |
11093342 | Efficient deduplication of compressed files | EMC IP HOLDING COMPANY LLC |
11093382 | System data compression and reconstruction methods and systems | SK HYNIX INC. |
11093440 | Analog processor comprising quantum devices | D-WAVE SYSTEMS INC. |
11093547 | Data storage based on encoded DNA sequences | INTEL CORPORATION |
11093677 | Logic drive based on standard commodity FPGA IC chips | -- |
11093812 | RFIC module, RFID tag, and article | MURATA MANUFACTURING CO., LTD. |
11094274 | Circuit device, electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
11094331 | Post-processor, pre-processor, audio encoder, audio decoder and related methods for enhancing transient processing | FRAUNHOFER-GESELLSCHAFT ZUR FöRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11094354 | First order memory-less dynamic element matching technique | STMICROELECTRONICS INTERNATIONAL N.V. |
11094374 | Write data processing circuits and methods associated with computational memory cells | GSI TECHNOLOGY, INC. |
11094384 | Dynamic reference current sensing | -- |
11094481 | Keyswitch capable of identifying keycap change | -- |
11094490 | Method and apparatus for automatic engagement of arc reduction technology | EATON INTELLLIGENT POWER LIMITED |
11094507 | Power generation systems and methods for plasma stability and control | TOKYO ELECTRON LIMITED |
11094652 | Configurable radio transceiver and method thereof | -- |
11094694 | Buried channel semiconductor device and method for manufacturing the same | -- |
11094809 | Power module and reverse-conducting IGBT | FUJI ELECTRIC CO., LTD. |
11094813 | Compound semiconductor device, method of manufacturing compound semiconductor device, and amplifier | FUJITSU LIMITED |
11095010 | Bandpass filter with induced transmission zeros | TELEDYNE DEFENSE ELECTRONICS, LLC |
11095013 | Integrated Tera-Hertz slide screw tuner | -- |
11095092 | Switch circuit and laser irradiation device | ASAHI KASEI MICRODEVICES CORPORATION |
11095121 | Electrostatic discharge protection circuit having variable schmitt trigger characteristics | SAMSUNG ELECTRONICS CO., LTD. |
11095215 | Multi-capacitor bootstrap circuit | TEXAS INSTRUMENTS INCORPORATED |
11095223 | Method and system for ripple suppression in multi-phase buck converters | MAXLINEAR, INC. |
11095241 | Motor control device and motor control method | KABUSHIKI KAISHA TOSHIBA |
11095250 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11095251 | Performance calculation system, performance calculation method, and electronic device | -- |
11095252 | Mixer circuitry with noise cancellation | APPLE INC. |
11095253 | Analog to analog converter with quantized digital controlled amplification | -- |
11095254 | Circuits and methods to reduce distortion in an amplifier | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11095255 | Amplifier system for use as high sensitivity selective receiver without frequency conversion | -- |
11095256 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11095257 | Method for high-power combining | LOCKHEED MARTIN CORPORATION |
11095258 | Class AB amplifier and operational amplifier | MITSUBISHI ELECTRIC CORPORATION |
11095259 | Trans-impedance amplifier, chip, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11095260 | Amplifier with low drift biasing | TEXAS INSTRUMENTS INCORPORATED |
11095261 | Amplification interface, and corresponding measurement system and method for calibrating an amplification interface | STMICROELECTRONICS S.R.L. |
11095262 | Circuit arrangement and a method for operating a circuit arrangement | AMS AG |
11095263 | Signal amplifier with calibrated reference | POWER INTEGRATIONS, INC. |
11095264 | Configurable modal amplifier system | DOLBY LABORATORIES LICENSING CORPORATION |
11095265 | Matching circuit and communication device | MURATA MANUFACTURING CO., LTD. |
11095266 | Slanted apodization for acoustic wave devices | QORVO US, INC. |
11095267 | Coupled resonator filter with embedded border ring | QORVO US, INC. |
11095268 | RF filter | SNAPTRACK, INC. |
11095269 | Frequency control of spurious shear horizontal mode by adding high velocity layer in a lithium niobate filter | SKYWORKS SOLUTIONS, INC. |
11095270 | Method for averaging pulsating measurement quantities | ROBERT BOSCH GMBH |
11095271 | Equalizer and transmitter including the same | SAMSUNG ELECTRONICS CO., LTD. |
11095272 | Flip-flop cell | -- |
11095273 | High-speed sense amplifier with a dynamically cross-coupled regeneration stage | QUALCOMM INCORPORATED |
11095274 | Pre-discharged bypass flip-flop circuit | ADVANCED MICRO DEVICES, INC. |
11095275 | Loadable true-single-phase-clocking flop | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11095276 | Relaxation oscillator with an aging effect reduction technique | AMS AG |
11095277 | Cable voltage drop compensation | STMICROELECTRONICS (GRENOBLE 2) SAS |
11095278 | Comparator, solid-state imaging device, electronic apparatus, and driving method | SONY CORPORATION |
11095279 | Generalized pulse width modulation technique for specific inter-harmonics control of the inverters | WUHAN UNIVERSITY |
11095280 | Efficient IGBT switching | EAGLE HARBOR TECHNOLOGIES, INC. |
11095281 | Gate drive control method for SiC and IGBT power devices to control desaturation or short circuit faults | MICROCHIP TECHNOLOGY INCORPORATED |
11095282 | Methods and apparatus to implement current limit test mode | TEXAS INSTRUMENTS INCORPORATED |
11095283 | Drive control apparatus for driving a switching element including a sense element | DENSO CORPORATION |
11095284 | Minimizing ringing in wide band gap semiconductor devices | FORD GLOBAL TECHNOLOGIES, LLC |
11095285 | Driving device of semiconductor switch | ACMEX ALMAZ CO., LTD. |
11095286 | Electrostatic discharge clamp topology | SKYWORKS SOLUTIONS, INC. |
11095287 | Asynchronous polymorphic logic gate design | -- |
11095288 | Switchbox | -- |
11095289 | Time of flight sensing unit having reconfigurable OR logic | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11095290 | Clock recovery method and clock recovery module | ROHDE & SCHWARZ GMBH & CO. KG |
11095291 | Time measurement circuit, system having a PWM signal generator circuit and a time measurement circuit, and corresponding integrated circuit | STMICROELECTRONICS S.R.L. |
11095292 | Frequency synthesis device with feedback loop | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11095293 | Low-power fractional analog PLL without feedback divider | TEXAS INSTRUMENTS INCORPORATED |
11095294 | Phase-locked loop and method for calibrating voltage-controlled oscillator therein | GOWIN SEMICONDUCTOR CORPORATION |
11095295 | Spur cancellation for spur measurement | -- |
11095296 | Phase modulator having fractional sample interval timing skew for frequency control input | INNOPHASE, INC. |
11095297 | Phase locked loop (PLL) circuit with voltage controlled oscillator (VCO) having reduced gain | STMICROELECTRONICS INTERNATIONAL N.V. |
11095298 | Fast bandwidth spectrum analysis | IXI TECHNOLOGY HOLDINGS, INC. |
11095299 | ADC having adjustable threshold levels for PAM signal processing | SITRUS TECHNOLOGY CORPORATION |
11095300 | Reduced noise dynamic comparator for a successive approximation register analog-to-digital converter | TEXAS INSTRUMENTS INCORPORATED |
11095301 | Flash-successive approximation register (SAR) hybrid analog-to-digital converter (ADC) | QUALCOMM INCORPORATED |
11095302 | Frequency delta sigma modulation signal output circuit, physical quantity sensor module, and structure monitoring device | SEIKO EPSON CORPORATION |
11095303 | Single-ended to differential circuit | DIALOG SEMICONDUCTOR B.V. |
11095304 | Discrete dither | MQA LIMITED |
11095305 | Method and apparatus for high performance compression and decompression | INTEL CORPORATION |
11095306 | Method and system for determining a sampling scheme for sensor data | TERAKI GMBH |
11095307 | Performing cyclic redundancy checks using parallel computing architectures | NVIDIA CORPORATION |
11095308 | Hybrid architectures for check node processing of extended min-sum (EMS) decoding of non-binary LDPC codes | UNIVERSITE DE BRETAGNE SUD |
11095309 | Error correction decoding device and optical transmission/reception device | MITSUBISHI ELECTRIC CORPORATION |
11095310 | Error correction apparatus, operation method thereof and memory system using the same | SK HYNIX INC. |
11095311 | Quantization codeword selection for low cost parity checking | QUALCOMM INCORPORATED |
11095312 | Polar code encoding/decoding method and encoding/decoding apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11095313 | Employing single error correction and triple error detection to optimize bandwidth and resilience under multiple bit failures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11095314 | Decoding signals by guessing noise | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11095315 | Intelligent error correction in a storage device | PURE STORAGE, INC. |
11095316 | Controller and operating method for performing read operation to read data in memory device | SK HYNIX INC. |
11095317 | Efficiently decodable QC-LDPC code | HUAWEI TECHNOLOGIES CO., LTD. |
11095321 | Multiplexer, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11095324 | Wireless transmission circuit and control method thereof | -- |
11095326 | Wide bandwidth digital predistortion system with reduced sampling rate | DALI SYSTEMS CO. LTD. |
11095329 | Filter and amplification circuit for outputting ultra-wide band (UWB) radio frequency (RF) signals with a high spurious-free dynamic range, test system and methods | GLOBALFOUNDRIES U.S. INC. |
11095332 | Signal amplifier of multi-antenna system | SHENZHEN DACHI COMMUNICATION CO., LTD |
11095334 | Amplifier circuitry for carrier aggregation | APPLE INC. |
11095337 | Computing device for processing environmental sensed conditions | RFMICRON, INC. |
11095353 | Use of low resolution analog-to-digital converter/digital-to-analog converter | QUALCOMM INCORPORATED |
11095369 | Device and method for launching tranverse magnetic waves | ARRIS ENTERPRISES LLC |
11095385 | Transmitting apparatus and mapping method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11095397 | Apparatus and method for encoding and decoding using polar code in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11095402 | Techniques for hybrid chase combining and incremental redundancy HARQ with polar codes | QUALCOMM INCORPORATED |
11095426 | Method and apparatus for clock recovery | MARVELL ASIA PTE, LTD. |
11095984 | Display device and sound providing method thereof | SAMSUNG DISPLAY CO., LTD. |
11095988 | Electronic device comprising speaker | SAMSUNG ELECTRONICS CO., LTD. |
11095990 | Microphone assembly with reduced noise | KNOWLES ELECTRONICS, LLC |
11096000 | Method and apparatus for processing multimedia signals | WILUS INSTITUTE OF STANDARDS AND TECHNOLOGY INC. |
11096476 | Personal care appliance with self-adaptive amplitude regulation via actuator non-linearity and active driving adjustment and method thereof | KONINKLIJKE PHILIPS N.V. |
11096673 | Ultrasound imaging system with a transmit pulse sequence generator circuit | MTEC GLOBAL CO., LTD. |
11096844 | Drive control system for powered wheelchair | SUNRISE MEDICAL (US) LLC |
11097105 | Pulse current generation circuit for neural stimulation, charge compensation circuit and method, and implantable electrical retina stimulator | SHENZHEN |
11097616 | Operating device for a vehicle component | BEHR-HELLA THERMOCONTROL GMBH |
11097667 | Vibration device, vibration module, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11097764 | System for hand detection on a steering wheel | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
11099065 | Method and system for balancing optical receiver | CIENA CORPORATION |
11099066 | Light detection systems having input and output modulators, and methods of use thereof | BECTON, DICKINSON AND COMPANY |
11099080 | Digital temperature sensing circuit | SK HYNIX INC. |
11099092 | Pressure detection chip and method for detection pressure | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11099157 | Sensor with droplet retaining structure | QORVO BIOTECHNOLOGIES, LLC |
11099224 | Method and circuitry for semiconductor device performance characterization | MARVELL ISRAEL (M.I.S.L) LTD. |
11099225 | Method for determining the output voltage of a transistor | ALSTOM TRANSPORT TECHNOLOGIES |
11099231 | Stress test on circuit with low voltage transistor | NXP USA, INC. |
11099232 | On-die reliability monitor for integrated circuit | INTEL CORPORATION |
11099589 | Digitally programmable, fully differential error amplifier | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11099590 | Indirect leakage compensation for multi-stage amplifiers | DIALOG SEMICONDUCTOR (UK) LIMITED |
11099597 | Interface system | TOSHIBA MEMORY CORPORATION |
11099598 | Phase alignment of a controller clock to a field | NXP B.V. |
11099600 | Semiconductor integrated circuit and method for controlling semiconductor integrated circuit | SONY CORPORATION |
11099601 | Reducing latency of memory read operations returning data on a read data path across multiple clock boundaries, to a host implementing a high speed serial interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099602 | Fault-tolerant clock gating | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099620 | Fail-safe power limit (FSPL) for fail-safe power management in information handling systems | DELL PRODUCTS L.P. |
11099649 | Device having integrated interface system | APPLE INC. |
11099665 | Control knob for controlling operation of a machine | DEFOND ELECTECH CO., LTD. |
11099756 | Managing data block compression in a storage system | EMC IP HOLDING COMPANY LLC |
11099788 | Near-memory data reduction | ADVANCED MICRO DEVICES, INC. |
11099808 | Facilitating calibration of an audio playback device | SONOS, INC. |
11099931 | Memory system | KIOXIA CORPORATION |
11099932 | Controller and memory system | SK HYNIX INC. |
11099993 | Multi-processor bridge with cache allocate awareness | TEXAS INSTRUMENTS INCORPORATED |
11099994 | Virtual network pre-arbitration for deadlock avoidance and enhanced performance | TEXAS INSTRUMENTS INCORPORATED |
11100029 | Interface bridge between integrated circuit die | INTEL CORPORATION |
11100038 | Method and apparatus for providing interface | SAMSUNG ELECTRONICS CO., LTD. |
11100072 | Data amount compressing method, apparatus, program, and IC chip | AISING LTD. |
11100224 | Interference detection device and detection sensitivity adjusting method thereof | -- |
11100225 | Scanning of encrypted zip files | MCAFEE, LLC |
11100389 | Conversion of digital signals into spiking analog signals | ELECTRONIC WARFARE ASSOCIATES, INC. |
11100390 | Power-efficient deep neural network module configured for layer and operation fencing and dependency management | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100391 | Power-efficient deep neural network module configured for executing a layer descriptor list | MICROSOFT TECHNOLOGY LICENSING, LLC |
11100876 | Latch circuit based on thin-film transistor, pixel circuit and driving method, display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11100912 | Noise cancellation headphone | AMS INTERNATIONAL AG |
11100966 | Array edge repeater in memory device | -- |
11100967 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. |
11100993 | TCAM architecture where content-based search is conductible | INDUSTRY-ACADEMIC COOPERATION FOUNDATION CHOSUN UNIVERSITY |
11101003 | Clock and data recovery circuit, memory storage device and signal adjustment method | -- |
11101108 | Nanosecond pulser ADC system | EAGLE HARBOR TECHNOLOGIES INC. |
11101109 | Impedance matching device and impedance matching method | DAIHEN CORPORATION |
11101110 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
11101160 | Device packaging using a recyclable carrier substrate | SKYWORKS SOLUTIONS, INC. |
11101227 | Coupled line structures for wideband applications | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11101375 | Semiconductor device and method of controlling same | KABUSHIKI KAISHA TOSHIBA |
11101428 | Method for the production of a single-crystal film, in particular piezoeletric | SOITEC |
11101643 | Multi-semiconductor solid state power controllers and method for managing inductive switching transients thereof | GE AVIATION SYSTEMS LIMITED |
11101644 | Single event latch-up protection for fault current residing inside the normal operating current range | HONEYWELL INTERNATIONAL INC. |
11101647 | Overvoltage protection circuit | -- |
11101698 | Wireless power reception device and wireless communication method | GE HYBRID TECHNOLOGIES, LLC |
11101726 | Glitch immune non-overlap operation of transistors in a switching regulator | TEXAS INSTRUMENTS INCORPORATED |
11101729 | Protection circuit for high inductive loads | VITESCO TECHNOLOGIES USA, LLC |
11101771 | Crystal oscillator and electronic device using the crystal oscillator | INTEL CORPORATION |
11101772 | Mixer circuit | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11101773 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
11101774 | Audio power source with improved efficiency | BIAMP SYSTEMS, LLC |
11101775 | Wideband tunable hybrid-based combiner for a Doherty power amplifier architecture | SKYWORKS SOLUTIONS, INC. |
11101776 | Common source preamplifier for a MEMS capacitive sensor | CIREL SYSTEMS PRIVATE LIMITED |
11101777 | Semiconductor integrated circuit | ROHM CO., LTD. |
11101778 | Class D amplifiers | CIRRUS LOGIC, INC. |
11101779 | Amplifying device | YAMAHA CORPORATION |
11101780 | Comparator circuit | KABUSHIKI KAISHA TOSHIBA |
11101781 | Amplifier device and offset cancellation method | -- |
11101782 | Polyphase filter (PPF) including RC-LR sections | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11101783 | Structures, acoustic wave resonators, devices and systems to sense a target variable, including as a non-limiting example corona viruses | QXONIX INC. |
11101784 | Electrical component with heat dissipation | SNAPTRACK, INC. |
11101785 | Resonator device, electronic device, and moving object | SEIKO EPSON CORPORATION |
11101786 | HF-VHF quartz MEMS resonator | HRL LABORATORIES, LLC |
11101787 | Multiplexer including filter with two types of acoustic wave resonators | SKYWORKS SOLUTIONS, INC. |
11101788 | Electronically tuned RF termination | SKYWORKS SOLUTIONS, INC. |
11101789 | Systems and methods for providing bi-directional signal level shifting | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11101790 | Comparator circuitry | -- |
11101791 | Power circuit switching device having a passive protection circuit | EXAGAN |
11101792 | Circuitry and methodology benefiting from reduced gate loss | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11101793 | Drive circuit | DENSO CORPORATION |
11101794 | Bus driver with rise/fall time control | TEXAS INSTRUMENTS INCORPORATED |
11101795 | Method and apparatus for delivering power to semiconductors | VICOR CORPORATION |
11101796 | Gate drive apparatus and control method | DIODES INCORPORATED |
11101797 | Oscillation sensor with calibration unit and measurement device | PEPPERL+FUCHS SE |
11101798 | Random bit cell using P-type transistors | -- |
11101799 | Voltage driving circuit | SK HYNIX INC. |
11101800 | Interlayer exchange coupling logic cells | REDPINE SIGNALS, INC. |
11101801 | Logic drive using standard commodity programmable logic IC chips | -- |
11101802 | Apparatuses and methods for transmitting an operation mode with a clock | MICRON TECHNOLOGY, INC. |
11101803 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11101804 | Fast memory for programmable devices | INTEL CORPORATION |
11101805 | Prescription adherence assistance | EXPERIAN HEALTH, INC. |
11101806 | Frequency regulator and frequency regulating method thereof, and electronic device | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11101807 | Phase-locked loop (PLL) circuit | TEXAS INSTRUMENTS INCORPORATED |
11101808 | Frequency multiplier, digital phase-locked loop circuit, and frequency multiplication method | HUAWEI TECHNOLOGIES CO., LTD. |
11101809 | Metal vapor-density control system with composite multiphase electrode | HRL LABORATORIES, LLC |
11101810 | Training a machine learning system for ADC compensation | NXP B.V. |
11101811 | Systems and methods for testing analog to digital (A/D) converter with built-in diagnostic circuit with user supplied variable input voltage | TEXAS INSTRUMENTS INCORPORATED |
11101812 | Analog-to-digital converter controllers including configurable contexts | MICROCHIP TECHNOLOGY INCORPORATED |
11101813 | Multiple input analog-to-digital converter device and corresponding method | STMICROELECTRONICS S.R.L. |
11101814 | Time-interleaved successive approximation register analog to digital converter with grouped digital to analog capacitors | -- |
11101815 | Encoder and control system | FANUC CORPORATION |
11101816 | A/D converter | DENSO CORPORATION |
11101818 | Method and device for storing time series data with adaptive length encoding | TSINGHUA UNIVERSITY |
11101819 | Compression of semi-structured data | PAYPAL, INC. |
11101820 | Memory control method, memory storage device and memory control circuit unit | -- |
11101821 | Method and device for incremental redundancy hybrid automatic repeat request (IR-HARQ) re-transmission | HUAWEI TECHNOLOGIES CO., LTD. |
11101822 | Data writing method, memory control circuit unit and memory storage apparatus | -- |
11101823 | Memory system | KIOXIA CORPORATION |
11101824 | Encryption device and decryption device, and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11101825 | Butterfly network on load data return | TEXAS INSTRUMENTS INCORPORATED |
11101829 | Receiver with multi-spectrum parallel amplification | INTERSTATE ELECTRONICS CORPORATION |
11101830 | Calibration scheme for serialization in transmitter | SYNOPSYS, INC. |
11101839 | High frequency filter, multiplexer, high frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11101924 | Transmitting system and method of processing digital broadcast signal in transmitting system, receiving system and method of receiving digital broadcast signal in receiving system | LG ELECTRONICS INC. |
11101925 | Decomposable forward error correction | INTEL CORPORATION |
11101926 | Method and apparatus for channel encoding and decoding in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11101927 | Data transmission method, base station, and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11101929 | Dynamically caching data for storage in storage units of a content delivery network | PURE STORAGE, INC. |
11101930 | Serial memory interface circuitry for programmable integrated circuits | ALTERA CORPORATION |
11101932 | Telecommunications apparatus and methods | SONY CORPORATION |
11101972 | Communication apparatus, replacement unit, and image forming apparatus | CANON KABUSHIKI KAISHA |
11101974 | Clock and data recovery device, memory system, and data recovery method | TOSHIBA MEMORY CORPORATION |
11102030 | Daisy chaining point-to-point link sensors | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11102042 | Apparatus and method for sending and receiving broadcast signals | LG ELECTRONICS INC. |
11102048 | Signal multiplexing device and signal multiplexing method using layered division multiplexing | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11102380 | Motion detection circuit and motion detection method applied to CIS | SHANGHAI IC R&D CENTER CO., LTD |
11102442 | Electronic device | FUNAI ELECTRIC CO., LTD. |
11102457 | Audio/video recording and communication doorbell devices | AMAZON TECHNOLOGIES, INC. |
11102574 | MEMS microphone module | INFINEON TECHNOLOGIES AG |
11102583 | Current vectoring to electroacoustic output transducers having multiple voice coils | CIRRUS LOGIC, INC. |
11103162 | Method, apparatus and computer program product for activity recognition | NOKIA TECHNOLOGIES OY |
11103308 | Reusable transmission network for dividing energy and monitoring signals between surgical devices | COVIDIEN LP |
11103564 | Compositions for the inhibition of <i>Giardia lamblia</i> | INSTITUT NATIONAL DE LA RECHERCHE AGRONOMIQUE |
11104278 | Control panel for motor vehicle | APTIV TECHNOLOGIES LIMITED |
11104367 | Steering wheel unit | HONDA MOTOR CO., LTD. |
11104457 | Power distribution device | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11105830 | Voltage detector | ABLIC INC. |
11105837 | Frequency multiplying device | -- |
11105842 | Method and circuitry for open load detection | TEXAS INSTRUMENTS INCORPORATED |
11105843 | Robust architecture for mode switching of a force and measure apparatus | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11105851 | Combined analog architecture and functionality in a mixed-signal array | CYPRESS SEMICONDUCTOR CORPORATION |
11105860 | Battery monitoring device and battery monitoring system | LAPIS SEMICONDUCTOR CO., LTD. |
11105891 | Multi-input downconversion mixer | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11106230 | Systems and methods for amplitude shift keying modulation of a digital data signal onto radio frequency power | ADVANCED BIONICS AG |
11106232 | Hands-free dispensers with managed power consumption | GOJO INDUSTRIES, INC. |
11106235 | Configurable clock buffer for multiple operating modes | SILICON LABORATORIES INC. |
11106236 | High speed multi moduli CMOS clock divider | TEXAS INSTRUMENTS INCORPORATED |
11106257 | Over-temperature protection circuit and motherboard platform using same | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
11106268 | Method and system for saving power in a real time hardware processing unit | REDPINE SIGNALS, INC. |
11106293 | Electronic pen | WACOM CO., LTD. |
11106379 | Multi cloud asynchronous active/active transactional storage for availability | EMC IP HOLDING COMPANY LLC |
11106424 | Synchronizing operations among a plurality of independently clocked digital data processing devices | SONOS, INC. |
11106425 | Synchronizing operations among a plurality of independently clocked digital data processing devices | SONOS, INC. |
11106462 | Method and apparatus for vector sorting | TEXAS INSTRUMENTS INCORPORATED |
11106531 | Flash memory controller, storage device and reading method thereof | -- |
11106535 | Error correction circuit of semiconductor memory device and semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11106610 | Seperation of low-power and high-speed analog front-end receivers | QUALCOMM INCORPORATED |
11106849 | Method for generating redundant configuration in FPGAs | UNIVERSITA' DEGLI STUDI DI NAPOLI FEDERICO II |
11106993 | Computer systems and methods for computing the ground state of a Fermi-Hubbard Hamiltonian | ZAPATA COMPUTING, INC. |
11107003 | Computer architecture for emulating a triangle lattice correlithm object generator in a correlithm object processing system | BANK OF AMERICA CORPORATION |
11107419 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11107485 | Converting a single-bit audio stream to a stream of symbols with a constant edge rate | CIRRUS LOGIC, INC. |
11107487 | Digital filterbank for spectral envelope adjustment | DOLBY INTERNATIONAL AB |
11107522 | Multi-level cell programming using optimized multiphase mapping with balanced gray code | WESTERN DIGITAL TECHNOLOGIES, INC. |
11107661 | Hybrid matching network topology | COMET TECHNOLOGIES USA, INC. |
11107778 | Active shielding device and method of active shielding | NXP B.V. |
11107782 | Radio frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11107804 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11107971 | Laminated substrate with piezoelectric thin film, piezoelectric thin film element and method for manufacturing this element | SUMITOMO CHEMICAL COMPANY, LIMITED |
11108172 | Methods and devices for impedance multiplication | PSIQUANTUM CORP. |
11108223 | Abnormal impedance fault isolation | JOHNSON CONTROLS FIRE PROTECTION LP |
11108235 | Double synchronous unified virtual oscillator control for grid-forming and grid-following power electronic converters | NORTH CAROLINA STATE UNIVERSITY |
11108321 | High-efficiency pulse width modulation for switching power converters | DIALOG SEMICONDUCTOR (UK) LIMITED |
11108323 | Voltage conversion circuit, solid-state imaging element, and method of controlling voltage conversion circuit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11108324 | Controller-based variable duty cycle pulse width modulation | NXP B.V. |
11108357 | Circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11108358 | Oscillator circuit arrangement | AMS AG |
11108359 | Multi-amplifier envelope tracking circuit and related apparatus | QORVO US, INC. |
11108360 | Doherty power amplifier system | QORVO US, INC. |
11108361 | Integrated multiple-path power amplifier with interdigitated transistors | NXP USA, INC. |
11108362 | Multiple-path RF amplifiers with angularly offset signal path directions, and methods of manufacture thereof | NXP USA, INC. |
11108363 | Envelope tracking circuit and related power amplifier apparatus | QORVO US, INC. |
11108364 | System and method for digital pre-distortion circuits | XILINX, INC. |
11108365 | Class-D amplifier and operating method | ROBERT BOSCH GMBH |
11108366 | Amplifier circuit | -- |
11108367 | Internal power supply for amplifiers | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11108368 | High power, double-sided thin film filter | AVX CORPORATION |
11108369 | Band pass filter | MURATA MANUFACTURING CO., LTD. |
11108370 | Filter circuit and amplifier circuit | KABUSHIKI KAISHA TOSHIBA |
11108371 | Tunable switched impedance matching network | FORD GLOBAL TECHNOLOGIES, LLC |
11108372 | Compact balanced radio frequency balun facilitating easy integration | INTEGRATED DEVICE TECHNOLOGY, INC. |
11108373 | Resonator and resonance device | MURATA MANUFACTURING CO., LTD. |
11108374 | Vertically integrated circuit assembly | ROCKWELL COLLINS, INC. |
11108375 | Acoustic wave device, method of fabricating the same, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11108376 | Acoustic resonator structure having an electrode with a cantilevered portion | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11108377 | Quartz crystal resonator and quartz crystal resonator unit | MURATA MANUFACTURING CO., LTD. |
11108378 | Method of manufacturing quartz crystal resonator and quartz crystal resonator unit | MURATA MANUFACTURING CO., LTD. |
11108379 | High isolation surface acoustic wave duplexer | RESONANT INC. |
11108380 | Capacitively-driven tunable coupling | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11108381 | Bandwidth configurable signal server | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11108382 | Oscillator calibration | ATI TECHNOLOGIES ULC |
11108383 | Clock phase control | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11108384 | Nonlinear transmission line high voltage pulse sharpening with energy recovery | EAGLE HARBOR TECHNOLOGIES, INC. |
11108385 | Phase shifter circuit of optical encoder and operating method thereof | -- |
11108386 | Comparator circuit arrangement and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11108387 | High speed signal drive circuit | LONTIUM SEMICONDUCTOR CORPORATION |
11108388 | Silicon carbide power device, driving circuit and control method | SHANGHAI HESTIA POWER, INC. |
11108389 | Adaptive gate drivers and related methods and systems | TEXAS INSTRUMENTS INCORPORATED |
11108390 | Method of forming a semiconductor device and circuit therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11108391 | Galvanically isolated switch system | TRIUNE IP LLC |
11108392 | Proximity sensors and methods of detecting movement of an object via same | JAGUAR LAND ROVER LIMITED |
11108393 | Optical keyswitch triggered by support mechanism having at least one rotatable frame enable a protrusion to move along with a key cap | -- |
11108394 | System and method for integrating components of an electro-permanent magnet key switch assembly | DELL PRODUCTS L.P. |
11108395 | Memory cell and memory cell array of magnetoresistive random access memory operated by negative voltage | -- |
11108396 | Multivoltage high voltage IO in low voltage technology | NXP USA, INC. |
11108397 | Fiber-optic connected logic (FOCL) | -- |
11108398 | Parametrically activated quantum logic gates | RIGETTI & CO, INC. |
11108399 | Wireless communication apparatus | KABUSHIKI KAISHA TOSHIBA |
11108400 | Hitless switching by resetting multi-modulus feedback divider | RENESAS ELECTRONICS AMERICA INC. |
11108401 | Low noise quadrature signal generation | XILINX, INC. |
11108402 | Delay compensated single slope analog-to-digital converter | NXP B.V. |
11108403 | Device and method for efficient digital-analog conversion | ROHDE & SCHWARZ GMBH & CO. KG |
11108404 | Low noise integrated circuit techniques | ANALOG DEVICES, INC. |
11108405 | Devices and methods for compression and decompression | INFINEON TECHNOLOGIES AG |
11108406 | System, apparatus and method for dynamic priority-aware compression for interconnect fabrics | INTEL CORPORATION |
11108407 | Performance of a bit flipping (BF) decoder of an error correction system | SK HYNIX INC. |
11108408 | Memory controller and method of accessing flash memory | -- |
11108409 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 4/15 and 1024-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11108410 | User-programmable LDPC decoder | XILINX, INC. |
11108411 | Polar coding with dynamic frozen bits | HUAWEI TECHNOLOGIES DUESSELDORF GMBH |
11108412 | Memory systems and methods of correcting errors in the memory systems | SK HYNIX INC. |
11108413 | Polar coding and decoding for correcting deletion and/or insertion errors | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11108414 | Bit interleaver for low-density parity check codeword having length of 16200 and code rate of 4/15 and 64-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11108415 | Method of Viterbi algorithm and receiving device | -- |
11108416 | Low complexity puncturing method for low-rate polar codes | QUALCOMM INCORPORATED |
11108419 | System and method for nonlinearity estimation with reference signals | QUALCOMM INCORPORATED |
11108420 | Discrete time superheterodyne mixer | U-BLOX AG |
11108499 | System and method for transferring data and a data check field | INFINEON TECHNOLOGIES AG |
11108500 | Latency reduction by fast forward in multi-hop communication systems | IDAC HOLDINGS, INC. |
11108501 | Transmitter, receiver and methods | SONY CORPORATION |
11108537 | Radiofrequency carrier tracking for amplitude-modulated signals with an unstable reference clock | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11108538 | Clock data recovery | TEXAS INSTRUMENTS INCORPORATED |
11108602 | Systems and methods for suppressing radiofrequency noise | PPC BROADBAND, INC. |
11108610 | Multi-level voltage circuit and related apparatus | QORVO US, INC. |
11108681 | Systems for transmitting a data stream and methods for transmitting a data stream | ARISTA NETWORKS, INC. |
11108705 | Linear network coding with pre-determined coefficient generation through parameter initialization and reuse | CODE ON NETWORK CODING, LLC |
11108706 | Data transfer circuit, data transfer system, and method for controlling data transfer circuit | SONY CORPORATION |
11108894 | Masked packet checksums for more efficient digital communication | MICROSOFT TECHNOLOGY LICENSING, LLC |
11108981 | Compact, low power, high resolution ADC per pixel for large area pixel detectors | FERMI RESEARCH ALLIANCE, LLC |
11109155 | Bass enhancement | CIRRUS LOGIC, INC. |
11109172 | Audio processing circuit supporting multi-channel audio input function | -- |
11109241 | Systems and methods for improving wireless network coverage | L3VEL, LLC |
11109243 | Non-line-of-sight (NLOS) coverage for millimeter wave communication | SILICON VALLEY BANK |
11109334 | Carrier aggregation and high order modulation in vehicle-to-vehicle (V2V) sidelink communication | APPLE INC. |
11109485 | Dual dynamic random (DDR) access memory interface design for aerospace printed circuit boards | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11111135 | Methods and devices for microelectromechanical pressure sensors | MY01 IP HOLDINGS INC. |
11111867 | Systems and methods for an evaporative emissions control system | FORD GLOBAL TECHNOLOGIES, LLC |
11112251 | Method, apparatus, and computer program product for generating correspondence between map versions | HERE GLOBAL B.V. |
11112278 | Optical encoder comprising a width of at least one optical sensor array corresponds to an interpolation period of the encoder | -- |
11112302 | Method of switching control voltage of photo sensor cell and related switching circuit and photo sensor | -- |
11112303 | Measurement circuit and method therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11112388 | Operation of an ultrasonic sensor | INVENSENSE, INC. |
11112458 | Testing an integrated circuit having conservative reversible logic | NXP B.V. |
11112470 | Magnetic resonance imaging apparatus and method with improved data transfer | CANON MEDICAL SYSTEMS CORPORATION |
11112481 | Differential circuit calibration apparatus and method | CIRRUS LOGIC, INC. |
11112483 | Determination system for the direction of arrival of a signal and for electronic attack | LOCKHEED MARTIN CORPORATION |
11112818 | Reception apparatus with clock failure recovery and transmission system including the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11112820 | Method for transmitting signals between domains having different clocks, circuit, and electronic apparatus thereof | -- |
11112842 | Resetting qubits in a superconducting quantum processor | RIGETTI & CO, INC. |
11112876 | Operation input device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11112877 | Keyboard scanning circuit and control method thereof | -- |
11112917 | Method and device for improved accuracy of proximity and touch detection in mobile devices | SEMTECH CORPORATION |
11113220 | Single-wire peer-to-peer bus | QORVO US, INC. |
11113361 | Electronic apparatus and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11113934 | Encoding/decoding apparatuses and methods for encoding/decoding vibrotactile signals | TECHNISCHE UNIVERSITÄT MÜNCHEN |
11114141 | Clock generating circuit and memory device including the same | SK HYNIX INC. |
11114154 | Voltage retention techniques | ARM LIMITED |
11114258 | Switching apparatus for carrying and disconnecting electric currents, and switchgear having a switching apparatus of this kind | EATON INTELLIGENT POWER LIMITED |
11114280 | Impedance matching with multi-level power setpoint | RENO TECHNOLOGIES, INC. |
11114282 | Phased array modular high-frequency source | APPLIED MATERIALS, INC. |
11114321 | Apparatus and method for real-time sensing of properties in industrial manufacturing equipment | TOKYO ELECTRON LIMITED |
11114396 | Reduced-length bond pads for broadband power amplifiers | CREE, INC. |
11114564 | Ferroelectric MFM inductor and related circuits | -- |
11114736 | Multi-channel power combiner with phase adjustment | GLOBALFOUNDRIES INC. |
11114749 | Communication apparatus and method, antenna apparatus, and communication system | SONY CORPORATION |
11114842 | Dual PWM relay driver with diagnostics for functional safety system | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11114856 | Method and apparatus for protecting electrical components from a transient electromagnetic disturbance | ADVANCED FUSION SYSTEMS LLC |
11114939 | Power supply system with current compensation | -- |
11114978 | Variable reactance apparatus for dynamic gain switching of tunable oscillator | NXP B.V. |
11114979 | Frequency detector | -- |
11114980 | Envelope tracking amplifier apparatus | QORVO US, INC. |
11114981 | Differential amplifier | -- |
11114982 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
11114983 | Amplifier and image sensor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114984 | Audio device for reducing pop noise and processing method thereof | -- |
11114985 | High frequency amplifier | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11114986 | Constant level-shift buffer amplifier circuits | OMNI DESIGN TECHNOLOGIES INC. |
11114987 | Switchable power amplification structure | QORVO US, INC. |
11114988 | Doherty amplifier circuit with integrated harmonic termination | CREE, INC. |
11114989 | Power amplifying circuit | MURATA MANUFACTURING CO., LTD. |
11114990 | Apparatus and method of power management using envelope stacking | ANOKIWAVE, INC. |
11114991 | Analog front-end circuit for conditioning a sensor signal | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114992 | Motor drive with a filter including a three-phase differential mode reactor with common mode damping | SCHAFFNER EMV AG |
11114993 | High frequency multilayer filter | AVX CORPORATION |
11114994 | Multilayer filter including a low inductance via assembly | AVX CORPORATION |
11114995 | Piezoelectric component | KYOCERA CORPORATION |
11114996 | Transversely-excited film bulk acoustic resonators with molybdenum conductors | RESONANT INC. |
11114997 | Bulk-acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11114998 | Transversely-excited film bulk acoustic resonators for high power applications | RESONANT INC. |
11114999 | Filter including acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11115000 | Extractor | MURATA MANUFACTURING CO., LTD. |
11115001 | Receiving filter, multiplexer, and communication apparatus | KYOCERA CORPORATION |
11115002 | Multiplexer, radio frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11115003 | Acoustic wave device, multiplexer, high-frequency front end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11115004 | Fractional delay filter for a digital signal processing system | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
11115005 | Ring voltage controlled oscillator (VCO) startup helper circuit | SAMSUNG ELECTRONICS CO., LTD. |
11115006 | Internal latch circuit and method for generating latch signal thereof | INTEGRATED SILICON SOLUTION INC. |
11115007 | Methods and apparatuses of a two-phase flip-flop with symmetrical rise and fall times | MICRON TECHNOLOGY, INC. |
11115008 | Single event upset-tolerant latch circuit and flip-flop circuit | JAPAN AEROSPACE EXPLORATION AGENCY |
11115009 | Semiconductor integrated circuit | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11115010 | Energy loaded dielectrics, systems including energy loaded dielectrics, and methods for fabrication and use thereof | UNIVERSITY OF MARYLAND, COLLEGE PARK |
11115011 | Quantum controller architecture | QUANTUM MACHINES |
11115012 | Software-defined pulse orchestration platform | QUANTUM MACHINES |
11115013 | Circuit arrangement with clock sharing, and corresponding method | STMICROELECTRONICS S.R.L. |
11115014 | Duty cycle correction circuit | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11115015 | Device including multi-mode input pad | SKYWORKS SOLUTIONS, INC. |
11115016 | Electronic circuit with two voltage supply circuits | INFINEON TECHNOLOGIES AG |
11115017 | Driving apparatus and switching apparatus | FUJI ELECTRIC CO., LTD. |
11115018 | Power transistor overcurrent protection circuit | SI EN TECHNOLOGY (XIAMEN) LIMITED |
11115019 | Dynamic short circuit protection | FORD GLOBAL TECHNOLOGIES, LLC |
11115020 | Signal transmission circuit device, semiconductor device, method and apparatus for inspecting semiconductor device, signal transmission device, and motor drive apparatus using signal transmission device | ROHM CO., LTD. |
11115021 | Impedance calibration circuit and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11115022 | System and method for integrated circuit usage tracking circuit with fast tracking time for hardware security and re-configurability | NORTHWESTERN UNIVERSITY |
11115023 | Systems and methods for signal distribution | ZHEJIANG DAHUA TECHNOLOGY CO., LTD. |
11115024 | Integrated circuit, test method for testing integrated circuit, and electronic device | KABUSHIKI KAISHA TOSHIBA |
11115025 | Universal transceiver container | INTEL CORPORATION |
11115026 | Systems and methods for routing data across regions of an integrated circuit | INTEL CORPORATION |
11115027 | Direct current powered clockless superconducting logic family using dynamic internal states | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11115028 | Oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11115029 | Integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11115030 | Method and circuits for charge pump devices of phase-locked loops | ANALOG BITS INC. |
11115031 | Phase-locked loop | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11115032 | PLL system and device with a low noise charge pump | -- |
11115033 | Speed-up charge pump and phase-locked loop and method for operating the same | -- |
11115034 | Signal detection circuit and signal detection method | -- |
11115035 | Semiconductor devices | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11115036 | Resistor-capacitor oscillator (RCO) with digital calibration and quantizaton noise reduction | QUALCOMM INCORPORATED |
11115037 | Spur cancelation in phase-locked loops using a reconfigurable digital-to-time converter | APPLE INC. |
11115038 | Method for managing the startup of a phase-locked loop and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
11115039 | Voltage-to-time converter and method for reducing parasitic capacitance and power supply influences | NO. 24 RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
11115040 | ADC slicer reconfiguration for different channel insertion loss | ETOPUS TECHNOLOGY INC. |
11115041 | Filter apparatus and control method | INFINEON TECHNOLOGIES AG |
11115042 | Low pass filter embedded digital-to-analog converter | BEKEN CORPORATION |
11115043 | Digital-to-analog conversion device and digital-to-analog conversion system | ROHDE & SCHWARZ GMBH & CO. KG |
11115044 | Loop delay compensation in a delta-sigma modulator | TEXAS INSTRUMENTS INCORPORATED |
11115045 | Adaptive analog-to-digital converter for pulsed signals based on multi-bit sigma-delta modulation | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11115046 | Closed loop control in a camera module | CIRRUS LOGIC, INC. |
11115049 | Hardware friendly data decompression | INNOGRIT TECHNOLOGIES CO., LTD. |
11115050 | Hardware friendly data decompression | INNOGRIT TECHNOLOGIES CO., LTD. |
11115051 | Systems and methods for decoding error correcting codes | INNOGRIT TECHNOLOGIES CO., LTD. |
11115052 | Information processing method and communications apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11115053 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 2/15 and 4096-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11115054 | Polar code encoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11115055 | Method and apparatus for encoding and decoding data in memory system | SAMSUNG ELECTRONICS CO., LTD. |
11115056 | Location selection based on erasure code techniques | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11115057 | Efficient erasure coding of mid-size data objects | EMC IP HOLDING COMPANY LLC |
11115058 | Coding device, transmitter, decoding device, and receiver | MITSUBISHI ELECTRIC CORPORATION |
11115059 | Encoding circuit, decoding circuit, encoding method, decoding method, and transmitting device | FUJITSU LIMITED |
11115060 | Parallel bit interleaver | PANASONIC CORPORATION |
11115061 | Error detection | STMICROELECTRONICS (ROUSSET) SAS |
11115062 | Memory system with adaptive threshold decoding and method of operating such memory system | SK HYNIX INC. |
11115063 | Flash memory controller, storage device and reading method | -- |
11115064 | Error correction decoder and memory system having the same | SK HYNIX INC. |
11115066 | Multi-purpose receiver chain for WiFi applications | INTEL CORPORATION |
11115076 | Transceiver assembly protection element | MICROSOFT TECHNOLOGY LICENSING, LLC |
11115077 | Wireless communication method for modulating data signals in a chirp spread spectrum communication system | KING ABDULAZIZ UNIVERSITY |
11115130 | Wavelength control and monitor for dense wavelength division multiplexing (DWDM) silicon photonic receiver | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11115147 | Multichip fault management | GROQ, INC. |
11115177 | Methods and apparatus for performing clock and data duty cycle correction in a high-speed link | INTEL CORPORATION |
11115178 | Clock and data recovery device and clock and data recovery method | -- |
11115179 | Signaling system with adaptive timing calibration | RAMBUS INC. |
11115202 | Apparatus for generating secret information on basis of ring oscillator architecture and method of same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11115244 | Signal isolator with three state data transmission | ALLEGRO MICROSYSTEMS, LLC |
11115245 | Transmission device and communication system | SONY CORPORATION |
11115256 | Wireless devices and systems including examples of mixing input data with coefficient data | MICRON TECHNOLOGY, INC. |
11115260 | Signal compensation device | -- |
11115608 | Imaging device, imaging system, and drive method of imaging device | CANON KABUSHIKI KAISHA |
11115611 | Solid-state imaging device and imaging system | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11115614 | Image sensor with A/D conversion circuit having reduced DNL deterioration | RENESAS ELECTRONICS CORPORATION |
11115622 | Apparatus and method for transceiving broadcast signal | LG ELECTRONICS INC. |
11115689 | Transmission apparatus, transmission method, reception apparatus, and reception method | SONY CORPORATION |
11115743 | Signal processing device, signal processing method, and program | JVCKENWOOD CORPORATION |
11115760 | Signal amplifier | COCHLEAR LIMITED |
11115763 | Low-power differential communication architectures | ADVANCED BIONICS AG |
11115772 | Computer-readable non-transitory storage medium having stored therein sound processing program, information processing apparatus, sound processing method, and information processing system | NINTENDO CO., LTD. |
11115964 | System and method for auto-detection of WLAN packets using header | HUAWEI TECHNOLOGIES CO., LTD. |
11117626 | Vehicle skid plate sensor system and methods of use | FORD GLOBAL TECHNOLOGIES, LLC |
11118076 | Black marker composition and electronic component using the same | TDK CORPORATION |
11118906 | Oscillator circuit including oscillator | PROPERTY MANAGEMENT CO., LTD. |
11119115 | Vibrating beam accelerometer | HONEYWELL INTERNATIONAL INC. |
11119134 | Detector and method for measuring a resistance of a variable resistance sensor whose resistance varies with respect to a time-varying stimulus | CIRRUS LOGIC, INC. |
11119385 | Clock generation for a photonic quantum computer to convert electrical pulses into a plurality of clock signals | PSIQUANTUM, CORP. |
11119523 | Apparatuses and methods for providing reference voltages | MICRON TECHNOLOGY, INC. |
11119540 | RF antenna proximity sensing in a playback device | SONOS, INC. |
11119670 | Methods and systems for efficient encoding and decoding communications | SEAPORT, INC. |
11119686 | Preservation of data during scaling of a geographically diverse data storage system | EMC IP HOLDING COMPANY LLC |
11119690 | Consolidation of protection sets in a geographically diverse data storage environment | EMC IP HOLDING COMPANY LLC |
11119702 | Apparatus for processing received data | HITACHI, LTD. |
11119732 | Multi-addend adder circuit for stochastic computing | HUAWEI TECHNOLOGIES CO., LTD. |
11119835 | Technologies for providing efficient reprovisioning in an accelerator device | INTEL CORPORATION |
11119852 | Memory device having error correction function and error correction method for memory device | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11119946 | Codeword rotation for zone grouping of media codewords | MICRON TECHNOLOGY, INC. |
11119964 | Communication device and control method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11119977 | Cognitive compression with varying structural granularities in NoSQL databases | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119999 | Zero-overhead hash filters | SAP SE |
11120101 | Matrix multiplication system and method | ARM LIMITED |
11120183 | Computer product for making a semiconductor device | -- |
11120187 | Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method | KABUSHIKI KAISHA TOSHIBA |
11120188 | FPGA virtualization | KING FAHD UNIVERSITY OF PETROLEUM AND MINERALS |
11120673 | Systems and methods for generating haptic output for enhanced user experience | LOFELT GMBH |
11120772 | Source driving circuit, display apparatus and operation method of display apparatus | -- |
11120846 | Sense amplifier based flip-flop capable of resolving metastable state by removing unintentional current from output nodes | SK HYNIX INC. |
11120855 | Semiconductor device including a clock adjustment circuit | MICRON TECHNOLOGY, INC. |
11120867 | Hardware compression with search string matching | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120953 | Power contact end-of-life (EoL) predictor apparatus and method | ARC SUPPRESSION TECHNOLOGIES |
11120971 | Diagnostics for impedance matching network | RENO TECHNOLOGIES, INC. |
11121099 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11121242 | Method of operating a semiconductor device having a desaturation channel structure | INFINEON TECHNOLOGIES AG |
11121305 | Microelectronic structures with suspended lithium-based thin films | CARNEGIE MELLON UNIVERSITY |
11121444 | Directional coupler | MURATA MANUFACTURING CO., LTD. |
11121570 | Battery disconnecting device | VOLKSWAGEN AKTIENGESELLSCHAFT |
11121582 | Smart rectenna design for passive wireless power harvesting | CISCO TECHNOLOGY, INC. |
11121618 | Power switching circuit | -- |
11121620 | Multi-channel cinema amplifier with power-sharing, messaging and multi-phase power supply | DOLBY LABORATORIES LICENSING CORPORATION |
11121659 | Evaluation device, evaluation method and control device | OMRON CORPORATION |
11121675 | Remotely powered low power oscillator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121676 | Methods and circuits for harmonic suppression | DIALOG SEMICONDUCTOR B.V. |
11121677 | Transconductance amplifier based on self-biased cascode structure | CHINA ELECTRONIC TECHNOLOGY CORPORATION, 24TH RESEARCH INSTITUTE |
11121678 | Vacuum tube amplification system capable of reducing residual noise and a grounding method thereof | -- |
11121679 | Amplifying apparatus with improved linearity | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11121680 | All-digital transmitter with wideband beamformer | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11121681 | Bias circuitry | QORVO INTERNATIONAL PTE. LTD. |
11121682 | Single-stage boost class-D amplifier | -- |
11121683 | Radio-frequency circuit and communication device | MURATA MANUFACTURING CO., LTD. |
11121684 | Method and apparatus for digital envelope tracking with dynamically changing voltage levels for power amplifier | APPLE INC. |
11121685 | Operational amplifier | ROHM CO., LTD. |
11121686 | Amplifier circuit | HITACHI, LTD. |
11121687 | Voltage gain amplifier architecture for automotive radar | STMICROELECTRONICS INTERNATIONAL N.V. |
11121688 | Amplifier with dual current mirrors | TEXAS INSTRUMENTS INCORPORATED |
11121689 | Sensor failure prediction system, sensor failure prediction method, physical quantity sensor, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11121690 | Class D amplifier circuit | CIRRUS LOGIC, INC. |
11121691 | Resonator structure encapsulation | INTEL CORPORATION |
11121692 | Noise filter circuit | MITSUBISHI ELECTRIC CORPORATION |
11121693 | Radio frequency port impedance detection using concurrent radios | AMAZON TECHNOLOGIES, INC. |
11121694 | Multiplexer | MURATA MANUFACTURING CO., LTD. |
11121695 | Diplexer and multiplexer | FUJIKURA LTD. |
11121696 | Electrode defined resonator | II-VI DELAWARE, INC. |
11121697 | Quartz crystal resonator and quartz crystal resonator unit | MURATA MANUFACTURING CO., LTD. |
11121698 | Quartz oscillating plate | -- |
11121699 | Wideband filter with resonators and inductors | QUALCOMM INCORPORATED |
11121700 | Filter and multiplexer | MURATA MANUFACTURING CO., LTD. |
11121701 | Tunable filter for RF circuits | ETHERTRONICS, INC. |
11121702 | Digital step attenuator | CHENGDU SICORE SEMICONDUCTOR CORP. LTD. |
11121703 | Transmitter module, receiver module and data transmission system | ROHDE & SCHWARZ GMBH & CO. KG |
11121704 | Parallelling multiple power switches | TEXAS INSTRUMENTS INCORPORATED |
11121705 | Apparatus for switching a direct current in a pole of a DC voltage network | SIEMENS AKTIENGESELLSCHAFT |
11121706 | Duty cycle correction circuit and semiconductor system | SK HYNIX INC. |
11121707 | Programmable clock skewing for timing closure | APPLE INC. |
11121708 | Power module having an embedding structure | INFINEON TECHNOLOGIES AUSTRIA AG |
11121709 | Electronic watch with side input button | APPLE INC. |
11121710 | Semiconductor device | KIOXIA CORPORATION |
11121711 | Method for multiplexing between power supply signals for voltage limited circuits | APPLE INC. |
11121712 | Level shifters with variably adjusted control voltage and for reading memory cells | INFINEON TECHNOLOGIES AG |
11121713 | Boosted switch drivers for high-speed signal switching | ANALOG DEVICES, INC. |
11121714 | Apparatuses and methods for identifying memory devices of a semiconductor device sharing an external resistance | MICRON TECHNOLOGY, INC. |
11121715 | Coarse-grain programmable routing network for logic devices | INTEL CORPORATION |
11121716 | Semiconductor device for adjusting phases of multi-phase signals | SK HYNIX INC. |
11121717 | A/D conversion circuit | SEIKO EPSON CORPORATION |
11121718 | Multi-stage sigma-delta analog-to-digital converter with dither | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11121719 | Method of detecting user input in a 3D space and a 3D input system employing same | ZEROKEY INC. |
11121720 | Analog-to-digital converter having quantization error duplicate mechanism | -- |
11121721 | Method of error concealment, and associated device | STMICROELECTRONICS S.R.L. |
11121722 | Compression and timely delivery of well-test data | SCHLUMBERGER TECHNOLOGY CORPORATION |
11121723 | Transmission method, transmission apparatus, reception method and reception apparatus | PANASONIC CORPORATION |
11121724 | Data processing method and device | ZTE CORPORATION |
11121725 | Instruction scheduling facilitating mitigation of crosstalk in a quantum computing system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121726 | Encoding and decoding methods, apparatuses, and devices | HUAWEI TECHNOLOGIES CO., LTD. |
11121727 | Adaptive data storing for data storage systems employing erasure coding | EMC IP HOLDING COMPANY LLC |
11121728 | Pre-coding and decoding polar codes using local feedback | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11121729 | Read channel buffer management for higher throughput decoding | SEAGATE TECHNOLOGY LLC |
11121731 | Digital radio head control | INTEL CORPORATION |
11121808 | Method and apparatus for channel coding in the fifth generation new radio system | APPLE INC. |
11121814 | Techniques of CSI feedback with unequal error protection messages | -- |
11121823 | Method and apparatus for hybrid automatic repeat request in non-terrestrial network | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11121850 | Signal analysis method and signal analysis module | ROHDE & SCHWARZ GMBH & CO. KG |
11121851 | Differential sensing circuit for clock skew calibration relative to reference clock | TEXAS INSTRUMENTS INCORPORATED |
11121892 | Digital modulation scheme for data transfer | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11121900 | Discrete Fourier transform size decomposition | QUALCOMM INCORPORATED |
11122102 | Point cloud data transmission apparatus, point cloud data transmission method, point cloud data reception apparatus and point cloud data reception method | LG ELECTRONICS INC. |
11122123 | Method for a network of storage devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11122150 | Methods and devices for encoding and decoding messages | CANON KABUSHIKI KAISHA |
11122233 | Solid-state imaging device with high resolution A/D conversion | SHARP KABUSHIKI KAISHA |
11122301 | Compressing and decompressing image data using compacted region transforms | IMAGINATION TECHNOLOGIES LIMITED |
11122355 | Headset controller | -- |
11122407 | Compressive sensing in LPWAN communications with transmission mapping | KING ABDULAZIZ UNIVERSITY |
11122556 | Communication method and communication apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11122631 | Link recovery in wireless communications | ZTE CORPORATION |
11122645 | Systems and methods for constellation superposition | SHARP KABUSHIKI KAISHA |
11122656 | Application structure of gallium nitride field-effect transistor in dimmer circuit | -- |
11123000 | Digital biopotential acquisition system having 8 channels | NEUROLOOP GMBH |
11123003 | Apparatus and methods for removing a large-signal voltage offset from a biomedical signal | BIOSIG TECHNOLOGIES, INC. |
11124071 | Control panel having buttons conductively partitioned | HYUNDAI MOTOR COMPANY |
11125255 | Complementary fluidic valves, logic gates, and latches | FACEBOOK TECHNOLOGIES, LLC |
11125394 | LED lamp with lamp shell and passive heat dissipating element | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11125579 | Calibration system, and sensor system including the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11125615 | Data output device | SAMSUNG ELECTRONICS CO., LTD. |
11125627 | Device and method for determining a temperature or a temperature-dependent value usable for determining the temperature, temperature sensor, pressure sensor and combination sensor | INFINEON TECHNOLOGIES AG |
11125784 | Correcting for a gain error resulting from the position of a pole of zero in a transfer function of a system | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11125817 | Compound pin driver | ANALOG DEVICES, INC. |
11125823 | Method for estimating parameter of equivalent circuit model for battery, and battery management system | LG CHEM, LTD. |
11125829 | Management device, and power storage system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11126017 | Driving circuit for optical device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11126065 | Photonic crystals logic devices | THE BOEING COMPANY |
11126144 | Millimeter wave chip scale atomic clock | TEXAS INSTRUMENTS INCORPORATED |
11126211 | Chip package assembly and chip function execution method thereof | -- |
11126215 | Clock signal polarity controlling circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11126216 | Signal generation circuit synchronized with a clock signal and a semiconductor apparatus using the same | SK HYNIX INC. |
11126217 | Integrated circuit with mixed circuitry structure of static combinational circuit and dynamic combinational circuit and designing method thereof | -- |
11126219 | Clock phase detection using interior spectral components | CIENA CORPORATION |
11126258 | Managing and mapping multi-sided touch | QUALCOMM INCORPORATED |
11126273 | User input devices and methods for identifying a user input in a user input device | RAZER (ASIA-PACIFIC) PTE. LTD. |
11126308 | Integrator, touch display device, and driving methods therefor | LG DISPLAY CO., LTD. |
11126356 | Methods and systems for customized encoding and decoding communications | SEAPORT, INC. |
11126397 | Music audio control and distribution system in a location | CHESTNUT HILL SOUND, INC. |
11126403 | True random number generator (TRNG) circuit using a diffusive memristor | UNIVERSITY OF MASSACHUSETTS |
11126498 | Memory device with configurable error correction modes | MICRON TECHNOLOGY, INC. |
11126500 | Error detection and correction with integrity checking | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126594 | Delta compression | EXAGRID SYSTEMS, INC. |
11127414 | System and method for reducing distortion and echo leakage in hands-free communication | BLACKBERRY LIMITED |
11127437 | Managing startups of bandgap reference circuits in memory systems | -- |
11127546 | Keyboard | -- |
11127686 | Radio-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11127738 | Back biasing of FD-SOI circuit blocks | XCELSIS CORPORATION |
11127850 | Semiconductor device | ROHM CO., LTD. |
11128046 | Antenna device and electronic equipment | MURATA MANUFACTURING CO., LTD. |
11128094 | Pin encoded mode selection system | MAXIM INTEGRATED PRODUCTS, INC. |
11128117 | Protection circuit and operational method of the protection circuit, and semiconductor integrated circuit apparatus | ROHM CO., LTD. |
11128121 | Protection circuit for decoupling a low voltage circuitry from a high voltage circuitry | INTEL CORPORATION |
11128126 | Internal voltage-canceling circuit and USB device using the same | HONGFUJIN PRECISION ELECTRONICS (ZHENGZHOU) CO., LTD. |
11128214 | Multi-channel power controller | NICSLAB PTY LTD. |
11128221 | Galvanically isolated DC-DC circuit converter with data communication, corresponding system and corresponding method | STMICROELECTRONICS S.R.L. |
11128255 | Oscillator circuit | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
11128256 | Oscillator circuit | ROHM CO., LTD. |
11128257 | Semiconductor device, digitally controlled oscillator, and control method of semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11128258 | Mixer circuitry | SOCIONEXT INC. |
11128259 | Power amplification apparatus, remote radio unit, and base station | HUAWEI TECHNOLOGIES CO., LTD. |
11128260 | Trans impedance amplifier capacitance isolation stage | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11128261 | Constant Vds1 bias control for stacked transistor configuration | PSEMI CORPORATION |
11128262 | Low voltage tube circuits | -- |
11128263 | Temperature compensated power amplifier gain | SKYWORKS SOLUTIONS, INC. |
11128264 | Bias compensation circuit and amplifying module | -- |
11128265 | Amplifier with post-distortion linearization | SAMSUNG ELECTRONICS CO., LTD. |
11128266 | Amplifiers with feedback circuits | NXP B.V. |
11128267 | Trans-impedance amplifier for ultrasound device and related apparatus and methods | BFLY OPERATIONS, INC. |
11128268 | Power amplifier packages containing peripherally-encapsulated dies and methods for the fabrication thereof | NXP USA, INC. |
11128269 | Multiple-stage power amplifiers and devices with low-voltage driver stages | NXP USA, INC. |
11128270 | Class-D amplifier with nested feedback loops | QSC, LLC |
11128271 | Power supply circuit | MURATA MANUFACTURING CO., LTD. |
11128272 | Dual-path analog-front-end circuit and dual-path signal receiver | -- |
11128273 | Variable gain amplifier embedded in a reception chain | STMICROELECTRONICS SA |
11128274 | Differential amplifier | -- |
11128275 | Method and system for a headset with integrated environment sensors | VOYETRA TURTLE BEACH, INC. |
11128276 | Noise filter and wire harness | YAZAKI CORPORATION |
11128277 | Method for producing composite wafer | SHIN-ETSU CHEMICAL CO., LTD. |
11128278 | Systems and methods for balancing unbalanced power cables | EXTRACT MANAGEMENT CO., LLC |
11128279 | Acoustic wave resonator, acoustic wave filter, multiplexer, communication apparatus, and method designing acoustic wave resonator | KYOCERA CORPORATION |
11128280 | Filter and operation method | -- |
11128281 | Reduced-power electronic circuits with wide-band energy recovery using non-interfering topologies | REZONENT CORPORATION |
11128282 | Safety mechanism for digital reset state | ALLEGRO MICROSYSTEMS, LLC |
11128283 | Emphasis circuit and transmitter including the same | SK HYNIX INC. |
11128284 | Control circuit for controlling signal rising time and falling time | -- |
11128285 | Input/output circuit and method | -- |
11128286 | Measurement, calibration and tuning of memory bus duty cycle | WESTERN DIGITAL TECHNOLOGIES, INC. |
11128287 | Comparator with configurable operating modes | ANALOG DEVICES, INC. |
11128288 | Switching device | ROHM CO., LTD. |
11128289 | Driver circuit having overcurrent protection function | KABUSHIKI KAISHA TOSHIBA |
11128290 | Temperature-adaptive short circuit protection for semiconductor switches | ABB SCHWEIZ AG |
11128291 | High linearity and low voltage input buffer circuit | -- |
11128292 | Soft-start control circuit | -- |
11128293 | Compensation for device property variation according to wafer location | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11128294 | Isolation barrier communication system in a package for isolated gate driver communication | INFINEON TECHNOLOGIES AUSTRIA AG |
11128295 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11128296 | Method and device for simulation of CMOS radio frequency switch and communication terminal | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11128297 | Semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11128298 | Advanced capacitive proximity sensor | SEMTECH CORPORATION |
11128299 | Monitoring system | MURATA MANUFACTURING CO., LTD. |
11128300 | Level shifter circuit with intermediate power domain | APPLE INC. |
11128301 | High-speed core interconnect for multi-die programmable logic devices | INTEL CORPORATION |
11128302 | Configurable processor doublet based on three-dimensional memory (3D-M) | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11128303 | Three-dimensional memory (3D-M)-based configurable processor singlet | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11128304 | Clock and data recovery device and jitter tolerance enhancement method thereof | -- |
11128305 | Field programmable gate array with external phase-locked loop | -- |
11128306 | Clock generation | NXP USA, INC. |
11128307 | Circuit and method for control of counter start time | OMNIVISION TECHNOLOGIES, INC. |
11128308 | Regulated charge sharing apparatus and methods | MOVELLUS CIRCUITS, INC. |
11128309 | Digital calibration method, digital calibration device and true random number generator circuit | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11128310 | Background duty cycle error measurement for RF DAC | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11128311 | Analog-to-digital converting system and method with offset and bit-weighting correction mechanisms | -- |
11128312 | Successive approximation ad converter | ASAHI KASEI MICRODEVICES CORPORATION |
11128313 | Apparatus and method for decoding signal in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11128314 | Error characteristic estimation for NAND flash | SK HYNIX INC. |
11128315 | Error correction decoder | SK HYNIX INC. |
11128316 | Methods and apparatus for constructing polar codes | QUALCOMM INCORPORATED |
11128317 | Method for transmitting additional information by using linear block codes | SUN YAT SEN UNIVERSITY |
11128318 | Transmission method and reception device | SONY CORPORATION |
11128319 | Transmitter and method for generating additional parity thereof | SAMSUNG ELECTRONICS CO., LTD. |
11128320 | Encoding method, decoding method, encoding apparatus, and decoding apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11128321 | Method of operating decoder for reducing computational complexity and method of operating data storage device including the decoder | SAMSUNG ELECTRONICS CO., LTD. |
11128322 | Methods and devices for determination of beamforming information | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11128326 | Digital radio frequency circuitry | IMEC VZW |
11128327 | Principle and techniques for integrated TRX switch | INTEGRATED DEVICE TECHNOLOGY, INC. |
11128332 | Efficient front end module | ETHERTRONICS, INC. |
11128333 | Signal receiving circuit, signal processing chip, communications device, and signal receiving method | HUAWEI TECHNOLOGIES CO., LTD. |
11128334 | Beam forming device and beam forming method | FUJITSU LIMITED |
11128386 | High-bandwidth underwater data communication system | FAIRFIELD INDUSTRIES INCORPORATED |
11128401 | Method and apparatus for processing information, communications device, and communications system | HUAWEI TECHNOLOGIES CO., LTD. |
11128487 | Circuit device, electronic device, and mobile body | SEIKO EPSON CORPORATION |
11128496 | Transmitter with equalization | -- |
11128498 | Communication-channel tracking aided by reinforcement learning | NOKIA SOLUTIONS AND NETWORKS OY |
11128499 | Serial-link receiver using time-interleaved discrete time gain | RAMBUS INC. |
11128500 | Method and apparatus for a lookup table-based coding mechanism for communication systems | MELLANOX TECHNOLOGIES, LTD. |
11128517 | Handling different subframe sets for uplink 256QAM | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11128553 | Technologies for switching network traffic in a data center | INTEL CORPORATION |
11128826 | Sensor arrangement to sense an external signal | AMS AG |
11128935 | Realtime multimodel lossless data compression system and method | BTS SOFTWARE SOLUTIONS, LLC |
11128946 | Hearing device with acoustic shock control and method for acoustic shock control in a hearing device | SONOVA AG |
11128973 | Pre-process correction and enhancement for immersive audio greeting card | DOLBY LABORATORIES LICENSING CORPORATION |
11129076 | Method and system for baseband predistortion linearization in multi-channel wideband communication systems | DALI WIRELESS, INC. |
11129122 | System and method for IQ mismatch calibration and compensation | SAMSUNG ELECTRONICS CO., LTD. |
11129145 | Method and apparatus for mapping uplink control information for channel state information feedback | SAMSUNG ELECTRONICS CO., LTD. |
11129569 | Shielding techniques for noise reduction in surface electromyography signal measurement and related systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11130251 | Control device for a power tool and safety tool comprising such a control device | -- |
11130295 | Resin transfer molding systems and control logic for manufacturing fiber-reinforced composite parts | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11130424 | Vehicle seating assembly having capacitive proximity sensor | FORD GLOBAL TECHNOLOGIES, LLC |
11131117 | Electromagnetic-based sensor with cold mirror cover | MAGNA CLOSURES INC. |
11131286 | Igniter and vehicle provided with the same | ROHM CO., LTD. |
11131360 | Vibration isolation apparatuses for crystal oscillators | VIASAT, INC. |
11131453 | Touch sensor assembly and door including the same | LG ELECTRONICS INC. |
11131537 | Measurement method and electronic measuring unit using an inductive proximity sensor linked to a cable | SAFRAN ELECTRONICS & DEFENSE |
11131721 | Semiconductor device | ABLIC INC. |
11131762 | Boosted return time for fast chirp PLL and calibration method | NXP USA, INC. |
11131763 | Phase preset for fast chirp PLL | NXP USA, INC. |
11132010 | Power down detection for non-destructive isolation signal generation | APPLE INC. |
11132011 | Signal receiving circuit and method, and signal detecting circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11132015 | Powering clock tree circuitry using internal voltages | MICRON TECHNOLOGY, INC. |
11132016 | Method for supply voltage regulation and corresponding device | STMICROELECTRONICS (GRENOBLE 2) SAS |
11132017 | Clock distribution system | NORTHROP GRUMANN SYSTEMS CORPORATION |
11132177 | CMOS-compatible high-speed and low-power random number generator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11132207 | Fast boot systems and methods for programmable logic devices | LATTICE SEMICONDUCTOR CORPORATION |
11132251 | Enhanced checksum system | SAMSUNG ELECTRONICS CO., LTD. |
11132257 | Prioritized rebuilds using dispersed indices | PURE STORAGE, INC. |
11132423 | Partition matrices into sub-matrices that include nonzero elements | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11132466 | Method for determining an integrity sum, associated computer program and electronic entity | IDEMIA FRANCE |
11132486 | Systems and methods for multi-bit memory with embedded logic | -- |
11132955 | Display apparatus | LG DISPLAY CO., LTD. |
11132972 | Method for spreading spectrum, chip, display panel, and computer readable storage medium | HKC CORPORATION LIMITED |
11132974 | Data transmission circuit, display device and data transmission method | BOE TECHNOLOGY GROUP CO., LTD. |
11133017 | Enhancing artificial reverberation in a noisy environment via noise-dependent compression | HARMAN BECKER AUTOMOTIVE SYSTEMS GMBH |
11133072 | Data storage apparatus, and internal voltage trimming circuit and trimming method therefor | SK HYNIX INC. |
11133143 | Integrated power-ground reverse wiring protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11133335 | Semiconductor device, and display device and electronic device having the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133409 | Ballistic field-effect transistors based on Bloch resonance and methods of operating a transistor | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11133567 | Capacitive coupling tuner | NOKIA SHANGHAI BELL CO., LTD. |
11133663 | Reverse current protection circuit | APPLE INC. |
11133738 | Switching control circuit | FUJI ELECTRIC CO., LTD. |
11133739 | Multi-level hysteresis voltage controllers for voltage modulators and methods for control thereof | TAE TECHNOLOGIES, INC. |
11133750 | Power module | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11133753 | Power control circuit | RENESAS ELECTRONICS CORPORATION |
11133764 | Signal converter | ZHONGSHAN BROAD-OCEAN MOTOR CO., LTD. |
11133767 | Pulsed electric machine control using tables | TULA ETECHNOLOGY, INC. |
11133779 | Crystal oscillator | NIHON DEMPA KOGYO CO., LTD. |
11133780 | Non-break power transfer for variable frequency generators | THE BOEING COMPANY |
11133781 | Doherty amplifier and Doherty amplifier circuit | MITSUBISHI ELECTRIC CORPORATION |
11133782 | Bias techniques for amplifiers with mixed polarity transistor stacks | PSEMI CORPORATION |
11133783 | Power amplifier and impedance adjustment circuit | SAMSUNG ELECTRONICS CO., LTD. |
11133784 | Method of driving circuit with energy recycle capability | XMEMS LABS, INC. |
11133785 | Digital-to-analog converter and amplifier for headphones | AVNERA CORPORATION |
11133786 | Radio frequency power amplifier module having high linearity and power-added efficiency and implementation method | VANCHIP (TIANJIN) TECHNOLOGY CO., LTD. |
11133787 | Methods and apparatus to determine automated gain control parameters for an automated gain control protocol | THE NIELSEN COMPANY (US), LLC |
11133788 | Bonded body and elastic wave element | NGK INSULATORS, LTD. |
11133789 | Multilayer piezoelectric substrate | SKYWORKS SOLUTIONS, INC. |
11133790 | Acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11133791 | Spurious mode suppression in bulk acoustic wave resonator | QORVO US, INC. |
11133792 | Pre-drive level shifter with compact bias generator | QUALCOMM INCORPORATED |
11133793 | Phase interpolator with phase adjuster for step resolution | CADENCE DESIGN SYSTEMS, INC. |
11133794 | Signal calibration circuit | NVIDIA CORP. |
11133795 | Overcurrent determining apparatus and drive unit using the same | DENSO CORPORATION |
11133796 | Dynamic IGBT gate drive to reduce switching loss | FORD GLOBAL TECHNOLOGIES, LLC |
11133797 | Bootstrap circuit for gate driver | DIODES INCORPORATED |
11133798 | Switchable diode devices having transistors in series | STMICROELECTRONICS (ROUSSET) SAS |
11133799 | Capacitive proximity sensor assembly having multiple sensing configurations | FORD GLOBAL TECHNOLOGIES, LLC |
11133800 | Vehicle floor assembly having capacitive proximity sensor | FORD GLOBAL TECHNOLOGIES, LLC |
11133801 | Operation device | KABUSHIKI KAISHA TOKAI RIKA DENKI SEISAKUSHO |
11133802 | Repeater for an open-drain communication system using a current detector and a control logic circuit | TEXAS INSTRUMENTS INCORPORATED |
11133803 | Multiple via structure for high performance standard cells | QUALCOMM INCORPORATED |
11133804 | Stackable timer | TEXAS INSTRUMENTS INCORPORATED |
11133805 | Superconducting logic circuits | PSIQUANTUM CORP. |
11133806 | Phase lock loop (PLL) synchronization | SPACE EXPLORATION TECHNOLOGIES CORP. |
11133807 | Phase-locked loop slip detector | TEXAS INSTRUMENTS INCORPORATED |
11133808 | Digital phase locked loop tracking | INFINEON TECHNOLOGIES AG |
11133809 | Method and circuit for determining phase continuity of a local oscillator signal, and local oscillator signal generation circuit | INTEL CORPORATION |
11133810 | Determination of the synchronization of the output signal from an injection locked oscillator with an injection signal | INFINEON TECHNOLOGIES AG |
11133811 | High resolution analog to digital converter (ADC) with improved bandwidth | SIGMASENSE, LLC. |
11133812 | Asynchronous SAR ADC using two-stage comparator having separate resets | HUAWEI TECHNOLOGIES CO., LTD. |
11133813 | Analog to digital converter device and noise shaping digital slope analog to digital converter circuitry | -- |
11133814 | Continuous-time residue generation analog-to-digital converter arrangements with programmable analog delay | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11133815 | Resampling circuit, physical quantity sensor unit, inertial measurement unit, and structure monitoring device | SEIKO EPSON CORPORATION |
11133816 | Analog-digital converter and semiconductor memory device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11133817 | Microarchitectural features for mitigation of differential power analysis and electromagnetic analysis | ARM LIMITED |
11133818 | Interpolation digital-to-analog converter (DAC) | TEXAS INSTRUMENTS INCORPORATED |
11133819 | Lookup-table-based sigma-delta ADC filter | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11133820 | Overload recovery method in sigma delta modulators | TEXAS INSTRUMENTS INCORPORATED |
11133821 | Delta-sigma modulator and analog-to-digital converter including the same | GWANAK ANALOG CO., LTD. |
11133822 | Analog-digital converter and solid-state imaging element | SHARP KABUSHIKI KAISHA |
11133823 | Quantum compression service using superdense encoding | RED HAT, INC. |
11133824 | Error correction device and optical transmission/reception device | MITSUBISHI ELECTRIC CORPORATION |
11133825 | Apparatus and method for channel encoding/decoding in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11133826 | Quasi-cyclic LDPC coding and decoding method and apparatus, and LDPC coder and decoder | ZTE CORPORATION |
11133827 | Simplified, presorted, syndrome-based, extended min-sum (EMS) decoding of non-binary LDPC codes | UNIVERSITE DE BRETAGNE SUD |
11133828 | Coding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11133829 | Communciation method using polar code, and wireless device | HUAWEI TECHNOLOGIES CO., LTD. |
11133830 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11133831 | Code rate adaptation | SEAGATE TECHNOLOGY LLC |
11133832 | Data processing method and device | HUAWEI TECHNOLOGIES CO., LTD. |
11133833 | Power tracker for multiple transmit signals sent simultaneously | QUALCOMM INCORPORATED |
11133834 | Device and method of compensating for nonlinearity of power amplifier | SAMSUNG ELECTRONICS CO., LTD. |
11133851 | Beamforming configuration via cross-mixing | THE FLORIDA INTERNATIONAL UNIVERSITY BOARD OF TRUSTEES |
11133872 | Linearized optical digital-to-analog modulator | RAMOT AT TEL-AVIV UNIVERSITY LTD. |
11133874 | PAM-based coding schemes for parallel communication | NOKIA SOLUTIONS AND NETWORKS OY |
11133883 | Interactive entertainment system | ADORI LABS, INC. |
11133893 | Method and apparatus for LDPC transmission over a channel bonded link | ENTROPIC COMMUNICATIONS LLC |
11133894 | Information transmission method, and decoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11133920 | Clock and data recovery circuit and a display apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11133957 | HVAC controller area network hybrid network topology | TRANE INTERNATIONAL INC. |
11133963 | Dsp cancellation of track-and-hold induced ISI in ADC-based serial links | XILINX, INC. |
11133972 | Transmitting apparatus and mapping method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11134189 | Image device and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11134324 | Microphone device and system comprising the microphone device | CIRRUS LOGIC, INC. |
11134342 | Display apparatus | LG DISPLAY CO., LTD. |
11134353 | Customized audio processing based on user-specific and hardware-specific audio information | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11134491 | Radio with antenna array and multiple RF bands | SKYLINE PARTNERS TECHNOLOGY LLC |
11134493 | WLAN physical layer design for efficient hybrid ARQ | NXP USA, INC. |
11134518 | Cooperative multi-band operation schemes in wireless local area networks | MEDIATEK SINGAPORE PTE. LTD. |
11136060 | Steering nibble control | FORD GLOBAL TECHNOLOGIES, LLC |
11137423 | Contactless voltage transducer | LEM INTERNATIONAL SA |
11137445 | Method and apparatus for reducing non-linear distortion | KEYSIGHT TECHNOLOGIES, INC. |
11137512 | Method for operating a sensor system, sensor element and sensor system | ROBERT BOSCH GMBH |
11137782 | System and method for controlling a dynamic voltage regulator slew rate in an information handling system | DELL PRODUCTS L.P. |
11137787 | High-precision and high-bandwidth comparator | APPLE INC. |
11137789 | Fractional turn coil winding | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11137793 | Semiconductor integrated circuit, receiver device, and method for controlling semiconductor integrated circuit | KIOXIA CORPORATION |
11137812 | Method and apparatus for improving integrity of processor voltage supply with support for DVFS | CHAOYANG SEMICONDUCTOR JIANGYIN TECHNOLOGY CO., LTD. |
11137838 | Electronic device for storing user data, and method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11137863 | Silver nanostructure-based optical stacks and touch sensors with UV protection | CAMBRIOS FILM SOLUTIONS CORPORATION |
11137867 | Fluidic wire touch sensors | TEVERI LLC |
11137896 | System and method for determining a location of a user relative to a user interface for contextual control | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
11137922 | Technologies for providing accelerated functions as a service in a disaggregated architecture | INTEL CORPORATION |
11137974 | Method and apparatus for audio processing, electronic device and storage medium | BAIDU ONLINE NETWORK TECHNOLOGY (BEIJING) CO., LTD. |
11138068 | Memory sub-system codeword quality metrics streaming | MICRON TECHNOLOGY, INC. |
11138069 | Providing additional parity for non-standard sized parity data sets | SEAGATE TECHNOLOGY, LLC |
11138070 | Memory system and method performed thereby | KIOXIA CORPORATION |
11138137 | Self-learning apparatus for connecting inputs and outputs of a programmable logic controller to a field device | NELES USA INC. |
11138175 | Type-specific compression in database systems | TIMESCALE, INC. |
11138292 | Circuit and method for computing depthwise convolution | FACEBOOK, INC. |
11138500 | General purpose neural processor | U.S. GOVERNMENT AS REPRESENTED BY THE DIRECTOR, NATIONAL SECURITY AGENCY |
11138762 | Visual quality of video based point cloud compression using one or more additional patches | SAMSUNG ELECTRONICS CO., LTD. |
11139001 | Control logic assemblies and methods of forming a control logic device | MICRON TECHNOLOGY, INC. |
11139019 | Apparatuses and methods for delay control error protection | MICRON TECHNOLOGY, INC. |
11139032 | Method and apparatus for reading data stored in flash memory by referring to binary digit distribution characteristics of bit sequences read from flash memory | -- |
11139041 | Stacked semiconductor device and test method thereof | SK HYNIX INC. |
11139045 | Memory device with a memory repair mechanism and methods for operating the same | MICRON TECHNOLOGY, INC. |
11139047 | Adaptive nanopore signal compression | ROCHE SEQUENCING SOLUTIONS, INC. |
11139128 | Remote control with stabilization film designed as capacitive sensor | FM MARKETING GMBH |
11139131 | Electromechanical relay with data collection cover | ABB SCHWEIZ AG |
11139134 | High isolation series switch | QORVO US, INC. |
11139148 | Method and apparatus for changing the apparent source impedance of a generator | ADVANCED ENERGY INDUSTRIES, INC. |
11139297 | Circuit arrangement, redistribution board, module and method of fabricating a half-bridge circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11139323 | Digital circuit having correcting circuit and electronic apparatus thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139389 | Magnetic state element and circuits | INTEL CORPORATION |
11139424 | High-saturation power Josephson ring modulators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139558 | Antenna module and electronic device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11139619 | Overmolded lead frame providing contact support and impedance matching properties | SAMTEC, INC. |
11139620 | Overmolded lead frame providing contact support and impedance matching properties | SAMTEC, INC. |
11139678 | Power supply system with automatic transfer switches | -- |
11139744 | Flyback power converter and secondary side controller circuit and control method thereof | -- |
11139767 | Methods and apparatus for driving a transducer | CIRRUS LOGIC, INC. |
11139770 | Gate driving circuit applied to motor inverter and gate driving method | -- |
11139778 | Apparatus, circuits and methods for clock generation | -- |
11139779 | Dual band mixer | SAMSUNG ELECTRONICS CO., LTD. |
11139780 | Envelope tracking apparatus | QORVO US, INC. |
11139781 | Power amplifiers | NATIONAL UNIVERSITY OF IRELAND, MAYNOOTH |
11139782 | Amplification apparatus and transmission apparatus | KABUSHIKI KAISHA TOSHIBA |
11139783 | Circuit structure and method for improving harmonic suppression capability of radio frequency power amplifier | LANSUS TECHNOLOGIES INC |
11139784 | Audio play circuit and audio play device | HUAWEI TECHNOLOGIES CO., LTD. |
11139785 | Low noise amplifier circuit | ASAHI KASEI MICRODEVICES CORPORATION |
11139786 | Amplifying device with adaptive CTAT biasing control | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11139787 | Electrical amplifier | SICOYA GMBH |
11139788 | Measuring current generation circuit | -- |
11139789 | Chopper amplifiers with tracking of multiple input offsets | ANALOG DEVICES, INC. |
11139790 | Distributed amplifier with low supply voltage and low power consumption for full-chip high-speed communication | -- |
11139791 | Integrated circuit devices with receiver chain peak detectors | TEXAS INSTRUMENTS INCORPORATED |
11139792 | Method of tuning a radio frequency (RF) module including a non-volatile tunable RF filter | NEWPORT FAB, LLC |
11139793 | Diplexer | MURATA MANUFACTURING CO., LTD. |
11139794 | Transversely-excited film bulk acoustic resonator | RESONANT INC. |
11139795 | Electronic component and module including the same | MURATA MANUFACTURING CO., LTD. |
11139796 | Crystal vibration element, and crystal vibrator equipped with crystal vibration element | MURATA MANUFACTURING CO., LTD. |
11139797 | Micro-transfer-printed acoustic wave filter device | X-CELEPRINT LIMITED |
11139798 | Radio-frequency filter, multiplexer, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11139799 | Clock filter with negative resistor circuit | TEXAS INSTRUMENTS INCORPORATED |
11139800 | Optimized multi-pam finite impulse response (FIR) filter | MARVELL ASIA PTE, LTD. |
11139801 | Power-on reset circuit | TEXAS INSTRUMENTS INCORPORATED |
11139802 | Sequential based ring oscillator | QUALCOMM INCORPORATED |
11139803 | Low power flip-flop with balanced clock-to-Q delay | APPLE INC. |
11139804 | Circuit including flip-flop and control element | TIANMA JAPAN, LTD. |
11139805 | Bi-directional adaptive clocking circuit supporting a wide frequency range | SOUTHEAST UNIVERSITY |
11139806 | System on chip performing clock training and computing system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11139807 | Buffer circuit | TEXAS INSTRUMENTS INCORPORATED |
11139808 | Semiconductor device and power conversion system | MITSUBISHI ELECTRIC CORPORATION |
11139809 | Driving apparatus | KABUSHIKI KAISHA TOSHIBA |
11139810 | Overcurrent protection for power transistors | CREE, INC. |
11139811 | Driver circuit, corresponding device and system | STMICROELECTRONICS S.R.L. |
11139812 | IGBT emitter current sensing for early desaturation detection and short circuit protection | INFINEON TECHNOLOGIES AG |
11139813 | RF-switch with enhanced voltage robustness | INFINEON TECHNOLOGIES AG |
11139814 | Power switch circuit | -- |
11139815 | Semiconductor relay module and semiconductor relay circuit | OMRON CORPORATION |
11139816 | Clock and data recovery circuit, memory storage device and signal generating method | -- |
11139817 | Voltage-controlled oscillator calibration | APPLE INC. |
11139818 | Fast-locking phase-locked loop and associated fast-locking method thereof | -- |
11139819 | Parameter determination method and device for spread spectrum circuit, and clock spread spectrum method and device | BOE TECHNOLOGY GROUP CO., LTD. |
11139820 | Efficient digital gain implementation in digital microphones | INFINEON TECHNOLOGIES AG |
11139821 | Analog-to-digital converter for a capacitive adiabatic logic circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11139823 | Sample and hold circuit with indefinite holding time | TEXAS INSTRUMENTS INCORPORATED |
11139824 | Magneto-optical circuit | VULCAN INC. |
11139825 | Frequency ratio measurement device | SEIKO EPSON CORPORATION |
11139826 | DAC error measurement method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11139827 | Conditional transcoding for encoded data | SAMSUNG ELECTRONICS CO., LTD. |
11139828 | Memory compression method and apparatus | SILICONIP, INC. |
11139829 | Data compression techniques using partitions and extraneous bit elimination | BEIJING TENAFE ELECTRONIC TECHNOLOGY CO., LTD. |
11139830 | Bit inversion for data transmission | QUALCOMM INCORPORATED |
11139831 | Fast fail support for error correction in non-volatile memory | SK HYNIX INC. |
11139832 | LDPC decoder and operating method thereof | SK HYNIX INC. |
11139833 | Adaptive read retry optimization | SEAGATE TECHNOLOGY LLC |
11139834 | Decoder for a family of rate compatible low-density parity check (LDPC) codes | XIEON NETWORKS S.A.R.L. |
11139835 | Method and apparatus for data processing with structured LDPC codes | ZTE CORPORATION |
11139836 | Information transmission method and transmission device, and information reception method and reception device | LG ELECTRONICS INC. |
11139837 | Transmission apparatus and method, and reception apparatus and method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11139839 | Polar code decoder and a method for polar code decoding | HUAWEI TECHNOLOGIES CO., LTD. |
11139841 | Apparatus and method for generating oscillating signal in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11139842 | Transmit driver architecture | RAMBUS INC. |
11139843 | SerDes driver with common-gate-based buffer to use core devices in relatively high power supply domain | QUALCOMM INCORPORATED |
11139847 | Self-tuning N-path filter | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11139848 | Communication system, transmission device, reception device, and communication method | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11139851 | Frequency independence for synthesis within programmable non-reciprocal network circuit | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11139852 | Situational awareness over a low bandwidth short burst data satellite system | NORTAC DEFENCE LIMITED |
11139872 | Codebook subset restriction signaling | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11139890 | Systems and methods for delta-sigma digitization | CABLE TELEVISION LABORATORIES, INC. |
11139903 | Microwave combiner and distributer for quantum signals using frequency-division multiplexing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139915 | Adaptation of the CRC code length for 3GPP NR | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11139917 | Communication method and apparatus using segmented bit sequences | HAUWEI TECHNOLOGIES CO., LTD. |
11139918 | Interleaving method and interleaving apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11140128 | Hierarchical geographic naming associated to a recursively subdivided geographic grid referencing | PALO ALTO RESEARCH CENTER INCORPORATED |
11140346 | Analog-to-digital converter and image sensor having the same | SAMSUNG ELECTRONICS CO., LTD. |
11140350 | Imaging system with shot-noise-matched and floating-point ramp analog-to-digital converters | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11140352 | High dynamic range high speed CMOS image sensor design | OMNIVISION TECHNOLOGIES, INC. |
11140381 | Noise cancellation in a wireless head mounted display | FACEBOOK TECHNOLOGIES, LLC |
11140472 | System and method for experiencing music via vibrations | BOSTON |
11140478 | Loudspeaker enhancement | TEXAS INSTRUMENTS INCORPORATED |
11140493 | Input current-tolerant amplifier input stage for MEMS sensors and other devices | AMS INTERNATIONAL AG |
11140577 | Energy-efficient wireless communications for advanced networks with low-resolution digital-to-analog converters | AT&T INTELLECTUAL PROPERTY I, L.P. |
11140700 | Terminal apparatus, base station apparatus, and communication method | SHARP KABUSHIKI KAISHA |
11140725 | Wireless communications involving a fast initial link setup, FILS, discovery frame for network signaling | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11140777 | Interface circuit, chip containing interface circuit and manufacturing method thereof | SHENZHEN SANDIYIXIN ELECTRONIC CO., LTD. |
11140787 | Connecting an electronic component to an interactive textile | GOOGLE LLC |
11141098 | Electronic device for measuring hydrature using display and method for operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11142233 | Steering wheel and method for controlling the same | HYUNDAI MOTOR COMPANY |
11142351 | Earth satellite attitude data fusion system and method thereof | -- |
11142651 | Inorganic passive coatings for atomic vapor cells | HRL LABORATORIES, LLC |
11143218 | Complementary fluidic valves and logic gates | FACEBOOK TECHNOLOGIES, LLC |
11143545 | Thinning of scalar vibration data | COMPUTATIONAL SYSTEMS, INC. |
11143548 | Simultaneous oscillation and frequency tracking of multiple resonances via digitally implemented phase-locked loop array | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11143553 | Method to operate an optical sensor arrangement with improved offset correction and optical sensor arrangement | AMS INTERNATIONAL AG |
11143623 | Preparation process for graphene resonant gas sensor based on doped metal atoms | JIANGSU UNIVERSITY |
11143705 | Method and device for detecting battery cell states and battery cell parameters | INVENOX GMBH |
11143740 | Vehicle radar system comprising an auxiliary power source | SAAB AB |
11143746 | Chirp linearity detector for radar | NXP USA, INC. |
11143751 | Sound sensing with time-varying thresholds | TEXAS INSTRUMENTS INCORPORATED |
11144082 | Gate driver circuit for reducing deadtime inefficiencies | TEXAS INSTRUMENTS INCORPORATED |
11144149 | Methods and techniques for correcting pressure sensor data in the presence of abnormal pressure sensor readings | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11144165 | Proximity sensor and display device | JAPAN DISPLAY INC. |
11144204 | Recovering data in a storage network | PURE STORAGE, INC. |
11144207 | Accelerating memory compression of a physically scattered buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144316 | Current-mode mixed-signal SRAM based compute-in-memory for low power machine learning | -- |
11144317 | AC parallelization circuit, AC parallelization method, and parallel information processing device | FUJITSU LIMITED |
11144390 | Method, memory controller, and memory system for reading data stored in flash memory | -- |
11144394 | Storing B-tree pages in capacity tier for erasure-coded storage in distributed data systems | VMWARE, INC. |
11144578 | High performance and efficient multi-scale trajectory retrieval | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144697 | Processing method for applying analog dynamic circuit to digital testing tool | -- |
11144710 | Device with communication interface and method for controlling database access | SIEMENS AKTIENGESELLSCHAFT |
11144740 | Reader for medical implants | SHENZHEN DANSHA TECHNOLOGY CO., LTD. |
11145243 | Digital-analog conversion circuit, display device, and electronic apparatus | CANON KABUSHIKI KAISHA |
11145284 | Adaptive music playback system | NIKE, INC. |
11145341 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. |
11145354 | Apparatuses and methods to perform duty cycle adjustment with back-bias voltage | MICRON TECHNOLOGY, INC. |
11145355 | Calibration circuit for controlling resistance of output driver circuit, memory device including the same, and operating method of the memory device | SAMSUNG ELECTRONICS CO., LTD. |
11145357 | Memory system, memory controller and method for operating memory system | SK HYNIX INC. |
11145361 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11145378 | Methods and apparatus to improve performance while reading a one-time programmable memory | TEXAS INSTRUMENTS INCORPORATED |
11145609 | Doherty amplifier with surface-mount packaged carrier and peaking amplifiers | NXP USA, INC. |
11145646 | Semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11145647 | Tipless transistors, short-tip transistors, and methods and circuits therefor | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11145651 | Transistor gate arrangement to modify driver signal characteristics | ARM LIMITED |
11145713 | High voltage metal-oxide-semiconductor (HVMOS) device integrated with a high voltage junction termination (HVJT) device | -- |
11145966 | Over-molded thin film antenna device | PCTEL, INC. |
11146056 | Interface control circuit and control method thereof | -- |
11146067 | Line control circuit configuration | HATCH LTD. |
11146077 | Voltage equalizing device | SAMSUNG SDI CO., LTD. |
11146081 | Circuit device, control device, power receiving device, and electronic device | SEIKO EPSON CORPORATION |
11146162 | Control method and control circuit for switch in switching power supply | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11146164 | Single transformer-based gate driver | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11146171 | Charge pump circuit | CIRRUS LOGIC, INC. |
11146185 | Systems and methods for generating high voltage pulses | RADIANCE TECHNOLOGIES, INC. |
11146213 | Multi-radio access technology envelope tracking amplifier apparatus | QORVO US, INC. |
11146214 | Multi-channel Doherty amplifier, multi-antenna transmitter, and method for turning on the multi-channel Doherty amplifier | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11146215 | Doherty power amplifier, controlling method and device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11146216 | Filter and filtering method | -- |
11146217 | Signal amplifier circuit having high power supply rejection ratio and driving circuit thereof | -- |
11146218 | Amplification circuit | -- |
11146219 | Power limiter configuration for audio signals | BIAMP SYSTEMS, LLC |
11146220 | Amplifier devices with phase distortion compensation and methods of manufacture thereof | NXP USA, INC. |
11146221 | Method for overtemperature protection and audio apparatus | ROBERT BOSCH GMBH |
11146222 | Amplifier, radiation detector, and radiation detector control method | SHARP KABUSHIKI KAISHA |
11146223 | High power radio frequency (RF) amplifiers | RAYTHEON COMPANY |
11146224 | Broadband power combining arrangement | COMET AG |
11146225 | Headset with programmable microphone modes | VOYETRA TURTLE BEACH, INC. |
11146226 | Analog switch circuit, volume circuit, and semiconductor integrated circuit | ROHM CO., LTD. |
11146227 | Open-loop tracking control module to control input range swing for radiation-hardened devices | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11146228 | Frequency compensated oscillator design for process tolerances | ROBERT BOSCH GMBH |
11146229 | Filter and multiplexer | MURATA MANUFACTURING CO., LTD. |
11146230 | Method for creating double bragg mirror for tight frequency reference control | TEXAS INSTRUMENTS INCORPORATED |
11146231 | Solidly-mounted transversely-excited film bulk acoustic resonator with recessed interdigital transducer fingers | RESONANT INC. |
11146232 | Transversely-excited film bulk acoustic resonator with reduced spurious modes | RESONANT INC. |
11146233 | Elastic wave device and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
11146234 | Electrical device and method for manufacturing the same | -- |
11146235 | Tunable BAW resonator with ion-conductible structure | QORVO US, INC. |
11146236 | Film bulk acoustic resonator having suppressed lateral mode | SKYWORKS GLOBAL PTE. LTD. |
11146237 | Acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11146238 | Film bulk acoustic resonator fabrication method | RESONANT INC. |
11146239 | Small piezoelectric resonator | MICRO CRYSTAL SA |
11146240 | Micromechanical resonator and resonator system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11146241 | Low loss acoustic device | VTT TECHNICAL RESEARCH CENTRE OF FINLAND LTD |
11146242 | Filter device, multiplexer, radio frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11146243 | Bulk acoustic wave filter | RFHIC CORPORATION |
11146244 | Solidly-mounted transversely excited film bulk acoustic resonator using rotated Y-X cut lithium niobate | RESONANT INC. |
11146245 | Mode suppression in acoustic resonators | QORVO US, INC. |
11146246 | Phase shift structures for acoustic resonators | QORVO US, INC. |
11146247 | Stacked crystal filter structures | QORVO US, INC. |
11146248 | High linearity RF circuit and method for improving linearity thereof | CHENGDU SICORE SEMICONDUCTOR CORP. LTD. |
11146249 | Active electronic emulation of a passive circuit component | ARIEL SCIENTIFIC INNOVATIONS LTD. |
11146250 | Pulse voltage generation device, method, and controller | SUZHOU POWERSITE ELECTRIC CO., LTD. |
11146251 | Performance-screen ring oscillator with switchable features | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146252 | Logic and flip-flop circuit timing margins controlled based on scan-pattern transition processing | NXP B.V. |
11146253 | Receiving circuit to process low-voltage signal with hysteresis | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11146254 | Driving device and power module | MITSUBISHI ELECTRIC CORPORATION |
11146255 | Power supply circuit and power supply apparatus | JTEKT CORPORATION |
11146256 | Alternating current solid-state relay | XIAMEN KUDOM ELECTRONICS TECHNOLOGY CO., LTD |
11146257 | Latching DC switch circuit with overcurrent protection using field effect transistors | DENSO INTERNATIONAL AMERICA, INC. |
11146258 | Method of over current and over voltage protection of a power switch in combination with regulated DI/DT and DV/DT | INFINEON TECHNOLOGIES AUSTRIA AG |
11146259 | Voltage equalization method for use in radiofrequency switch having multiple transistors connected in series and radiofrequency switch | VANCHIP (TIANJIN) TECHNOLOGY CO., LTD. |
11146260 | Circuit and method to manage and recover from bias temperature instability | HYGON INFORMATION TECHNOLOGY CO., LTD. |
11146261 | Process controlled output driver staggering | TEXAS INSTRUMENTS INCORPORATED |
11146262 | Low-noise reference voltage generator | XILINX, INC. |
11146264 | Electric circuit for testing a power-on reset circuit | ROBERT BOSCH GMBH |
11146265 | Controlled current manipulation for regenerative charging of gate capacitance | SILANNA ASIA PTE LTD |
11146266 | Driving method and driving device using the same | -- |
11146267 | Charge recovery driver for MEMS mirror with reduced number of tank capacitors | STMICROELECTRONICS S.R.L. |
11146268 | High voltage integrated circuit | FUJI ELECTRIC CO., LTD. |
11146269 | Low power cryogenic switch | RAMBUS INC. |
11146270 | Proximity sensor and method of operating a photodetector based proximity sensor | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11146271 | Flexible touch sensors and methods for wide-field imaging of atom or ion trap | IONQ, INC. |
11146272 | Comparator | SK HYNIX INC. |
11146273 | Electronic device and electronic product | -- |
11146274 | Equalizer control device, receiving device, and control method for receiving device | KIOXIA CORPORATION |
11146275 | Signal generation circuit and a semiconductor apparatus using the signal generation circuit | SK HYNIX INC. |
11146276 | Noise reduction and spur and distortion cancellation techniques | INTEL CORPORATION |
11146277 | Clock generator | CIRRUS LOGIC, INC. |
11146278 | Frequency locked loop with fast reaction time | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11146279 | Methods and apparatus for a successive approximation register analog-to-digital converter | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11146280 | Digital signal processing waveform synthesis for fixed sample rate signal sources | TEKTRONIX, INC. |
11146281 | Multi-stage switched capacitor circuit and operation method thereof | -- |
11146282 | Calibration of residual errors using least-mean-squares (LMS) and stochastic-gradient methods for an analog-to-digital converter (ADC) with a pre-calibrated lookup table | CAELUS TECHNOLOGIES LIMITED |
11146283 | Zero-collapsing compression with random access | SAMSUNG ELECTRONICS CO., LTD. |
11146284 | Space-filling curve dictionary-based compression | SAP SE |
11146285 | Batch compression management of messages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146286 | Compression of JavaScript object notation data using structure information | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146287 | Apparatus and method for optimizing physical layer parameter | SAMSJUNG ELECTRONICS CO., LTD. |
11146288 | Technologies for applying a redundancy encoding scheme to segmented network packets | INTEL CORPORATION |
11146289 | Techniques to use intrinsic information for a bit-flipping error correction control decoder | INTEL CORPORATION |
11146290 | Bit-flipping method for decoding LDPC code and system using the same | INNOGRIT TECHNOLOGIES CO., LTD. |
11146291 | Configuring iterative error correction parameters using criteria from previous iterations | MICRON TECHNOLOGY, INC. |
11146292 | Data decoding apparatus and method | AGENCY FOR DEFENSE DEVELOPMENT |
11146293 | System and method for optimizing Reed-Solomon decoder for errors and erasures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146294 | Polar coder with logical three-dimensional memory, communicaton unit, integrated circuit and method therefor | ACCELERCOMM LIMITED |
11146295 | Decoding method, memory storage device, and memory controlling circuit unit | -- |
11146296 | Codeword bit selection for rate-compatible polar coding | QUALCOMM INCORPORATED |
11146300 | Multiplexer, high-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11146303 | Antenna module | MURATA MANUFACTURING CO., LTD. |
11146304 | Transceiver device | -- |
11146341 | Capacitive data transmission over a galvanic isolation | INFINEON TECHNOLOGIES AG |
11146352 | Message correction and dynamic correction adjustment for communication systems | ITRON GLOBAL SARL |
11146355 | Method and apparatus for channel encoding/decoding in communication or broadcast system | SAMSUNG ELECTRONICS CO., LTD. |
11146358 | Polar codes for downlink control channels for wireless networks | NOKIA TECHNOLOGIES OY |
11146361 | Apparatus and method for sending/receiving packet in multimedia communication system | SAMSUNG ELECTRONICS CO., LTD. |
11146378 | Signal receiving device and method of recovering clock and calibration of the device | SAMSUNG ELECTRONICS CO., LTD. |
11146390 | System and method for controlling access to encrypted vehicular data | HUAWEI INTERNATIONAL PTE. LTD. |
11146420 | Method for transmitting data via a serial communication bus, bus interface, and computer program | VOLKSWAGEN AG |
11146426 | Balancing circuit capable of compensating bandwidth attenuation introduced by interference between signals | XIAMEN UX HIGH-SPEED IC CO., LTD. |
11146428 | Adaptive equalizer, optical receiver, and adaptive equalization method | FUJITSU LIMITED |
11146430 | DC-balanced, transition-controlled, scalable encoding method and apparatus for multi-level signaling | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11146756 | Image apparatus with locking operation for serial data | CANON KABUSHIKI KAISHA |
11146819 | Method for producing video coding and programme-product | SIEMENS AKTIENGESELLSCHAFT |
11146820 | Method for producing video coding and programme-product | SIEMENS AKTIENGESELLSCHAFT |
11146835 | Apparatus for transmitting broadcast signals, apparatus for receiving broadcast signals, method for transmitting broadcast signals and method for receiving broadcast signals | LG ELECTRONICS INC. |
11146846 | Remote controller, control method thereof and image processing apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11147131 | LED dimmer bulb using microcontroller and AC mains toggle switch for power and dim control | OTTAWA |
11147177 | Terminal control substrate which switches connection of a controller between a first module fixed to a base plate and an external connection terminal | KABUSHIKI KAISHA TOSHIBA |
11147510 | Flexible sensors and sensor systems | BEBOP SENSORS, INC. |
11147973 | Charge-balanced current-controlled stimulation | AMIRKABIR UNIVERSITY OF TECHNOLOGY |
11147977 | MLCC filter on an aimd circuit board conductively connected to a ground pin attached to a hermetic feedthrough ferrule | GREATBATCH LTD. |
11148081 | Filter identification | CATERPILLAR INC. |
11149738 | Control systems and methods for synchronizing cooling fans | ROCKWELL COLLINS, INC. |
11150072 | Displacement measuring device | MITUTOYO CORPORATION |
11150113 | Steering device | AISIN CORPORATION |
11150133 | Gain correction in signal processing circuitry | AMS SENSORS GERMANY GMBH |
11150279 | Device for measuring the current flowing in an inductive load | STMICROELECTRONICS S.R.L. |
11150280 | Apparatus and method for current measurement | ANALOG DEVICES, INC. |
11150283 | Amplitude and phase detection circuit | RENO TECHNOLOGIES, INC. |
11150292 | Voltage spike detector and system for detecting voltage spikes in semiconductor devices | ANORA, LLC |
11150331 | Detection and compensation of MEMS oscillating structure asymmetries and periodic jitters | INFINEON TECHNOLOGIES AG |
11150609 | Trapped ion platform with optical input and output | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11150618 | Packetized energy management control systems and methods of using the same | UNIVERSITY OF VERMONT AND STATE AGRICULTURAL COLLEGE |
11150681 | Apparatuses and methods for providing reference voltages | MICRON TECHNOLOGY, INC. |
11150687 | Low-latency retimer with seamless clock switchover | ASTERA LABS, INC. |
11150760 | Touch analog front-end circuit and touch display apparatus thereof | -- |
11150766 | Switching operation sensing device with touch-sensing and force-sensing using dual-sensing structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11150769 | Method for capacitively detecting contact and actuation | PREH GMBH |
11150813 | Memory system | TOSHIBA MEMORY CORPORATION |
11150982 | Memory error detection | RAMBUS INC. |
11150984 | Systems and methods for multi-zone data tiering for endurance extension in solid state drives | WESTERN DIGITAL TECHNOLOGIES, INC. |
11150985 | Decoder for memory system and method thereof | SK HYNIX INC. |
11150986 | Efficient compaction on log-structured distributed file system using erasure coding for resource consumption reduction | ALIBABA GROUP HOLDING LIMITED |
11150987 | Methods of controlling operation of nonvolatile memory devices and data converters for performing the same | SAMSUNG ELECTRONICS CO., LTD. |
11151072 | Low voltage drive circuit with variable oscillating characteristics and methods for use therewith | SIGMASENSE, LLC. |
11151073 | Augmenting data plane functionality with field programmable integrated circuits | BAREFOOT NETWORKS, INC. |
11151118 | Dynamic threshold adjustment based on performance trend data | SERVICENOW, INC. |
11151139 | Parallel processing of data having data dependencies for accelerating the launch and performance of operating systems and other computing applications | COMAMI, INC. |
11151287 | System and method for managing requests in an asynchronous pipeline | STMICROELECTRONICS SA |
11152042 | Inversion signal generation circuit | SK HYNIX INC. |
11152044 | System for performing phase matching operation | SK HYNIX INC. |
11152045 | Output drivers and semiconductor memory devices having the same | SAMSUNG ELECTRONICS CO., LTD. |
11152112 | Method and system for updating a medical device | ABBOTT DIABETES CARE INC. |
11152134 | Flexible flat cable | SEOUL |
11152157 | Stacked electronic component and method for manufacturing stacked electronic component | MURATA MANUFACTURING CO., LTD. |
11152347 | Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections | QUALCOMM INCORPORATED |
11152699 | Method, apparatus and arrangement for linearizing of a transmitter array | NOKIA TECHNOLOGIES OY |
11152782 | Drive device | AISIN AW CO., LTD. |
11152848 | Drive circuit with zero-crossing detection function, and zero-crossing detection method | CRM ICBG (WUXI) CO., LTD. |
11152856 | Device for limiting a power loss during the sampling of a digital signal | WAGO VERWALTUNGSGESELLSCHAFT MBH |
11152857 | Gate driver circuit for half bridge MOSFET switches providing protection of the switch devices | FLEXTRONICS AP, LLC |
11152890 | Low power oscillator with digital amplitude control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152891 | Inductor-capacitor oscillator with embedded second harmonic filter and associated dual core oscillator | -- |
11152892 | Calibration of audio power amplifier DC offset | BEKEN CORP SHENZHEN |
11152893 | Power amplifying circuit and power amplifier | MURATA MANUFACTURING CO., LTD. |
11152894 | Methods for operating amplifiers and related devices | SKYWORKS SOLUTIONS, INC. |
11152895 | Doherty amplifier | QORVO US, INC. |
11152896 | Multistage amplifier with current limiting circuit | -- |
11152897 | Power amplifier and power amplifier module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11152898 | Radio-frequency circuit and communication device | MURATA MANUFACTURING CO., LTD. |
11152899 | Multi-stage amplifier including a pre-driver stage | TEXAS INSTRUMENTS INCORPORATED |
11152900 | Multistage amplifier | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11152901 | Amplifier | ANALOG DEVICES, INC. |
11152902 | Semiconductor device and memory system | TOSHIBA MEMORY CORPORATION |
11152903 | Ground noise suppression on a printed circuit board | TEXAS INSTRUMENTS INCORPORATED |
11152904 | Programmable gain amplifier with programmable resistance | TEXAS INSTRUMENTS INCORPORATED |
11152905 | Wideband amplifier circuit | APPLE INC. |
11152906 | Charge pump with current mode output power throttling | CIRRUS LOGIC, INC. |
11152907 | Optimized multi gain LNA enabling low current and high linearity including highly linear active bypass | PSEMI CORPORATION |
11152908 | Method for manufacturing piezoelectric vibration element and method for manufacturing piezoelectric vibrator | MURATA MANUFACTURING CO., LTD. |
11152909 | Bulk acoustic wave resonators having low atomic weight metal electrodes | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11152910 | Piezoelectric resonator device | DAISHINKU CORPORATION |
11152911 | Piezoelectric resonator device | DAISHINKU CORPORATION |
11152912 | Piezoelectric resonator unit | MURATA MANUFACTURING CO., LTD. |
11152913 | Bulk acoustic wave (BAW) resonator | QORVO US, INC. |
11152914 | Elastic wave device and method for manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11152915 | Polyphase filter | MITSUBISHI ELECTRIC CORPORATION |
11152916 | Generating a representation of high-frequency electric power delivery system data using deviations from a trend | SCHWEITZER ENGINEERING LABORATORIES, INC. |
11152917 | Multi-level buffers for biasing of radio frequency switches | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11152918 | Low modulation index 3-phase solid state transformer | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11152919 | Mathematical function circuit | TEXAS INSTRUMENTS INCORPORATED |
11152920 | Voltage starved passgate with IR drop | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152921 | Systems and methods for control signal latching in memories | QUALCOMM INCORPORATED |
11152922 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11152923 | Flip flop circuit and method of operating the same | -- |
11152924 | Level shifter and operating method of level shifter | SAMSUNG ELECTRONICS CO., LTD. |
11152925 | Quantum logic gate design and optimization | IONQ, INC. |
11152926 | Modulator for controlling current pulse and method thereof | KOREA ATOMIC ENERGY RESEARCH INSTITUTE |
11152927 | Low distortion triangular wave generator circuit and low distortion triangular wave generation method | -- |
11152928 | Electronic circuit, electronic apparatus, and method | KABUSHIKI KAISHA TOSHIBA |
11152929 | Apparatuses for duty cycle adjustment of a semiconductor device | MICRON TECHNOLOGY, INC. |
11152930 | Arrangement for a photodetector circuit for low power applications, and a corresponding method and a computer program product | OURA HEALTH OY |
11152931 | Input buffer | ANALOG DEVICES, INC. |
11152932 | Protection circuit, oscillation compensation circuit and power supply circuit in solid state pulse modulator | NUCTECH COMPANY LIMITED |
11152933 | Gate driver and power converter | FUJI ELECTRIC CO., LTD. |
11152934 | Device and method for controlling switching | MITSUBISHI ELECTRIC CORPORATION |
11152935 | System and method to deliver reset via power line | GOOGLE LLC |
11152936 | Gate drive circuit for reducing reverse recovery current of power device | SOUTHEAST UNIVERSITY |
11152937 | Level shifter | -- |
11152938 | Magnetic switch with toggle and de-bounce functionality | ALLEGRO MICROSYSTEMS, LLC |
11152939 | Semiconductor apparatus performing calibration operation and a semiconductor system using the same | SK HYNIX INC. |
11152941 | High-voltage voltage level converter | -- |
11152942 | Three-input exclusive NOR/OR gate using a CMOS circuit | SAMSUNG ELECTRONICS CO., LTD. |
11152943 | Dual-edge aware clock divider | QUALCOMM INCORPORATED |
11152944 | Termination calibration scheme using a current mirror | ADVANCED MICRO DEVICES, INC. |
11152945 | Low-noise oscillator amplitude regulator | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11152946 | Phase interpolator and interpolating method | HUAWEI TECHNOLOGIES CO., LTD. |
11152947 | Feedback control for accurate signal generation | RENESAS ELECTRONICS AMERICA INC. |
11152948 | Current operative analog to digital converter (ADC) | SIGMASENSE, LLC. |
11152949 | Pipelined successive approximation register analog-to-digital converter and method of analog-to-digital conversion | IMEC VZW |
11152950 | Analog-to-digital conversion device comprising two cascaded noise-shaping successive approximation register analog-to-digital conversion stages, and related electronic sensor | THALES |
11152951 | Quad switched multibit digital to analog converter and continuous time sigma-delta modulator | STMICROELECTRONICS INTERNATIONAL N.V. |
11152952 | Channel-parallel compression with random memory access | SAMSUNG ELECTRONICS CO., LTD. |
11152953 | Error detection for a wireless channel | QUALCOMM INCORPORATED |
11152954 | Decoding method and storage controller | SHENZHEN EPOSTAR ELECTRONICS LIMITED CO. |
11152955 | Method and apparatus for fast decoding linear code based on soft decision | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11152956 | Coset probability based decoding for non-binary LDPC codes | -- |
11152957 | Forward error correction using non-binary low density parity check codes | COHERE TECHNOLOGIES, INC. |
11152958 | Very low complexity SECDED codes | WESTERN DIGITAL TECHNOLOGIES, INC. |
11152959 | Enhanced information sequences for polar codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11152968 | Radio-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11152973 | Communication apparatus | AUTONETWORKS TECHNOLOGIES, LTD. |
11152974 | Wireless communication apparatus and method | SAMSUNG ELECTRONICS CO., LTD. |
11153016 | Galvanic isolated device and corresponding system | INTERDIGITAL CE PATENT HOLDINGS, SAS |
11153027 | Layered modulation for machine type communication (MTC) transmissions from multiple transceiver stations | KYOCERA CORPORATION |
11153036 | Transmission method, reception method, transmitter, and receiver | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11153037 | Method and apparatus for encoding erasure code for storing data | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11153056 | Band segmented bootstraps and partitioned frames | SINCLAIR BROADCAST GROUP, INC. |
11153064 | Clock and data recovery device and training method thereof | SK HYNIX INC. |
11153066 | Signal receiving device, and a semiconductor apparatus and a semiconductor system including the signal receiving device | S HYNIX INC. |
11153067 | Chip to chip time synchronization | SPACE EXPLORATION TECHNOLOGIES CORP. |
11153517 | Dynamic vision sensor device including buffer | SAMSUNG ELECTRONICS CO., LTD. |
11153523 | Image capturing apparatus and method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11153550 | Depth codec for real-time, high-quality light field reconstruction | DISNEY ENTERPRISES, INC. |
11153587 | Compressive sensing with joint signal compression and quality control | NOKIA OF AMERICA CORPORATION |
11153677 | Ambient sound enhancement based on hearing profile and acoustic noise cancellation | APPLE INC. |
11153682 | Micro-speaker audio power reproduction system and method with reduced energy use and thermal protection using micro-speaker electro-acoustic response and human hearing thresholds | CIRRUS LOGIC, INC. |
11155206 | Proximity sensor | HYUNDAI MOTOR COMPANY |
11155222 | Power distribution device | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11156505 | Temperature sensor | SEMITRONIX CORPORATION |
11156550 | Terahertz-wave detector and terahertz unit | ROHM CO., LTD. |
11156571 | Substance detection system and substance detection method | NIHON DEMPA KOGYO CO., LTD. |
11156656 | Waveguide slide screw tuner with rotating disc probes | -- |
11156692 | Calibrating differential measurement circuitry | TERADYNE, INC. |
11156709 | Phase measurement in a radar system | INFINEON TECHNOLOGIES AG |
11156897 | Enhanced stability oscillators using a phononic comb | HRL LABORATORIES, LLC |
11156966 | Quantum interference device | SEIKO EPSON CORPORATION |
11157028 | Fast precision droop detector | CENTAUR TECHNOLOGY, INC. |
11157069 | Power control based on packet type | SONOS, INC. |
11157094 | Touch input switching for multi-form factor information handling system (IHS) | DELL PRODUCTS L.P. |
11157189 | Hybrid data reduction | DELL PRODUCTS L.P. |
11157237 | Memristive dot product circuit based floating point computations | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11157354 | Dynamic random access memory devices and memory systems having the same | SAMSUNG ELECTRONICS CO., LTD. |
11157359 | Techniques to implement a hybrid error correction code scheme | INTEL CORPORATION |
11157360 | Semiconductor device, semiconductor wafer, electronic device, and operation method | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11157366 | Securing data in a dispersed storage network | PURE STORAGE, INC. |
11157421 | System level integrated circuit chip | GOWIN SEMICONDUCTOR CORPORATION |
11157441 | Computational array microprocessor system using non-consecutive data formatting | TESLA, INC. |
11158292 | Method and apparatus for dynamically changing display clock frequency | INTEL CORPORATION |
11158341 | Frequency response method and apparatus | SOUNDTHEORY LIMITED |
11158369 | On-chip non-volatile memory (NVM) search | WESTERN DIGITAL TECHNOLOGIES, INC. |
11158624 | Cascode cell | GLOBALFOUNDRIES U.S. INC. |
11158627 | Electronic circuit with a transistor device and a clamping circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11158783 | Piezoelectric cross-sectional Lamé mode transformer | NORTHEASTERN UNIVERSITY |
11158794 | High-yield tunable radio frequency (RF) filter with auxiliary capacitors and non-volatile RF switches | NEWPORT FAB, LLC |
11158921 | Fast impedance tuner calibration | -- |
11158925 | Single-pole multi-throw switch device having simple structure | SAMSUNG ELECTRONICS CO., LTD. |
11158941 | Decorrelation of intermodulation products in mixer circuits | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11158944 | Integrated multi-channel RF circuit with phase sensing | INFINEON TECHNOLOGIES AG |
11159041 | Communication system in vehicle and communication method using the same | HYUNDAI TRANSYS INC. |
11159063 | Wireless power transfer system and method | SPARK CONNECTED LLC |
11159086 | Converter output stage with MOSFETs having different characteristics | EMPOWER SEMICONDUCTOR, INC. |
11159098 | Method and half bridge controller for determining a polarity of a half bridge current | INFINEON TECHNOLOGIES AG |
11159112 | Systems and methods for high performance filtering techniques for sensorless direct position and speed estimation | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11159124 | Sine-wave generation using pulsed D-class amplifier | BIOSENSE WEBSTER (ISRAEL) LTD. |
11159125 | Inverted Doherty power amplifier with large RF fractional and instantaneous bandwidths | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11159126 | Sub-harmonic switching power amplifier | UNIVERSITY OF SOUTHERN CALIFORNIA |
11159127 | Noise suppressing interface circuit for device with control circuits in different noise environments | QUANTUM OPUS, LLC |
11159129 | Power amplifier time-delay invariant predistortion methods and apparatus | DALI WIRELESS, INC. |
11159130 | Ruggedness protection circuit | PSEMI CORPORATION |
11159131 | Optoelectronic component including a photodetector and an optical damping member to damp the optical radiation passing to the photodetector | SICOYA GMBH |
11159132 | Class D amplifier stereo to mono converter | BOSE CORPORATION |
11159133 | Buffer circuit for radio frequency signals | THE BOEING COMPANY |
11159134 | Multiple-stage power amplifiers and amplifier arrays configured to operate using the same output bias voltage | -- |
11159135 | Lower-skew receiver circuit with RF immunity for controller area network (CAN) | TEXAS INSTRUMENTS INCORPORATED |
11159136 | Variable gain amplifier and method thereof | -- |
11159137 | Methods for packaging surface acoustic wave devices | SKYWORKS SOLUTIONS, INC. |
11159138 | Composite electronic component and electronic circuit | MURATA MANUFACTURING CO., LTD. |
11159139 | Elastic wave device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11159140 | Hybrid structure for a surface acoustic wave device | SOITEC |
11159141 | Acoustic wave device, radio-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11159142 | Positions of release ports for sacrificial layer etching | SKYWORKS SOLUTIONS, INC. |
11159143 | Filter device and method for manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11159144 | Radio frequency multiplexer | QORVO US, INC. |
11159145 | Radio frequency (RF) filtering using phase-change material (PCM) RF switches | NEWPORT FAB, LLC |
11159146 | Reconfigurable analog filter and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11159147 | Front end module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11159148 | Hybrid FIFO buffer | MARVELL ISRAEL (M.I.S.L) LTD. |
11159149 | Electronic device including level shifter | SAMSUNG ELECTRONICS CO., LTD. |
11159150 | Waveform generation circuit for finely tunable sensing frequency | SYNAPTICS INCORPORATED |
11159151 | Calibrating a phase interpolator by amplifying timing differences | MICROSOFT TECHNOLOGY LICENSING, LLC |
11159152 | Duty cycle corrector and converter for differential clock signals | SYNAPTICS INCORPORATED |
11159153 | Data bus inversion (DBI) on pulse amplitude modulation (PAM) and reducing coupling and power noise on PAM-4 I/O | NVIDIA CORP. |
11159154 | Power gate ramp-up control apparatus and method | INTEL CORPORATION |
11159155 | Leakage control of multi-chip module and method thereof | REALTEK SINGAPORE PRIVATE LIMITED |
11159156 | High voltage nanosecond pulser | EAGLE HARBOR TECHNOLOGIES, INC. |
11159157 | Circuitry for providing digitally stable ground | UBICQUIA, INC. |
11159158 | Switch resistor networks | SKYWORKS SOLUTIONS, INC. |
11159159 | Electroplating controller with power based head-room control | APPLIED MATERIALS, INC. |
11159160 | AC switch, and uninterruptible power supply and voltage sag compensator including AC switch | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11159161 | Button structure for touch panel, button for touch panel, and electronic device | MITSUBISHI ELECTRIC CORPORATION |
11159162 | Camera control key | PLR IP HOLDINGS, LLC |
11159163 | Single phase clock-gating circuit | SYNOPSYS, INC. |
11159164 | Integrated circuit and method of manufacturing the same | -- |
11159165 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159166 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159167 | Techniques for reducing uneven aging in integrated circuits | INTEL CORPORATION |
11159168 | Superconducting non-destructive readout circuits | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11159169 | Background calibration of non-linearity of samplers and amplifiers in ADCs | ANALOG DEVICES, INC. |
11159170 | Differential converter with offset cancelation | TEXAS INSTRUMENTS INCORPORATED |
11159171 | Digital slope analog to digital converter device and signal conversion method | -- |
11159172 | Capacitive analog-to-digital converter, analog-to-digital conversion system, chip, and device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11159173 | DAC circuit, solid-state imaging element, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11159174 | Multiplying digital-to-analog converter with pre-sampling and associated pipelined analog-to-digital converter | -- |
11159175 | Non-uniform iteration-dependent min-sum scaling factors for improved performance of spatially-coupled LDPC codes | INTEL CORPORATION |
11159176 | Data-assisted LDPC decoding | WESTERN DIGITAL TECHNOLOGIES, INC. |
11159177 | Bit interleaver for low-density parity check codeword having length of 64800 and code rate of 4/15 and 4096-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11159178 | Method and apparatus for quasi-cyclic low-density parity-check | ZTE CORPORATION |
11159179 | Enhanced information sequences for polar codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11159180 | Method and apparatus for constructing a polar code | BEIJING UNIVERSITY OF POSTS AND TELECOMMUNICATIONS |
11159181 | Transmission method and reception device | SONY CORPORATION |
11159182 | Systems and methods for decoding error correcting codes with historical decoding information | INNOGRIT TECHNOLOGIES CO., LTD. |
11159183 | Residue checking of entire normalizer output of an extended result | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11159186 | Transmitter with compensation of VCO pulling | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11159188 | Wireless devices and systems including examples of compensating power amplifier noise | MICRON TECHNOLOGY, INC. |
11159189 | Parallel use of serial controls in improved wireless devices and power amplifier modules | SKYWORKS SOLUTIONS, INC. |
11159191 | Wireless amplifier circuitry for carrier aggregation | APPLE INC. |
11159193 | Time division duplexing receiver with constant impedance for a broadband line terminal with asynchronous transmission | MAXLINEAR, INC. |
11159220 | Single input single output (SISO) physical layer key exchange | RAMPART COMMUNICATIONS, INC. |
11159241 | High power handling digitizer using photonics | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11159248 | Optical receiving device | TERADYNE, INC. |
11159262 | Decoder | HERON ELECTRIC COMPANY LIMITED |
11159272 | Transmission device, transmission method, reception device, and reception method | SONY CORPORATION |
11159616 | Email synchronization method and apparatus, and computer readable storage medium | LUNKR TECHNOLOGY (GUANGZHOU) CO., LTD. |
11159883 | Method for adjusting listener location and head orientation within a physical or virtual space | -- |
11159903 | Multimedia apparatus, and method for processing audio signal thereof | LG ELECTRONICS INC. |
11160081 | Apparatus, system and method of encoding a wireless transmission | INTEL CORPORATION |
11160147 | Dimming circuit and lighting device | WENZHOU MTLC ELECTRIC APPLIANCES CO., LTD. |
11160162 | Via-less patterned ground structure common-mode filter | WESTERN DIGITAL TECHNOLOGIES, INC. |
11160311 | Inhalation component generation device, method for controlling inhalation component generation device, and program | JAPAN TOBACCO INC. |
11160988 | Discrete cofired feedthrough filter for medical implanted devices | AVX CORPORATION |
11161608 | Unmanned aerial vehicle and flying control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11162249 | Method for activating a service, method for activating a fire hydrant, associated device and system | CONSOMIX |
11162469 | Circuit and method for controlling a coil current during a soft shut down | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11162839 | Photodetection circuit with extended hold-off time for SPAD quench assistance | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11162971 | Method for detecting acceleration peaks with non-uniform sampling | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11162986 | Frequency synthesizer output cycle counter including ring encoder | TEXAS INSTRUMENTS INCORPORATED |
11163001 | Reduction of skew between positive and negative conductors carrying a differential pair of signals | INTEL CORPORATION |
11163002 | Burn-in resilient integrated circuit for processors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163012 | Energization evaluation test equipment of a PWM converter input filter | TOSHIBA MITSUBISHI—ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11163181 | Method and signal generator for controlling an acousto-optical element, microscope with an arrangement comprising the signal generator and an acousto-optical element | LEICA MICROSYSTEMS CMS GMBH |
11163206 | Active modulator with integrated LNA driver | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11163328 | Clock monitoring circuit | SK HYNIX INC. |
11163382 | Mouse | -- |
11163524 | Adjusting volume levels of speakers | DTS, INC. |
11163634 | H matrix generating circuit, operating method thereof and error correction circuit using H matrix generated by the same | SK HYNIX INC. |
11163639 | Memory system and method for controlling nonvolatile memory | KIOXIA CORPORATION |
11163726 | Context aware delta algorithm for genomic files | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11163930 | Secure logic locking and configuration with camouflaged programmable micro netlists | RAMBUS INC. |
11164068 | Feature recognition with oscillating neural network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164101 | Dispersive-resistive hybrid attenuator for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164102 | External port measurement of qubit port responses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164103 | Quantum logic control pulse determination | RIGETTI & CO, INC. |
11164281 | Machine learning sparse computation mechanism | INTEL CORPORATION |
11164542 | Image processing system for a digital display | -- |
11164551 | Amplifier matching in a digital amplifier modeling system | -- |
11164589 | Periodic-combined-envelope-sequence generating device, encoder, periodic-combined-envelope-sequence generating method, coding method, and recording medium | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11164611 | Level-shifting transparent window sense amplifier | APPLE INC. |
11164616 | Bias generation circuitry | ARM LIMITED |
11164624 | SRAM and periphery specialized device sensors | SYNOPSYS, INC. |
11164652 | Two-layer code with low parity cost for memory sub-systems | MICRON TECHNOLOGY, INC. |
11164740 | Semiconductor structure having porous semiconductor layer for RF devices | NEWPORT FAB, LLC |
11164828 | Amplifier | MITSUBISHI ELECTRIC CORPORATION |
11164860 | Electrostatic discharge protection circuit and electronic device thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164963 | Bipolar transistor and radio-frequency power amplifier module | MURATA MANUFACTURING CO., LTD. |
11165128 | High-frequency device | TDK CORPORATION |
11165131 | Heat structure for thermal mitigation | MOTOROLA MOBILITY LLC |
11165147 | Over-molded thin film antenna device | PCTEL, INC |
11165148 | Matching network system and method combined with circulator | LG ELECTRONICS INC. |
11165242 | Variable soft start device for an electronic fuse | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11165249 | Signal switching apparatus | -- |
11165286 | Adaptive baseline correction for delta amplification | STMICROELECTRONICS ASIA PACIFIC PTE LTD |
11165329 | Control circuit | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11165365 | RF power rectifier circuit | BEKEN CORPORATION |
11165388 | Thermostatic oven type electronic instrument | INTERCHIP CO., LTD. |
11165389 | Wideband voltage-controlled oscillator circuitry | APPLE INC. |
11165390 | Piezoelectric resonator device | DAISHINKU CORPORATION |
11165391 | Magnetic absorbers for passive intermodulation mitigation | 3M INNOVATIVE PROPERTIES COMPANY |
11165392 | Variable power amplifier bias impedance | SKYWORKS SOLUTIONS, INC. |
11165393 | Envelope tracking for Doherty power amplifiers | SKYWORKS SOLUTIONS, INC. |
11165394 | Transimpedance amplifier for converting electrical currents to voltages | NVIDIA CORPORATION |
11165395 | Apparatus and methods for overload protection of radio frequency amplifiers | SKYWORKS SOLUTIONS, INC. |
11165396 | Amplifier arrangement and sensor arrangement with such amplifier arrangement | AMS INTERNATIONAL AG |
11165397 | Apparatus and methods for true power detection | SKYWORKS SOLUTIONS, INC. |
11165398 | Chopper-stabilized programmable gain amplifier | TEXAS INSTRUMENTS INCORPORATED |
11165399 | Compensation for ambient sound signals to facilitate adjustment of an audio volume | JAWBONE INNOVATIONS, LLC |
11165400 | Device and method for conditioning signals | STMICROELECTRONICS SA |
11165401 | Dynamic and self-adjusting microphone gain for voice-enabled devices | HOURGLASS SOFTWARE LLC |
11165402 | LC composite component including a coil and configured to reduce the Q-value of the coil | MURATA MANUFACTURING CO., LTD. |
11165403 | Antenna module using transmission line length and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11165404 | Method for fabricating an acoustic resonator device with perimeter structures | AKOUSTIS, INC. |
11165405 | Diplexer having low band filter and high band filter | DIALOG SEMICONDUCTOR KOREA INC. |
11165406 | Lamb wave element and bulk acoustic wave resonator on common substrate | SKYWORKS SOLUTIONS, INC. |
11165407 | Solidly-mounted transversely-excited film bulk acoustic resonator | RESONANT INC. |
11165408 | Method of manufacturing substrate for acoustic wave device | DISCO CORPORATION |
11165409 | Acoustic wave device, filter, and composite filter device | MURATA MANUFACTURING CO., LTD. |
11165410 | Bulk acoustic wave resonator | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11165411 | Acoustic wave device with transverse spurious mode suppression | SKYWORKS SOLUTIONS, INC. |
11165412 | Zero-output coupled resonator filter and related radio frequency filter circuit | QORVO US, INC. |
11165413 | Coupled resonator structure | QORVO US, INC. |
11165414 | Reconfigurable filter network with shortened settling time | INFINEON TECHNOLOGIES AG |
11165415 | Relaxation oscillator and method | SAMSUNG ELECTRONICS CO., LTD. |
11165416 | Duty cycle and skew measurement and correction for differential and single-ended clock signals | APPLE INC. |
11165417 | Efficient high-voltage digital I/O protection | INFINEON TECHNOLOGIES AG |
11165418 | Drive circuit, drive method, and semiconductor system | FUJI ELECTRIC CO., LTD. |
11165419 | Failure detection of a semiconductor switch using first and second current detectors | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11165420 | Switching apparatus | GENERAL ELECTRIC TECHNOLOGY GMBH |
11165421 | Driving circuit for switching element and switching circuit | OMRON CORPORATION |
11165422 | Gate driver circuit with reduced power semiconductor conduction loss | -- |
11165423 | Power switch drive circuit and device | SHANGHAI ZHUANXIN CORPORATION MANAGEMENT CONSULTING PARTNERSHIP |
11165424 | Field-effect transistor arrangement and method for setting a drain current of a field-effect transistor | ROBERT BOSCH GMBH |
11165425 | Power supply detection circuit | NEXPERIA B.V. |
11165426 | Level shifter and a method of level shifting a signal | NXP USA, INC. |
11165427 | Configurable linear accelerator frequency control system and method | VAREX IMAGING CORPORATION |
11165428 | Circuits and methods for updating lookup tables | GROQ, INC. |
11165429 | Operating a superconducting channel by electron injection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165430 | Majority logic gate based sequential circuit | KEPLER COMPUTING INC. |
11165431 | Techniques for measuring slew rate in current integrating phase interpolator | ANALOG DEVICES, INC. |
11165432 | Glitch-free digital controlled delay line apparatus and method | MOVELLUS CIRCUITS, INC. |
11165433 | Charge pump, PLL circuit, and oscillator | SEIKO EPSON CORPORATION |
11165434 | Leakage reduction for multi-function configurable circuit | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11165435 | Signal converting apparatus | -- |
11165436 | Modulators | CIRRUS LOGIC, INC. |
11165437 | System and methods for virtualizing delta sigma digitization | CABLE TELEVISION LABORATORIES, INC. |
11165438 | Error-correction encoding method and device, and decoding method and device using channel polarization | NEC CORPORATION |
11165439 | Syndrome-based decoding method and apparatus for block turbo code | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11165440 | Systems and methods for geometric partitioning in object storage | TSINGHUA UNIVERSITY |
11165441 | Transmitting apparatus and interleaving method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11165442 | CRC interleaving pattern for polar codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11165443 | Burst error tolerant decoder and related systems, methods, and devices | MICROCHIP TECHNOLOGY INCORPORATED |
11165444 | Apparatus with a data security mechanism and methods for operating the same | MICRON TECHNOLOGY, INC. |
11165445 | Concatenated polar code with adaptive error detection | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11165446 | Parallel backtracking in Viterbi decoder | NXP B.V. |
11165447 | GCC decoding and polar code successive-cancellation list decoding with decomposition into concatenated inner and outer codes | TSOFUN ALGORITHMS LTD. |
11165448 | Low latency polar coding and decoding by merging of states of the polar code graph | ACCELERCOMM LIMITED |
11165452 | Radio frequency switching circuit with hot-switching immunity | MOTOROLA SOLUTIONS, INC. |
11165515 | Pre-distortion technique for a circuit arrangement with an amplifier | INFINEON TECHNOLOGIES AG |
11165517 | Direct sampling for digital pre-distortion calibration | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11165531 | Layered modulation with multiple coding rates for machine type communication (MTC) transmissions | KYOCERA CORPORATION |
11165533 | Ethernet over a reduced number of twisted pair channels | MARVELL ASIA PTE, LTD. |
11165535 | Polar code encoding method and apparatus in wireless communications | HUAWEI TECHNOLOGIES CO., LTD. |
11165536 | Early termination with distributed CRC polar codes | NOKIA TECHNOLOGIES OY |
11165537 | Method for encoding information bit sequence in communication network | HUAWEI TECHNOLOGIES CO., LTD. |
11165553 | Static clock calibration in physical layer device | CADENCE DESIGN SYSTEMS, INC. |
11165554 | Transmitter test using phase-lock loop | CADENCE DESIGN SYSTEMS, INC. |
11165556 | Data processing method, data transmit end, and data receive end | HUAWEI TECHNOLOGIES CO., LTD. |
11165609 | Signal generation apparatus and method, and system | HUAWEI TECHNOLOGIES CO., LTD. |
11165630 | System and method for resilient wireless packet communications | AVIAT U.S., INC. |
11165790 | Malicious communication log detection device, malicious communication log detection method, and malicious communication log detection program | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11166106 | High output impedance audio amplifier for noise rejection | APPLE INC. |
11166116 | Systems, devices, and methods for converting artwork or photographs into audio-enhanced exhibits | -- |
11166352 | Method for performing a defrosting operation using a defrosting apparatus | NXP USA, INC. |
11166354 | Retrofit remote control devices | LUTRON TECHNOLOGY COMPANY LLC |
11169022 | Photo-detection device and imaging system | CANON KABUSHIKI KAISHA |
11169179 | Current sensing circuit having self calibration | -- |
11169218 | Current monitor with fault detection | ANALOG DEVICES, INC. |
11169224 | Magnetic field detection device and method of detecting magnetic field | TDK CORPORATION |
11169232 | Device and method for electrically linking electronic assemblies by means of symmetrical shielded cables | MAX-PLANCK-GESELISCHAFT ZUR FöRDERUNG DER WISSENSCHAFTEN E.V |
11169248 | Multi-level pulser and related apparatus and methods | BFLY OPERATIONS, INC. |
11169505 | Constant input resistance for redundant input modules employed in high availability systems | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11169562 | Electronic devices for controlling clock generation | SK HYNIX INC. |
11169563 | Semiconductor circuit apparatus and system equipped with semiconductor circuit apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11169564 | Timing circuit and timing method | NANJING SILERGY MICRO TECHNOLOGY CO., LTD. |
11169649 | Touch panel, electronic device including same, and method for manufacturing touch panel | SAMSUNG DISPLAY CO., LTD. |
11169767 | Automatically generated media preview | SPOTIFY AB |
11169775 | Processing with compact arithmetic processing element | SINGULAR COMPUTING LLC |
11169778 | Converting floating point numbers to reduce the precision | GRAPHCORE LIMITED |
11169779 | Full adder cell with improved power efficiency | NVIDIA CORP. |
11169872 | Circuit device, electronic apparatus, and error detection method | SEIKO EPSON CORPORATION |
11169873 | Method and system for extending lifespan and enhancing throughput in a high-density solid state drive | ALIBABA GROUP HOLDING LIMITED |
11169874 | Memory system including field programmable gate array (FPGA) and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
11169878 | Non-volatile memory accessing method using data protection with aid of look-ahead processing, and associated apparatus | -- |
11169881 | System and method for facilitating reduction of complexity and data movement in erasure coding merging on journal and data storage drive | ALIBABA GROUP HOLDING LIMITED |
11170317 | Procedure for systematic tune up of crosstalk in a cross-resonance gate and system performing the procedure and using results of the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170698 | Active discharge circuitry for display matrix | PLANAR SYSTEMS, INC. |
11170794 | Apparatus and method for determining a predetermined characteristic related to a spectral enhancement processing of an audio signal | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11170795 | Concept for combined dynamic range compression and guided clipping prevention for audio devices | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11170829 | Semiconductor device performing duty ratio adjustment operation | SK HYNIX INC. |
11170838 | Temperature effect compensation in memory arrays | MENTIUM TECHNOLOGIES INC. |
11170839 | Programming non-volatile memory arrays with automatic programming pulse amplitude adjustment using current-limiting circuits | MENTIUM TECHNOLOGIES INC. |
11170848 | Determining soft data | MICRON TECHNOLOGY, INC. |
11170864 | Methods and apparatus to improve performance while reading a one-time-programmable memory | TEXAS INSTRUMENTS INCORPORATED |
11170869 | Dual data protection in storage devices | WESTERN DIGITAL TECHNOLOGIES, INC. |
11170871 | Semiconductor apparatus for compensating for degradation and semiconductor system using the same | SK HYNIX INC. |
11171096 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11171126 | Configurable substrate and systems | OCTAVO SYSTEMS LLC |
11171407 | Electronic device, and method for controlling amplifier on basis of state of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11171415 | Method and apparatus for measuring phase of transmission line connecting between RF chips | SAMSUNG ELECTRONICS CO., LTD. |
11171427 | Front-end chip for dual-pole antenna array | INTEGRATED DEVICE TECHNOLOGY, INC. |
11171560 | Switching regulator having low start-up voltage and switch control circuit thereof | -- |
11171563 | Phase regulation in a peak current mode power converter | APPLE INC. |
11171565 | Switched-mode power converter | STMICROELECTRONICS (GRENOBLE 2) SAS |
11171567 | Power supply device for eliminating ringing effect | -- |
11171572 | Microwave-rectifying circuit | LASER SYSTEMS INC. |
11171587 | Current sensing and regulation for stepper motor driver | TEXAS INSTRUMENTS INCORPORATED |
11171600 | Device and method for voltage controlled oscillator comprising distributed active transformer cores | STMICROELECTRONICS S.R.L. |
11171601 | Voltage-controlled oscillator (VCO) with LC circuit and series resistors | TEXAS INSTRUMENTS INCORPORATED |
11171602 | RC oscillating circuit | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11171603 | Voltage tolerant oscillator with enhanced RF immunity performance | TEXAS INSTRUMENTS INCORPORATED |
11171604 | Active shunt capacitance cancelling oscillator for resonators | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11171605 | Spin torque oscillator with an antiferromagnetically coupled assist layer and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11171606 | Radio frequency oscillator | THALES |
11171607 | Source injection mixer | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11171608 | Mixing circuit | -- |
11171609 | Systems and methods for detecting local oscillator leakage and image tone in I/Q mixer based transceivers | SAMSUNG ELECTRONICS CO., LTD. |
11171610 | Transformer-based doherty power amplifier | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11171612 | Gain modulation circuit | -- |
11171613 | Methods and apparatus for using signal pre-distortion with individual power amplifier (PA) control | MIXCOMM, INC. |
11171614 | Multi-band digital compensator for a non-linear system | NANOSEMI, INC. |
11171615 | Power limiter configuration for audio signals | BLAMP SYSTEMS, LLC |
11171616 | Multi-branch outphasing system and method | TEXAS INSTRUMENTS INCORPORATED |
11171617 | Power amplifying device and audio equipment | KABUSHIKI KAISHA TOSHIBA |
11171618 | CMOS trans-impedance amplifier | AMPLIPHY TECHNOLOGIES LIMITED |
11171619 | Transconductance boosted cascode compensation for amplifier | STMICROELECTRONICS INTERNATIONAL N.V. |
11171620 | Interface circuit and corresponding method | STMICROELECTRONICS S.R.L. |
11171621 | Personalized equalization of audio output based on ambient noise detection | FACEBOOK TECHNOLOGIES, LLC |
11171622 | Balanced filter | MURATA MANUFACTURING CO., LTD. |
11171623 | Transmission-line-based signal distribution and aggregation | VAYYAR IMAGING LTD. |
11171624 | Voltage sensor device based on a tunable bulk acoustic wave (BAW) resonator | TEXAS INSTRUMENTS INCORPORATED |
11171625 | Increasing yield and operating temperature range of transmitters | VERILY LIFE SCIENCES LLC |
11171627 | Wave apodization for guided SAW resonators | QORVO US, INC. |
11171628 | Acoustic resonator and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11171629 | Transversely-excited film bulk acoustic resonator using pre-formed cavities | RESONANT INC. |
11171630 | Ladder filter, duplexer, and elastic wave filter device | MURATA MANUFACTURING CO., LTD. |
11171631 | Programmable voltage variable attenuator | PSEMI CORPORATION |
11171632 | PWM signal generator circuit and related integrated circuit | STMICROELECTRONICS S.R.L. |
11171633 | Circuit for generating protection signal and protection apparatus | HKC CORPORATION LIMITED |
11171634 | Buffer circuit between different voltage domains | -- |
11171635 | Circuits and methods of operating the circuits | SK HYNIX INC. |
11171636 | Methods and apparatus for phase imbalance correction | TEXAS INSTRUMENTS INCORPORATED |
11171637 | Semiconductor devices | SK HYNIX INC. |
11171638 | Electronic apparatus | DENSO CORPORATION |
11171639 | Overvoltage protection | INFINEON TECHNOLOGIES AG |
11171640 | Temperature-sensitive transistor gate driver | TEXAS INSTRUMENTS INCORPORATED |
11171641 | Compensation for air gap changes and temperature changes in a resonant phase detector | CIRRUS LOGIC, INC. |
11171642 | Driver circuit for controlling P-channel MOSFET, and control device comprising same | LG CHEM, LTD. |
11171643 | SiC gate drive control with trench FETs from high dV\dT at drain source | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11171644 | Power-on-reset circuit and corresponding electronic device | STMICROELECTRONICS S.R.L. |
11171645 | Transistor switching circuit and integrated circuit thereof | GEO MICRO DEVICES (XIAMEN) CO., LTD |
11171646 | Turn-off detection circuits for anti-series switches | INFINEON TECHNOLOGIES AG |
11171647 | Integrated electronic circuit | INFINEON TECHNOLOGIES AG |
11171648 | Drive circuit and drive method of normally-on transistor | KABUSHIKI KAISHA TOSHIBA |
11171649 | Static and intermittent dynamic multi-bias core for dual pad voltage level shifter | QUALCOMM INCORPORATED |
11171650 | Reversible logic circuit and operation method thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11171651 | Mixed signal computer | OCTAVO SYSTEMS LLC |
11171652 | Method and apparatus for implementing configurable streaming networks | ALTERA CORPORATION |
11171653 | Method for programming a field programmable gate array and network configuration | UNIFY PATENTE GMBH & CO. KG |
11171654 | Delay locked loop with segmented delay circuit | QUALCOMM INCORPORATED |
11171655 | Multi-chip synchronization with applications in multiple-input multiple-output (MIMO) radar systems | INTEL CORPORATION |
11171656 | Dividerless PLL with sampled lowpass filter structure | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11171657 | Phase and amplitude controlled oscillation device | MITSUBISHI ELECTRIC CORPORATION |
11171658 | Semiconductor integrated circuit, electronic device, and method of detecting frequency | KIOXIA CORPORATION |
11171659 | Techniques for reliable clock speed change and associated circuits and methods | MICRON TECHNOLOGY, INC. |
11171660 | Phase detection circuit, and clock generation circuit and semiconductor apparatus including the phase detection circuit | SK HYNIX INC. |
11171661 | Digital-to-analog converter and generation of high-bandwidth analog signals | CIENA CORPORATION |
11171662 | Analog-to-digital conversion circuit with improved linearity | ANALOG DEVICES, INC. |
11171663 | Digital-to-analog converter | INTEL CORPORATION |
11171664 | Digitally enhanced digital-to-analog converter resolution | CIENA CORPORATION |
11171665 | Dictionary-based data compression | NYRIAD LIMITED |
11171666 | Method for efficient erasure coded group management in shared nothing storage clusters | -- |
11171667 | Apparatus and method for decoding of low-density parity check codes in wireless communication system | SAMSUNG ELECTRONICS CO., LTD. |
11171668 | Encoding data with polar codes for control channels | AT&T INTELLECTUAL PROPERTY I, L.P. |
11171669 | Apparatus for transmitting data in interleave division multiple access (IDMA) system | SONY CORPORATION |
11171670 | Parity generation circuits for a plurality of error correction levels, memory controllers, and memory modules including the parity generation circuits | SK HYNIX INC. |
11171671 | Reducing vulnerability window in key value storage server without sacrificing usable capacity | SAMSUNG ELECTRONICS CO., LTD. |
11171672 | Dynamic frozen polar codes | QUALCOMM INCORPORATED |
11171673 | Decoding method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11171678 | Two-port mixers and systems, receivers, and methods using same | UNIVERSITY OF WASHINGTON |
11171683 | Multi-mode configurable transceiver with low voltage switches | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11171736 | Ultra-wide band electromagnetic jamming projector | BATTELLE MEMORIAL INSTITUTE |
11171738 | Enhanced automatic identification system | MYRIOTA PTY LTD |
11171742 | Multi-label offset lifting method | FUTUREWEI TECHNOLOGIES, INC. |
11171770 | Data transmission device | KABUSHIKI KAISHA TOSHIBA |
11171815 | Digital equalizer with overlappable filter taps | CREDO TECHNOLOGY GROUP LIMITED |
11172055 | Network recorders with entropy and value based packet truncation | ENDACE TECHNOLOGY LIMITED |
11172091 | Photoelectric conversion device, line sensor, image reading device and image forming apparatus | RICOH COMPANY, LTD. |
11172149 | Image sensing system using average pixel data and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11172228 | Method for producing video coding and programme-product | SIEMENS AKTIENGESELLSCHAFT |
11172278 | Prenatal sound device | -- |
11172296 | Volume management in a media playback system | SONOS, INC. |
11172300 | Sound producing device | XMEMS LABS, INC. |
11172538 | Reception apparatus and reception method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11175179 | Calibration circuit for use in sensor and related sensor thereof | -- |
11175338 | System and method for compacting test data in many-core processors | ALIBABA GROUP HOLDING LIMITED |
11175633 | System and method for fast-converging digital-to-time converter (DTC) gain calibration for DTC-based analog fractional-N phase lock loop (PLL) | SAMSUNG ELECTRONICS CO., LTD. |
11175654 | Virtual vehicle control system | DOORDASH, INC. |
11175685 | Automatic input/output voltage control | TEXAS INSTRUMENTS INCORPORATED |
11175690 | Low drop real-time-clock battery voltage control circuit for application specific integrated circuit in an engine control module | CUMMINS INC. |
11175691 | Power-optimized ranging sequence by snapshot clock switching | NXP B.V. |
11175745 | System and method for application of piezo electric haptic keyboard personal typing profile | DELL PRODUCTS L.P. |
11175774 | Method for determining a time of contact on a capacitive sensor element | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11175787 | Capacitance sensing and inductance sensing in different modes | CYPRESS SEMICONDUCTOR CORPORATION |
11175985 | Error correction circuit and method for operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11175986 | Storage systems implementing offset erasure code stripes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175987 | Shared blockchain data storage based on error correction code | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11175988 | Memory storage device and data access method | -- |
11175989 | Pooling blocks for erasure coding write groups | NETAPP, INC. |
11176030 | Conducting automated software testing using centralized controller and distributed test host servers | BANK OF AMERICA CORPORATION |
11176288 | Separation plane compression | MICROSOFT TECHNOLOGY LICENSING, LLC |
11176448 | Enhancing processing performance of a DNN module by bandwidth control of fabric interface | MICROSOFT TECHNOLOGY LICENSING, LLC |
11176450 | Three dimensional circuit implementing machine trained network | XCELSIS CORPORATION |
11176557 | Neural network host platform for generating automated suspicious activity reports using machine learning | BANK OF AMERICA CORPORATION |
11176888 | Auto-zero applied buffer for display circuitry | APPLE INC. |
11176908 | Method for reducing a size of data required for recording a physical characteristic of an optical device | -- |
11176953 | Efficient storage of multiple structured codebooks | NOKIA TECHNOLOGIES OY |
11176958 | Loudness enhancement based on multiband range compression | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11177008 | Semiconductor storage device and memory system in which control circuit of the semiconductor storage device executes calibration operation according to timing at which data is read from another semiconductor storage device | KIOXIA CORPORATION |
11177011 | Bit data shifter | -- |
11177012 | Fast copy through controller | WESTERN DIGITAL TECHNOLOGIES, INC. |
11177013 | Determine signal and noise characteristics centered at an optimized read voltage | MICRON TECHNOLOGY, INC. |
11177015 | Built-in self-testing and failure correction circuitry | NXP USA, INC. |
11177064 | Advanced 3D inductor structures with confined magnetic field | QORVO US, INC. |
11177092 | Keyboard device and key structure thereof | -- |
11177141 | Method for packaging a chip | JWL (ZHEJIANG) SEMICONDUCTOR CO., LTD |
11177410 | Electrically modulated IR sensitive photodiode and its integration in CMOS | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11177429 | Vibrator element, vibrator, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11177433 | Spin-transfer torque device | IMEC VZW |
11177619 | Techniques for high-speed communications through slip rings using modulation and multipath signaling | RAYTHEON COMPANY |
11177642 | Low cost high frequency sensor for arc-fault detection | SCHNEIDER ELECTRIC USA, INC. |
11177644 | Smart electronic switch | INFINEON TECHNOLOGIES AG |
11177650 | Overvoltage protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11177652 | Circuit assembly for protecting a unit to be operated from a supply network against surges | DEHN SE + CO. KG |
11177730 | On-time compensation in a power converter | INFINEON TECHNOLOGIES AUSTRIA AG |
11177738 | Digital on-time generation for buck converter | TEXAS INSTRUMENTS INCORPORATED |
11177771 | Multi-core mixers with local oscillator leakage compensation | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11177772 | Power control circuit and power amplification circuit | SMARTER MICROELECTRONICS (GUANG ZHOU) CO., LTD. |
11177773 | Transimpedance amplifiers | SEMTECH CORPORATION |
11177774 | Amplifier device | -- |
11177775 | Detection circuit and method for amplifying a photosensor output current | APPLIED MATERIALS ISRAEL LTD. |
11177776 | Amplifying device with bias timing control circuit based on duty cycle | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11177777 | Temperature detection circuit, power amplification circuit, and electronic device | MURATA MANUFACTURING CO., LTD. |
11177778 | Digital predistortion calibration | TEXAS INSTRUMENTS INCORPORATED |
11177779 | Charge amplifier circuit with a high output dynamic range for a microelectromechanical sensor | STMICROELECTRONICS S.R.L. |
11177780 | Front-end circuit and communication device | MURATA MANUFACTURING CO., LTD. |
11177781 | Radio frequency power amplifier based on current detection feedback, chip and communication terminal | VANCHIP (TIANJIN) TECHNOLOGY CO., LTD. |
11177782 | Low cost wideband tunable LNA | PSEMI CORPORATION |
11177783 | Power amplifier | MURATA MANUFACTURING CO., LTD. |
11177784 | Method and apparatus for compensating power amplifier performance | KMW INC. |
11177785 | Pulse width modulated amplifier | TEXAS INSTRUMENTS INCORPORATED |
11177786 | Field effect transistor circuits | -- |
11177787 | Lamb wave resonator and other type of acoustic wave resonator included in one or more filters | SKYWORKS SOLUTIONS, INC. |
11177788 | Acoustic wave device, radio frequency front-end module, and communication device | MURATA MANUFACTURING CO., LTD. |
11177789 | Acoustic wave device and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11177790 | Acoustic wave device, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11177791 | High quality factor transducers for surface acoustic wave devices | QORVO US, INC. |
11177792 | Power supply semiconductor integrated memory control circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177793 | Clock synthesis circuitry and associated techniques for generating clock signals refreshing display screen content | PLANAR SYSTEMS, INC. |
11177794 | Oscillator device | ROBERT BOSCH GMBH |
11177795 | Master latch design for single event upset flip-flop | XILINX, INC. |
11177796 | Sense amplifier flip-flop | CISCO TECHNOLOGY, INC. |
11177797 | Measuring device and measuring method | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11177798 | Control method and semiconductor integrated circuit | KABUSHIKI KAISHA TOSHIBA |
11177799 | Debounce circuit with noise immunity and glitch event tracking | STMICROELECTRONICS INTERNATIONAL N.V. |
11177800 | Power transmission device and contactless power transmission device | SHARP KABUSHIKI KAISHA |
11177801 | Leakage current reduction type radio frequency switch device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11177802 | Amplitude-phase canceling circuit for switch linearity | SKYWORKS SOLUTIONS, INC. |
11177803 | Threshold tracking power-on-reset circuit | TEXAS INSTRUMENTS INCORPORATED |
11177804 | Wearable touch sensitive garment | SANKO TEKSTIL ISLETMELERI SAN. VE TIC. A.S. |
11177805 | Reducing glitch power in digital circuits | QUALCOMM INCORPORATED |
11177806 | Techniques for reducing the effects of aging in logic circuits | INTEL CORPORATION |
11177807 | Circuit, method for sizing an aspect ratio of transistors of a circuit, and circuit arrangement | ZERO-ERROR SYSTEMS PTE LTD |
11177808 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11177809 | Ripple count circuit | INTEVA PRODUCTS FRANCE SAS |
11177810 | All-digital phase locked loop using switched capacitor voltage doubler | -- |
11177811 | Clock synthesis for frequency scaling in programmable logic designs | INTEL CORPORATION |
11177812 | Clock and data recovery circuit and frequency maintaining method | -- |
11177813 | Phase-locked loop circuit, control method thereof and electronic device | COMNAV TECHNOLOGY LTD. |
11177814 | Delay locked loop circuit and semiconductor memory device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11177815 | Timing alignment systems with gap detection and compensation | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11177816 | Fast locking sequence for phase-locked loops | ANALOG DEVICES, INC. |
11177817 | Random number generating device and operating method of the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11177818 | Non-quadrature local oscillator mixing and multi-decade coverage | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11177819 | Power and area efficient digital-to-time converter with improved stability | QUALCOMM INCORPORATED |
11177820 | n-Bit successive approximation register analog-to-digital converter and method for calibrating the same, receiver, base station and mobile device | INTEL CORPORATION |
11177821 | Analog-to-digital converter with auto-zeroing residue amplification circuit | ANALOG DEVICES, INC. |
11177822 | System and method for background calibration of time interleaved ADC | VERVESEMI MICROELECTRONICS PRIVATE LIMITED |
11177823 | Data compression by local entropy encoding | GOOGLE LLC |
11177824 | Dictionary embedded expansion procedure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177825 | Device and method for compacting compressed and uncompressed data blocks | HUAWEI TECHNOLOGIES CO., LTD. |
11177826 | Methods and apparatus for unified significance map coding | INTERDIGITAL MADISON PATENT HOLDINGS, SAS |
11177827 | Encoder signal sampling method and device | QKM TECHNOLOGY (DONG GUAN) CO., LTD |
11177828 | Data collection apparatus, method, and program | MITSUBISHI ELECTRIC CORPORATION |
11177829 | Bit interleaver for low-density parity check codeword having length of 16200 and code rate of 3/15 and 64-symbol mapping, and bit interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11177830 | Method and apparatus for data decoding in communication or broadcasting system | SAMSUNG ELECTRONICS CO., LTD. |
11177831 | LLR estimation for soft decoding | KABUSHIKI KAISHA TOSHIBA |
11177832 | Data processing apparatus and data processing method | SATURN LICENSING LLC |
11177833 | Method and apparatus for encoding and decoding low density parity check codes | SAMSUNG ELECTRONICS CO., LTD. |
11177834 | Communication method and apparatus using polar codes | NEC CORPORATION |
11177835 | Data storage device | SK HYNIX INC. |
11177837 | Duplexer with impedance inverters | APPLE INC. |
11177846 | Radio frequency circuits and methods of processing radio frequency signals | BAE SYSTEMS PLC |
11177848 | Signal detection based on Gibbs phenomenon | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11177850 | Radio-frequency module | MURATA MANUFACTURING CO., LTD. |
11177858 | Electronic device | -- |
11177868 | Front end module for 6.5 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
11177874 | Service link antenna configuration and beam forming control in HAPS | HAPSMOBILE INC. |
11177906 | Coder and decoder using a quasi-cyclic semi-regular LDPC code of short length for low consumption applications such as remote reading | SUEZ GROUPE |
11177932 | System for generating multi phase clocks across wide frequency band using tunable passive polyphase filters | -- |
11177984 | CMOS analog circuits having a triode-based active load | XILINX, INC. |
11177985 | Signal output circuit, transmission circuit and integrated circuit | SOCIONEXT INC. |
11177987 | Resolver signal processing | INFINEON TECHNOLOGIES AG |
11177988 | Receiver circuits with blocker attenuating mixer | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11177989 | Methods and apparatus for signal demodulation | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11177994 | Polar phase or frequency modulation circuit and method | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11178212 | Compressing and transmitting structured information | FACEBOOK, INC. |
11178226 | Integrated erasure coding for data storage and transmission | WESTERN DIGITAL TECHNOLOGIES, INC. |
11178419 | Picture prediction method and related apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11178429 | Method for producing video coding and programme-product | SIEMENS AKTIENGESELLSCHAFT |
11178753 | Switch device | SANYO ELECTRIC CO., LTD. |
11178771 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11178786 | Method for manufacturing hermetic sealing lid member | HITACHI METALS, LTD. |
11179070 | Glucose measurement device and method | UNIST (ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) |
11179188 | Surgical device with functional device | OLYMPUS WINTER & IBE GMBH |
11179747 | Non-planar and non-symmetrical piezoelectric crystals and reflectors | FLODESIGN SONICS, INC. |
11180030 | Switching device for switching drive elements in a motor vehicle | KOSTAL AUTOMOBIL ELEKTRIK GMBH & CO. KG |
11180364 | Covering for a component and method for producing a covering for a component | SNAPTRACK, INC. |
11180873 | Items with wire actuators | APPLE INC. |
11181317 | Touch sensing apparatus for metal panel including display window with through-holes and touch part, home appliance having metal panel and touch sensing apparatus, and method for controlling the same | LG ELECTRONICS INC. |
11181418 | Avalanche diode arrangement and method for controlling an avalanche diode arrangement | AMS AG |
11181504 | Measurement apparatus | ADVANTEST CORPORATION |
11181562 | Zero-crossing detection circuit | ROHM CO., LTD. |
11181577 | Quantitative skew sensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11181592 | Radio-frequency power converter and radio-frequency transmission system for magnetic resonance imaging | GE PRECISION HEALTHCARE LLC |
11181616 | Synihesizer for radar sensing | HONEYWELL INTERNATIONAL INC. |
11181939 | Multi-mode oscillation circuitry with stepping control | QUALCOMM INCORPORATED |
11181940 | Device for detecting a fault in circuit propagating a clock signal, and corresponding method | STMICROELECTRONICS (GRENOBLE 2) SAS |
11181957 | Reset protection scheme for functional safety applications | ARM LIMITED |
11181981 | Method and apparatus for entraining signals | INTERCHANGE LABORATORIES, INC. |
11182009 | Touch sensor assembly and method of manufacturing same | LG ELECTRONICS INC. |
11182082 | Monitoring and sharing registry states | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182096 | Data storage system with configurable durability | AMAZON TECHNOLOGIES, INC. |
11182110 | On-chip memory block circuit | XILINX, INC. |
11182199 | Signal processor and signal processing method | YAMAHA CORPORATION |
11182240 | Techniques to improve error correction using an XOR rebuild scheme of multiple codewords and prevent miscorrection from read reference voltage shifts | INTEL CORPORATION |
11182243 | Memory system with adaptive information propagation and method of operating such memory | SK HYNIX INC. |
11182247 | Encoding and storage node repairing method for minimum storage regenerating codes for distributed storage systems | CLOUD STORAGE, INC. |
11182249 | Block ID encoding in an erasure coded storage system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182326 | Input/output apparatus and methods for monitoring and/or controlling dynamic environments | OPTEON CORPORATION |
11182385 | Sorting data for storage in a computing entity | OCIENT INC. |
11182522 | Hierarchical cascading in FEM simulations of SAW devices | RESONANT, INC. |
11182666 | Artificial neural network architecture based on lookup table | AMAZON TECHNOLOGIES, INC. |
11182667 | Minimizing memory reads and increasing performance by leveraging aligned blob data in a processing unit of a neural network environment | MICROSOFT TECHNOLOGY LICENSING, LLC |
11182670 | Thin-film large-area classifier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11182690 | High fidelity waveform generator for qubit controller | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183224 | Method and an apparatus for reducing the effect of local process variations of a digital circuit on a hardware performance monitor | RACYICS GMBH |
11183226 | Data and clock synchronization and variation compensation apparatus and method | INTEL CORPORATION |
11183237 | Timing control of voltage supply during polarity transition | MICRON TECHNOLOGY, INC. |
11183243 | Semiconductor storage device and memory system | KIOXIA CORPORATION |
11183346 | Input device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11183468 | Chip protected against back-face attacks | STMICROELECTRONICS (CROLLES 2) SAS |
11183494 | Semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11183835 | Short circuit detection and protection for a gate driver circuit and methods of detecting the same using logic analysis | INFINEON TECHNOLOGIES AUSTRIA AG |
11183858 | Rechargeable battery protection circuit, rechargeable battery protection device, battery pack, and method of controlling rechargeable battery protection circuit | MITSUMI ELECTRIC CO., LTD. |
11183924 | Voltage multiplier circuit with a common bulk and configured for positive and negative voltage generation | STMICROELECTRONICS INTERNATIONAL N.V. |
11183931 | Power converter including a feedback control system | MITSUBISHI ELECTRIC CORPORATION |
11183936 | Semiconductor chip power supply system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11183944 | Control apparatus for power converter | DENSO CORPORATION |
11183945 | Semiconductor device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11183947 | Modular power supply system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11183961 | Arithmetic circuit, control circuit, and display orientation detecting system | NIDEC CORPORATION |
11183971 | Method for startup of crystal oscillator with aid of external clock injection, associated crystal oscillator and monitoring circuit therein | -- |
11183972 | Method for adjusting output of amplifier by using sensing circuit configured to sense power supplied to amplifier and electronic device therefor | SAMSUNG ELECTRONICS CO., LTD. |
11183973 | Method and circuit for power consumption reduction in active phase shifters | SAMSUNG ELECTRONICS CO., LTD. |
11183974 | Logarithmic detector amplifier system in open-loop configuration for use as high sensitivity selective receiver without frequency conversion | -- |
11183975 | Window based supply voltage conditioning circuit for noise filtering | TEXAS INSTRUMENTS INCORPORATED |
11183976 | High voltage output stage | TEXAS INSTRUMENTS INCORPORATED |
11183977 | Recovery control for power converter | TEXAS INSTRUMENTS INCORPORATED |
11183978 | Low-noise amplifier with quantized conduction channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183979 | Gain-control stage for a variable gain amplifier | IHP GMBH—INNOVATIONS FOR HIGH PERFORMANCE MICROELECTRONICS/LEIBNIZ-INSTITUT FÜR INNOVATIVE MIKROELEKTRONIK |
11183980 | Power amplifier having a spread of graded outputs | MICRON TECHNOLOGY, INC. |
11183981 | Method of monitoring electrical loads, corresponding circuit, amplifier and audio system | STMICROELECTRONICS S.R.L. |
11183982 | Voltage sampler driver with enhanced high-frequency gain | KANDOU LABS, S.A. |
11183983 | Programmable continuous time linear equalizer having stabilized high-frequency peaking for controlling operating current of a slicer | KANDOU LABS, S.A. |
11183984 | Variable-phase amplifier circuits and devices | SKYWORKS SOLUTIONS, INC. |
11183985 | Inductive-capacitive filters and associated systems and methods | WJLP COMPANY INC. |
11183986 | Resonator element, resonator device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11183987 | Acoustic resonator device | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11183988 | Acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11183989 | Electrical circuits for leakage reduction units | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183990 | Dead time generator and digital signal processing device | SHARP KABUSHIKI KAISHA |
11183991 | Dynamic connection node based computing | -- |
11183992 | Analog input buffer | XILINX, INC. |
11183993 | Apparatus for generating a plurality of phase-shifted clock signals, electronic system, base station and mobile device | INTEL CORPORATION |
11183994 | Delay circuit and method for use in reducing relay switching | -- |
11183995 | High-resolution digitally controlled delay line | RAMBUS INC. |
11183996 | Switch control systems for light emitting diodes and methods thereof | ON-BRIGHT ELECTRONICS (SHANGHAI) CO., LTD. |
11183997 | Comparator providing offset calibration and integrated circuit including comparator | SAMSUNG ELECTRONICS CO., LTD. |
11183998 | Correlated electron switch | CERFE LABS, INC. |
11183999 | Control circuit and semiconductor device | FUJI ELECTRIC CO., LTD. |
11184000 | Adaptive voltage clamps and related methods | TEXAS INSTRUMENTS INCORPORATED |
11184001 | Power switching devices with high dV/dt capability and methods of making such devices | CREE, INC. |
11184002 | Switch device for switching an analog electrical input signal | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11184003 | Silicon carbide power device, driving circuit and control method | SHANGHAI HESTIA POWER INC. |
11184004 | Semiconductor integrated circuit device | DENSO CORPORATION |
11184005 | Semiconductor field programmable device | -- |
11184006 | Techniques for manipulation of two-qubit quantum states and related systems and methods | YALE UNIVERSITY |
11184007 | Cycle borrowing counter | WESTERN DIGITAL TECHNOLOGIES, INC. |
11184008 | Data recovery technique for time interleaved receiver in presence of transmitter pulse width distortion | NVIDIA CORP. |
11184009 | Delay estimation device and delay estimation method | -- |
11184010 | Receiving end of electronic device and method of setting phase threshold of timing recovery operation | -- |
11184011 | Clock detection and automatic PLL output bypass switching for an audio processor | KNOWLES ELECTRONICS, LLC |
11184012 | Detecting power supply noise events and initiating corrective action | APPLE INC. |
11184013 | Digital phase-locked loop with a dynamic element matching circuit and a digitally controlled oscillator | INFINEON TECHNOLOGIES AG |
11184014 | Voltage-controlled oscillator and phase-locked loop | -- |
11184015 | Reference signals generated using internal loads | TEXAS INSTRUMENTS INCORPORATED |
11184016 | Multiple-moduli ring-oscillator-based frequency divider | SIERRA MADRE |
11184017 | Method and circuit for noise shaping SAR analog-to-digital converter | -- |
11184018 | Mismatch and timing correction technique for mixing-mode digital-to-analog converter (DAC) | QUALCOMM INCORPORATED |
11184019 | Analog-to-digital converter with split-gate laddered-inverter quantizer | INVENSENSE, INC. |
11184020 | Information representation method, multi-value calculation circuit and electronic system | BOE TECHNOLOGY GROUP CO., LTD. |
11184021 | Using predicates in conditional transcoder for column store | SAMSUNG ELECTRONICS CO., LTD. |
11184022 | Approximation of samples of a digital signal reducing a number of significant bits | ACOUSTICAL BEAUTY |
11184023 | Hardware friendly data compression | INNOGRIT TECHNOLOGIES CO., LTD. |
11184024 | Error mitigation scheme for bit-flipping decoders for irregular low-density parity-check codes | SK HYNIX INC. |
11184025 | LDPC decoding method and LDPC decoding apparatus | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11184026 | Super-HPC error correction code | SAMSUNG ELECTRONICS CO., LTD. |
11184027 | Encoding method and encoder | NTT DOCOMO, INC. |
11184028 | Coding method, decoding method, apparatus, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11184029 | Low power ECC for eUFS | SAMSUNG ELECTRONICS CO., LTD. |
11184030 | Storage controller for correcting error, storage device including the same, and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11184031 | Transmission apparatus, reception apparatus, transmission method, and reception method | PANASONIC INTELLECTUAL PROPERTY CORPORATION OF AMERICA |
11184032 | Transmitting apparatus and signal processing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11184033 | Data storage device | SK HYNIX INC. |
11184034 | Method and device for decoding staircase code, and storage medium | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11184035 | Soft-input soft-output decoding of block codes | CISCO TECHNOLOGY, INC. |
11184036 | Methods and devices for puncturing a polar code | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11184061 | Apparatus, system and method of communicating a physical layer protocol data unit (PPDU) | INTEL CORPORATION |
11184079 | Front end module for 5.5 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
11184108 | Method and apparatus for transmitting and receiving signal using polar code in communication system | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11184109 | Parallel turbo decoding with non-uniform window sizes | ACCELERCOMM LIMITED |
11184111 | Method, system, and product to provide an improved approach to perform polar encoding | CADENCE DESIGN SYSTEMS, INC. |
11184117 | Encoding system for incremental redundancy for hybrid ARQ for wireless networks | NOKIA TECHNOLOGIES OY |
11184146 | Reception device | ROHM CO., LTD. |
11184152 | Electronic device for performing code-based encryption supporting integrity verification of a message and operating method thereof | SEOUL |
11184198 | Serial link receiver with improved bandwidth and accurate eye monitor | RAMBUS INC. |
11184203 | Systems and methods for identifying polarization/quadrature channels in dual-polarization coherent optical transmission | MAXIM INTEGRATED PRODUCTS, INC. |
11184204 | Pre-distortion circuit, apparatus, method and computer program for pre-distorting, transmitter, radio transceiver, mobile transceiver, base station transceiver, communication device, storage | APPLE INC. |
11184206 | System and method for providing filter/mixer structure for OFDM signal separation | SAMSUNG ELECTRONICS CO., LTD. |
11184261 | Techniques to configure physical compute resources for workloads via circuit switching | INTEL CORPORATION |
11184565 | Image pickup apparatus and its control method | CANON KABUSHIKI KAISHA |
11184569 | Image sensor and image capturing apparatus | CANON KABUSHIKI KAISHA |
11184691 | Method by which an electrical raw input signal is reshaped to a formatted electrical input signal according to the input requirements of a signal processing device and a signal formatting circuitry | AMI GLOBAL |
11184705 | Protection of speaker from excess excursion | SYNAPTICS INCORPORATED |
11184706 | Loudspeaker excursion protection | DOLBY LABORATORIES LICENSING CORPORATION |
11184714 | Hearing device comprising a loop gain limiter | OTICON A/S |
11184721 | Playback device control | SONOS, INC. |
11184729 | Synchronization of multiple audio processing chains | KNOWLES ELECTRONICS, LLC |
11184779 | Electronic device for controlling beam width and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11184985 | Method of manufacturing touch structure and touch structure | BOE TECHNOLOGY GROUP CO., LTD. |
11184988 | Pedestal mounted with a blank, crystal unit, and oscillator | NIHON DEMPA KOGYO CO., LTD. |
11187731 | Power glitch signal detection circuit, security chip and electronic apparatus | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11188106 | Low-noise high efficiency bias generation circuits and method | PSEMI CORPORATION |
11188109 | Devices configured to conduct a power gating operation | SK HYNIX INC. |
11188112 | Current mirror arrangements with adjustable offset buffers | ANALOG DEVICES, INC. |
11188117 | Low latency analog adaptive clocking | INTEL CORPORATION |
11188181 | Capacitive sensor filtering apparatus, method, and system | TOUCHSENSOR TECHNOLOGIES, LLC |
11188183 | Combined inductive sensing and capacitive sensing | CYPRESS SEMICONDUCTOR CORPORATION |
11188250 | Two-stage hybrid memory buffer for multiple streams | MICRON TECHNOLOGY, INC. |
11188312 | Hardware-software design flow with high-level synthesis for heterogeneous and programmable devices | XILINX, INC. |
11188417 | Memory system, memory module, and operation method of memory system | SK HYNIX INC. |
11188418 | Shared blockchain data storage based on error correction code | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11188817 | Methods and systems for converting weights of a deep neural network from a first number format to a second number format | IMAGINATION TECHNOLOGIES LIMITED |
11188849 | Removing leakage in a quantum bit | GOOGLE LLC |
11189144 | Smoke alarm system | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11189315 | Audio processing device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189333 | Compensating circuit for compensating clock signal and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11189334 | Apparatuses and methods for a multi-bit duty cycle monitor | MICRON TECHNOLOGY, INC. |
11189339 | Performing in-memory computing based on multiply-accumulate operations using non-volatile memory arrays | -- |
11189345 | Method for implementing logic calculation based on a crossbar array structure of resistive switching device | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11189428 | Systems and methods for calibrating a tunable component | WISPRY, INC. |
11189465 | Adjustable capacitor, impedance matching device and semiconductor processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11189466 | High voltage switching circuit | RENO TECHNOLOGIES, INC. |
11189471 | High frequency generator having dual outputs and its driving method | NEWPOWERPLASMA CO., LTD. |
11189719 | Apparatus and circuits including transistors with different gate stack materials and methods of fabricating the same | -- |
11189900 | Tapered broadband balun | CORNING RESEARCH & DEVELOPMENT CORPORATION |
11189937 | Electronic device for identifying performance of communication circuit based on signal transmitted and received via antenna | SAMSUNG ELECTRONICS CO., LTD. |
11190022 | Controller circuit for photovoltaic sub-module | TEXAS INSTRUMENTS INCORPORATED |
11190033 | Adaptor for a device to bus contact connection | ENERGYSQUARE |
11190133 | Oven-controlled frequency reference oscillator and method of fabricating thereof | KYOCERA TIKITIN OY |
11190134 | Tapped inductor voltage controlled oscillator | FUTUREWEI TECHNOLOGIES, INC. |
11190135 | Low power differential crystal oscillator circuit | QUALCOMM INCORPORATED |
11190136 | Amplification systems and methods with one or more channels | ON-BRIGHT ELECTRONICS (SHANGHAI) CO., LTD. |
11190137 | Amplifier, circuit for trimming a bias voltage, method for amplifying an input signal and method for trimming a bias voltage | ADVANTEST CORPORATION |
11190138 | Power amplifier circuit and power amplifier module | MURATA MANUFACTURING CO., LTD. |
11190139 | Gate drivers for stacked transistor amplifiers | PSEMI CORPORATION |
11190140 | Wide voltage trans-impedance amplifier | SOUTHEAST UNIVERSITY |
11190141 | Valley detection for supply voltage modulation in power amplifier circuits | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11190142 | Signal amplifying circuit device and receiver | HANGZHOU GEO-CHIP TECHNOLOGY CO., LTD. |
11190143 | Broadband, high-efficiency, non-modulating power amplifier architecture | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11190144 | Doherty amplifier with adjustable alpha factor | PSEMI CORPORATION |
11190145 | Power amplifier with integrated bias circuit having multi-point input | NXP USA, INC. |
11190146 | Doherty power amplifier devices having intergrated output combining networks | NXP USA, INC. |
11190147 | Low power, efficient doherty power amplifier | SILICON LABORATORIES INC. |
11190148 | Minimizing idle channel noise in a class-D pulse width modulation amplifier | CIRRUS LOGIC, INC. |
11190149 | Weakly coupled based harmonic rejection filter for feedback linearization power amplifier | QORVO US, INC. |
11190150 | CMOS triple-band RF VGA and power amplifier in linear transmitter | HUWOMOBILITY, INC. |
11190151 | Power amplifier | MURATA MANUFACTURING CO., LTD. |
11190152 | Control circuit for a radio frequency power amplifier | BEREX, INC. |
11190153 | Radio frequency power circuits utilizing coaxial resonators for video bandwidth improvements and circuit size reduction and a process of implementing the same | WOLF SPEED, INC. |
11190154 | Power amplifier circuit | MURATA MANUFACTURING CO., LTD. |
11190155 | Learning auxiliary feature preferences and controlling the auxiliary devices based thereon | TOYOTA MOTOR NORTH AMERICA, INC. |
11190156 | Apparatus including electronic circuit for controlling gain of signal | SAMSUNG ELECTRONICS CO., LTD. |
11190158 | Low frequency shield solutions with sputtered/sprayed absorber materials and/or absorber materials mixed in mold compound | SKYWORKS SOLUTIONS, INC. |
11190159 | Noise filter | TOKIN CORPORATION |
11190160 | Frequency multiplexer | ANHUI ANUKI TECHNOLOGIES CO., LTD. |
11190161 | Filter device | MURATA MANUFACTURING CO., LTD. |
11190162 | Acoustic wave device, high-frequency front-end circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11190163 | Filter device and multiplexer | MURATA MANUFACTURING CO., LTD. |
11190164 | Using acoustic reflector to reduce spurious modes | TEXAS INSTRUMENTS INCORPORATED |
11190165 | Wideband low power active isolator | HUAWEI TECHNOLOGIES CO., LTD. |
11190166 | Signal segmentation and analysis | MURATA VIOS, INC. |
11190167 | Discrete time charge sharing IIR bandpass filter incorporating clock phase reuse | UNIVERSITY COLLEGE DUBLIN |
11190168 | Dual bootstrapping for an open-loop pulse width modulation driver | CIRRUS LOGIC, INC. |
11190169 | Latch circuit, memory device and method | -- |
11190170 | Circuit assembly | PHOENIX CONTACT GMBH & CO. KG |
11190171 | Schmitt trigger voltage comparator | NEXPERIA B.V. |
11190172 | Latch-based level shifter circuit with self-biasing | XILINX, INC. |
11190173 | Area efficient slew-rate controlled driver | QUALCOMM INCORPORATED |
11190174 | Delay interpolator | QUALCOMM INCORPORATED |
11190175 | Comparator diagnostic systems and methods | MAXIM INTEGRATED PRODUCTS, INC. |
11190176 | Method and signal analysis instrument for analyzing a pulse modulated signal | ROHDE & SCHWARZ GMBH & CO. KG |
11190177 | Diode with low threshold voltage and high breakdown voltage | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11190178 | Gate induced drain leakage robust bootstrapped switch | XILINX, INC. |
11190179 | Advanced gate drivers for silicon carbide bipolar junction transistors | TURNTIDE TECHNOLOGIES INC. |
11190181 | Power transistor module and controlling method thereof | -- |
11190182 | Control circuitry for silicon-on-insulator chip | SKYWORKS SOLUTIONS, INC. |
11190183 | High throw-count RF switch | PSEMI CORPORATION |
11190184 | Force sensor, particularly for a touch pad | THE SWATCH GROUP RESEARCH AND DEVELOPMENT LTD |
11190185 | Impedance calibration circuit and semiconductor apparatus including the same | SK HYNIX INC. |
11190186 | Clock gating cell with low power and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11190187 | Temperature instability-aware circuit | -- |
11190188 | Memory interface circuit including output impedance monitor and method of calibrating output impedance thereof | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11190189 | Dual path level shifter to reduce duty cycle distortion | CADENCE DESIGN SYSTEMS, INC. |
11190190 | Power supply circuit | ROHM CO., LTD. |
11190191 | Correction signaling between lanes in multi-chip-modules | MELLANOX TECHNOLOGIES, LTD. |
11190192 | Electronic device with fault and soft error tolerant delay-locked loops | -- |
11190193 | Semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11190194 | Method and apparatus for improved DPLL settling and temperature compensation algorithms using second open loop oscillator tuning field | APPLE INC. |
11190195 | Laser and lamp integrated pulsed optically-pumped physics packages for atomic clocks | THE AEROSPACE CORPORATION |
11190196 | Systems and methods for suppressing even harmonics in a molecular clock | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11190197 | Correlated double sampling analog-to-digital converter | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11190198 | Switched capacitor circuit and capacitive DAC | -- |
11190199 | Asynchronous successive approximation register (SAR) analog-to-digital converter (ADC) timing adjustment based on output statistics | XILINX, INC. |
11190200 | Digital-to-analog converter system | TEXAS INSTRUMENTS INCORPORATED |
11190201 | Analog to digital converter device and capacitor weight calibration method | -- |
11190202 | Analog-to-digital converter | SAMSUNG ELECTRONICS CO., LTD. |
11190203 | ADC reconfiguration for different data rates | ETOPUS TECHNOLOGY INC. |
11190204 | Second-order ΔΣ modulator, radio, and signal processing method performed by second-order ΔΣ modulator | NEC CORPORATION |
11190205 | Single-ended direct interface dual DAC feedback photo-diode sensor | SIGMASENSE, LLC. |
11190206 | Compression and decompression of time series data | EUROPEAN SPACE AGENCY |
11190207 | Recognition of simultaneous key presses in keyboards | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11190208 | Techniques for link partner error reporting | INTEL CORPORATION |
11190209 | Expansion for Blaum-Roth codes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11190210 | Method for encoding based on parity check matrix of LDPC code in wireless communication system and terminal using this | LG ELECTRONICS INC. |
11190211 | Method and device of selecting base graph of low-density parity-check code | DATANG MOBILE COMMUNICATIONS EQUIPMENT CO., LTD. |
11190212 | Dynamic control of quasi-cyclic low-density parity-check bit-flipping decoder | SK HYNIX INC. |
11190213 | Coding method, wireless device, and chip | HUAWEI TECHNOLOGIES CO., LTD. |
11190214 | Construction of a polar code based on a distance criterion and a reliability criterion, in particular of a multi-kernel polar code | HUAWEI TECHNOLOGIES CO., LTD. |
11190215 | Data transmission method, chip, transceiver, and computer readable storage medium | HUAWEI TECHNOLOGIES CO., LTD. |
11190216 | Deinterleaver | ACACIA COMMUNICATIONS, INC. |
11190217 | Data writing method, memory controlling circuit unit and memory storage device | -- |
11190218 | Code rate switching mechanism for data storage system | WESTERN DIGITAL TECHNOLOGIES, INC. |
11190219 | Decoder for irregular error correcting codes | WESTERN DIGITAL TECHNOLOGIES, INC. |
11190220 | Apparatus and method for managing parity check matrix | SK HYNIX INC. |
11190221 | Polar decoder with LLR-domain computation of f-function and g-function | ACCELERCOMM LIMITED |
11190222 | Data slicer and receiver | LAPIS SEMICONDUCTOR CO., LTD. |
11190225 | Transmitter with self-interference calibration ability and transmission method with self-interference calibration ability | -- |
11190278 | Optical reception apparatus and control method | NEC CORPORATION |
11190299 | Ethernet communication apparatus, and method for recovering error of end node and switch in vehicle | HYUNDAI MOTOR COMPANY |
11190385 | Signal phase rotation | QUALCOMM INCORPORATED |
11190787 | Multi-pixel caching scheme for lossless encoding | CITRIX SYSTEMS, INC. |
11190805 | Data processing device and data processing method | RENESAS ELECTRONICS CORPORATION |
11190889 | Semiconductor device and sound output device | LAPIS SEMICONDUCTOR CO., LTD. |
11191065 | Coding technique for multi-stage control information | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11191123 | Method and device for performing uplink transmission | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11191137 | LED driving system with master-slave architecture | MONOLITHIC POWER SYSTEMS, INC. |
11191143 | Driver system | -- |
11191170 | Silicone contact element | -- |
11191469 | Biopotential measurement system and apparatus | ANALOG DEVICES, INC. |
11191527 | Ultrasonic diagnostic apparatus and probe used for the same | HITACHI, LTD. |
11192450 | Motor vehicle operating device | BCS AUTOMOTIVE INTERFACE SOLUTIONS GMBH |
11192523 | Automatic power door opening on sustained presence | FORD GLOBAL TECHNOLOGIES, LLC |
11193797 | Robust, low-cost capacitive measurement system | IEE INTERNATIONAL ELECTRONICS & ENGINEERING S.A. |
11193803 | Measurement system | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11193952 | Circuit for sensing an analog signal, corresponding electronic system and method | STMICROELECTRONICS S.R.L. |
11193957 | Shunt resistor averaging techniques | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11193961 | Current balancing, current sensor, and phase balancing apparatus and method for a voltage regulator | INTEL CORPORATION |
11193968 | Diagnostic system for a vehicle electrical system having first and second voltage regulators | LG CHEM, LTD. |
11193994 | Single-stage amplifier with active feedback compensation | SIEMENS HEALTHCARE GMBH |
11194280 | Interlock circuit | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11194356 | Linear stage efficiency techniques for H-bridge systems | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11194357 | Systems and methods for operating a bias controller for an amplifier circuit | NXP USA, INC. |
11194359 | Clock monitoring circuit and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11194474 | Link-list shortening logic | CHRISTOPHER P. MAIORANA, PC |
11194498 | Inline compression with small-write compression avoidance | EMC IP HOLDING COMPANY LLC |
11194541 | Systems and methods of distributing audio to one or more playback devices | SONOS, INC. |
11194548 | Processing array device that performs one cycle full adder operation and bit line read/write logic features | GSI TECHNOLOGY, INC. |
11194642 | Noise and calibration adaptive compilation of quantum programs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11194654 | Method and apparatus for a volume management system in a non-volatile memory device | MICRON TECHNOLOGY, INC. |
11194658 | Semiconductor device and semiconductor storage device | KIOXIA CORPORATION |
11194751 | Power management of re-driver devices | INTEL CORPORATION |
11194886 | Bit-ordered binary-weighted multiplier-accumulator | APPLIED MATERIALS, INC. |
11194944 | False path timing exception handler circuit | TEXAS INSTRUMENTS INCORPORATED |
11194945 | Clock deadlock detecting system, method, and non-transitory computer readable storage medium | -- |
11195443 | Latch and drive method thereof, source drive circuit and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11195573 | Write operation circuit, semiconductor memory, and write operation method | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11195647 | Tuning systems, devices and methods | WISPRY, INC. |
11195670 | Power contact fault clearing device | ARC SUPPRESSION TECHNOLOGIES |
11195674 | Radiation-hardened break before make circuit | COBHAM COLORADO SPRINGS INC. |
11195697 | Plasma control apparatus | SPP TECHNOLOGIES CO., LTD. |
11195698 | RF impedance matching circuit and systems and methods incorporating same | RENO TECHNOLOGIES, INC. |
11195707 | Time-of-flight mass spectrometry device | SHIMADZU CORPORATION |
11195782 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
11195807 | Semiconductor device, high-frequency power amplifier, and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11196133 | Solid-state relay dedicated recirculation path systems and methods | CPS TECHNOLOGY HOLDINGS LLC |
11196138 | Circulator with integrated directional coupler, and communication systems including the same | NXP USA, INC. |
11196158 | Electric composite detection antenna | -- |
11196159 | Switch linearization by compensation of a field-effect transistor | SKYWORKS SOLUTIONS, INC. |
11196162 | Patch antenna having two different radiation modes with two separate working frequencies, device using such an antenna | SIGFOX |
11196247 | Digital device using three states | -- |
11196281 | Charging current limit circuit | TEXAS INSTRUMENTS INCORPORATED |
11196282 | Emergency lighting assembly having heat conducting member | HUBBELL INCORPORATED |
11196334 | Current sense circuit | ROHM CO., LTD. |
11196335 | Ultra-low-power mode control circuit for power converter | SOUTHEAST UNIVERSITY |
11196336 | Gate drive apparatus and switching apparatus | FUJI ELECTRIC CO., LTD. |
11196338 | Semiconductor topologies and devices for soft starting and active fault protection of power converters | NORTH CAROLINA STATE UNIVERSITY |
11196339 | Charge-pump for a gate driver of a switched DC/DC converter | TEXAS INSTRUMENTS INCORPORATED |
11196340 | Method of modifying a supply voltage to a power amplifier based on estimated number of resource blocks | SKYWORKS SOLUTIONS, INC. |
11196382 | Oscillator with inductor and programmable capacitor bank | INFINEON TECHNOLOGIES AG |
11196383 | Tunable oscillator device | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11196384 | Power amplifier | NEC CORPORATION |
11196385 | System and method of improving blocking immunity of radio frequency transceiver front end | SILICON LABORATORIES INC. |
11196386 | Operation amplification circuit and over-current protection method therefor | CHIPONE TECHNOLOGY (BEIJING) CO., LTD |
11196387 | Amplifier circuit with high-order damping circuit and the high-order damping circuit | -- |
11196388 | Constant gain and self-calibration technique for RF amplifier | TUBISTECHNOLOGY INC. |
11196389 | Variable gain amplifier device | -- |
11196390 | Power amplifier devices containing frontside heat extraction structures and methods for the fabrication thereof | NXP USA, INC. |
11196391 | Temperature compensation circuit and temperature compensated amplifier circuit | NXP USA, INC. |
11196392 | Device and device protection system | QORVO US, INC. |
11196393 | Amplifying apparatus and voltage-to-current conversion apparatus | -- |
11196394 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
11196395 | Optical differential low-noise receivers and related methods | LIGHTMATTER, INC. |
11196396 | Operational amplifier | -- |
11196397 | Current integrator for OLED panel | -- |
11196398 | Combing power amplifiers at millimeter wave frequencies | TEXAS INSTRUMENTS INCORPORATED |
11196399 | Signal processing method, signal processing device and signal processing system | SHANGHAI AWINIC TECHNOLOGY CO., LTD |
11196400 | Method for controlling equalizer based on artificial intelligence | LG ELECTRONICS INC. |
11196401 | Radio frequency (RF) module using a tunable RF filter with non-volatile RF switches | NEWPORT FAB, LLC |
11196402 | Coupled MEMS resonator | KYOCERA TIKITIN OY |
11196403 | Piezoelectric vibrator and piezoelectric vibration device | MURATA MANUFACTURING CO., LTD. |
11196404 | Surface acoustic wave element | KYOCERA CORPORATION |
11196405 | Electronic component and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11196406 | Vibrator device, method of manufacturing vibrator device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11196407 | Resonator and resonant device | MURATA MANUFACTURING CO., LTD. |
11196408 | System and method for mixed transmission of signals and power supply through a single cable | ZHEJIANG DAHUA TECHNOLOGY CO., LTD. |
11196409 | Slope compensation for current mode control modulator | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11196410 | Method of generating precise and PVT-stable time delay or frequency using CMOS circuits | QUALCOMM INCORPORATED |
11196411 | Protection circuit | NXP USA, INC. |
11196412 | Technique to improve bandwidth and high frequency return loss for push-pull buffer architecture | XILINX, INC. |
11196413 | Driving circuit for switching element, and switching circuit | OMRON CORPORATION |
11196414 | Circuit and method for controlling charge injection in radio frequency switches | PSEMI CORPORATION |
11196415 | System for providing bi-directional power flow and power conditioning for low to high-voltage applications | ABB SCHWEIZ AG |
11196416 | Inductive proximity switch | RENESAS ELECTRONICS AMERICA INC. |
11196417 | Motor vehicle control device and method for manufacturing an at least partly electrically conductive control unit for a motor vehicle control device | BCS AUTOMOTIVE INTERFACE SOLUTIONS GMBH |
11196418 | Calibration of transmitter output impedance and receiver termination impedance using a single reference pin | XILINX, INC. |
11196419 | Integrated voltage level shifter device | STMICROELECTRONICS (GRENOBLE 2) SAS |
11196420 | Level shifter | SAMSUNG ELECTRONICS CO., LTD. |
11196421 | Logic circuit and circuit chip | FUJI ELECTRIC CO., LTD. |
11196422 | Multi-mode standard cell logic and self-startup for battery-indifferent or pure energy harvesting systems | NATIONAL UNIVERSITY OF SINGAPORE |
11196423 | Programmable device having hardened circuits for predetermined digital signal processing functionality | XILINX, INC. |
11196424 | Integrated circuit with high-speed clock bypass before reset | TEXAS INSTRUMENTS INCORPORATED |
11196425 | Eye width monitor and related method of detecting eye width | -- |
11196426 | Time-to-digital converter stop time control | TEXAS INSTRUMENTS INCORPORATED |
11196427 | Charge pump circuit, PLL circuit, and oscillator | SEIKO EPSON CORPORATION |
11196428 | Method and apparatus for implementing a quadrature VCO based on standard cells | MELLANOX TECHNOLOGIES, INC. |
11196429 | Locking technique for phase-locked loop | NXP USA, INC. |
11196430 | High-bandwidth phase lock loop circuit with sideband rejection | HONEYWELL INTERNATIONAL INC. |
11196431 | High-speed time division duplexing transceiver for wired communication and method thereof | -- |
11196432 | Analog system and associated methods thereof | -- |
11196433 | Digital-to-analog conversion circuit | SMARTER MICROELECTRONICS (GUANG ZHOU) CO., LTD. |
11196434 | Successive approximation register (SAR) analog-to-digital converter (ADC) with noise-shaping property | QUALCOMM INCORPORATED |
11196435 | Anti-aliasing techniques for time-to-digital converters | APPLE INC. |
11196436 | Hybrid digital-to-analog converter non-linearity calibration | APPLE INC. |
11196437 | System and method for testing an analog-to-digital converter | INFINEON TECHNOLOGIES AG |
11196438 | High resolution analog to digital converter with factoring and background clock calibration | CIENA CORPORATION |
11196439 | Device and method for processing digital signals | HUAWEI TECHNOLOGIES CO., LTD. |
11196440 | Digital to analog converter for fiber optic gyroscope | -- |
11196441 | Sensor device including a capacitive charge output device connected to an A/D converter | MURATA MANUFACTURING CO., LTD. |
11196442 | Radio frequency bandpass delta-sigma analog-to-digital converters and related methods | MEDIATEK SINGAPORE PTE. LTD. |
11196443 | Data compressor, data decompressor, and data compression/decompression system | UNIVERSITY OF TSUKUBA |
11196444 | Methods and apparatus for CRC concatenated polar encoding | QUALCOMM INCORPORATED |
11196445 | Distributed CRC polar codes | NOKIA TECHNOLOGIES OY |
11196446 | Method and apparatus for data processing in a communication system | ALCATEL LUCENT |
11196447 | Computer-implemented method for error-correction-encoding and encrypting of a file | SIEMENS AKTIENGESELLSCHAFT |
11196448 | Transmitter and parity permutation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11196451 | High-frequency module, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11196453 | High-power hybrid SPDT switch | SKYWORKS SOLUTIONS, INC. |
11196454 | Digital transceiver driven by synchronous spread spectrum clock signal for data transmission | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11196480 | High linearity satellite payload using solid state power amplifiers | MAXAR SPACE LLC |
11196511 | Data processing method and related apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11196537 | Wireless communication apparatus and coefficient update method | FUJITSU LIMITED |
11196592 | Equalizer with perturbation effect based adaptation | CREDO TECHNOLOGY GROUP LIMITED |
11196593 | Feedback circuit for a decision feedback equalizer | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11196595 | PAM-4 calibration | RAMBUS INC. |
11196600 | Method and apparatus for transmitting PLCP frame in wireless local area network system | WI-FI ONE, LLC |
11196800 | Systems and methods for communication efficient distributed mean estimation | GOOGLE LLC |
11196845 | Method, apparatus, and computer program product for determining data transfer manner | EMC IP HOLDING COMPANY LLC |
11196949 | Subrange ADC for image sensor | OMNIVISION TECHNOLOGIES, INC. |
11197091 | Sound pickup device and sound pickup method | YAMAHA CORPORATION |
11197112 | Validation of audio calibration using multi-dimensional motion check | SONOS, INC. |
11197773 | Intraoral device control system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11199151 | Vehicle controller with complementary capacitance for analog-to-digital converter (A/D) low pass filter | CUMMINS INC. |
11199429 | Method for measuring a speed of a fluid | SAGEMCOM ENERGY & TELECOM SAS |
11199444 | Time-to-digital converter circuit and method for single-photon avalanche diode based depth sensing | -- |
11199565 | Undervoltage detection circuit | -- |
11199581 | Device monitoring using satellite ADCS having local voltage reference | XILINX, INC. |
11199621 | Clock-stop frequency adjustment for a transponder | NXP B.V. |
11199836 | Device and method for monitoring a digital control unit with regard to functional safety, and controller | INFINEON TECHNOLOGIES AG |
11199864 | Voltage control circuit reducing signal interference | -- |
11199935 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11200023 | Override for OEM audio signal equalizer | AAMP OF FLORIDA, INC. |
11200025 | Playback device | SONOS, INC. |
11200030 | Non-volatile counter system, counter circuit and power management circuit with isolated dynamic boosted supply | TEXAS INSTRUMENTS INCORPORATED |
11200106 | Data integrity for persistent memory systems and the like | ADVANCED MICRO DEVICES, INC. |
11200111 | Memory system and operating method thereof | SK HYNIX INC. |
11200117 | Semiconductor memory device, controller, memory system, and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11200119 | Low latency availability in degraded redundant array of independent memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200297 | Integrator voltage shifting for improved performance in softmax operation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11200508 | Modular control in a quantum computing system | RIGETTI & CO, INC. |
11200823 | Microarray adsorption substrate, driving circuit, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11200864 | Level voltage generation circuit, data driver, and display apparatus | LAPIS SEMICONDUCTOR CO., LTD. |
11200923 | Semiconductor apparatus | SK HYNIX INC. |
11200928 | Memory controller and operating method with read margin control circuit determining data valid window | SAMSUNG ELECTRONICS CO., LTD. |
11200931 | Apparatuses and methods for setting a duty cycle adjuster for improving clock duty cycle | MICRON TECHNOLOGY, INC. |
11200936 | Read-once memory | PROTON WORLD INTERNATIONAL N.V. |
11200942 | Apparatuses and methods for lossy row access counting | MICRON TECHNOLOGY, INC. |
11201028 | Traveling wave tube amplifier having a helical slow-wave structure supported by a cylindrical scaffold | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11201115 | Semiconductor device | -- |
11201125 | Semiconductor package and semiconductor process | -- |
11201172 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201215 | MOSFET and memory cell having improved drain current through back bias application | ZENO SEMICONDUCTOR, INC. |
11201245 | Method and apparatus improving gate oxide reliability by controlling accumulated charge | PSEMI CORPORATION |
11201274 | Entangled microwave-photon-pair generator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201277 | Qubit hardware for electrons on helium | -- |
11201397 | Circuit and wireless device | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11201422 | Active cable with external power | DALLAS |
11201442 | Power over ethernet system, device, and method | ZHEJIANG DAHUA TECHNOLOGY CO., LTD. |
11201460 | Power source switch control device | YAZAKI CORPORATION |
11201462 | Fault-tolerant solid state power controller | -- |
11201494 | Systems and methods to provide enhanced diode bypass paths | TIGO ENERGY, INC. |
11201535 | Apparatus and method for controlling driving of switching device for power converter | HYUNDAI MOTOR COMPANY |
11201536 | Switching control circuit and semiconductor device | FUJI ELECTRIC CO., LTD. |
11201561 | Symmetric hybrid converters | APPLE INC. |
11201566 | Switch protection | DELTA ELECTRONICS (THAILAND) PUBLIC CO., LTD. |
11201587 | Piezoelectric oscillator and piezoelectric oscillation device | MURATA MANUFACTURING CO., LTD. |
11201588 | Oscillator and electronic apparatus | SEIKO EPSON CORPORATION |
11201589 | Combined mixer and filter circuitry | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11201590 | Power amplifier apparatus | QORVO US, INC. |
11201591 | Asymmetric Doherty amplifier circuit with shunt reactances | CREE, INC. |
11201592 | Doherty combiner | SOONCHUNHYANG UNIVERSITY INDUSTRY ACADEMY COOPERATION FOUNDATION |
11201593 | Doherty power amplifier system | QORVO US, INC. |
11201594 | Cascode amplifier circuit | MURATA MANUFACTURING CO., LTD. |
11201595 | Cascode power amplifier with switchable output matching network | SKYWORKS SOLUTIONS, INC. |
11201596 | Power amplifier system | ASELSAN ELEKTRONIK SANAYI VE TICARET ANONIM SIRKETI |
11201597 | Phantom-powered audio pre-preamplifier with integrated transformer for musical instrument and microphone dual-selective signal gain | CLOUD MICROPHONES, LLC. |
11201598 | Volume adjusting method and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11201599 | Band pass filter | MURATA MANUFACTURING CO., LTD. |
11201600 | Apparatus and methods for control and calibration of tunable filters | ANALOG DEVICES, INC. |
11201601 | Transversely-excited film bulk acoustic resonator with multiple diaphragm thicknesses and fabrication method | RESONANT INC. |
11201602 | Apparatus and methods for tunable filtering | ANALOG DEVICES, INC. |
11201603 | Active clamp capacitor balancing | TEXAS INSTRUMENTS INCORPORATED |
11201604 | Resampling algorithm based on window function | NORTH CHINA POWER ELECTRIC UNIVERSITY |
11201605 | Buffer stage for use with a current controlled oscillator | NXP USA, INC. |
11201606 | CSAMT transmitter | INSTITUTE OF GEOLOGY AND GEOPHYSICS, THE CHINESE ACADEMY OF SCIENCES |
11201607 | Set-reset latches | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11201608 | Superconducting latch system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11201609 | Compact high-voltage nanosecond pulsed-power generator | SOREQ NUCLEAR RESEARCH CENTER |
11201610 | Selectable delay buffers and logic cells for dynamic voltage scaling in ultra low voltage designs | -- |
11201611 | Duty cycle control circuitry for input/output (I/O) margin control | INTEL CORPORATION |
11201612 | Switch control systems for light emitting diodes and methods thereof | ON-BRIGHT ELECTRONICS (SHANGHAI) CO., LTD. |
11201613 | Driver circuit and method of operating the same | -- |
11201614 | Load control device having multiple terminals and a clamp circuit connected therebetween | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11201615 | Control circuit and power module | KABUSHIKI KAISHA TOSHIBA |
11201616 | Voltage tolerant interface circuit | EMPOWER SEMICONDUCTOR, INC. |
11201617 | Local interconnect network (LIN) driver circuit | CYPRESS SEMICONDUCTOR CORPORATION |
11201618 | Multi-gated I/O system, semiconductor device including and method for generating gating signals for same | -- |
11201619 | Isolated high side drive circuit | HEYDAY INTEGRATED CIRCUITS SAS |
11201620 | Power supply circuit and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11201621 | Clock gating cell | -- |
11201622 | Information processing apparatus, control method thereof, and non-transitory computer-readable storage medium | CANON KABUSHIKI KAISHA |
11201623 | Unified programmable computational memory and configuration network | XILINX, INC. |
11201624 | Circuit device, physical quantity measurement device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11201625 | Phase locked loop | -- |
11201626 | Phase locked loop device and method of operating ihe same | SAMSUNG ELECTRONICS CO., LTD. |
11201627 | Spectrally efficient digital logic (SEDL) digital to analog converter (DAC) | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11201628 | Transmission method and reception device | SONY CORPORATION |
11201629 | Low latency sequential list decoding of polar codes | TSOFUN ALGORITHMS LTD. |
11201633 | Radio frequency module | MURATA MANUFACTURING CO., LTD. |
11201634 | Radio-frequency switching apparatus | NOKIA TECHNOLOGIES OY |
11201682 | Devices, systems and processes for detecting and remediating interfence signals and identifying signal interference sources | DISH WIRELESS L.L.C. |
11201690 | Forward error correction with optical and electrical transponder | VIAVI SOLUTIONS INC. |
11201692 | Receiver for receiving data in a broadcast system using redundancy data | SATURN LICENSING LLC |
11201695 | Forward error correction with compression coding | CIENA CORPORATION |
11201722 | Clock and data recovery circuit | -- |
11201723 | Highly linear phase rotators with continuous rotation | CIENA CORPORATION |
11201731 | Method and apparatus for asymmetric cryptosystem based on quasi-cyclic moderate density parity-check codes over GF(q) | ZENITH ELECTRONICS LLC |
11201766 | Detector circuit and system for galvanically isolated transmission of digital signals | ROBERT BOSCH GMBH |
11201767 | Continuous time linear equalization including a low frequency equalization circuit which maintains DC gain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11202142 | Vibration generation system, signal generator, and vibrator device | JVCKENWOOD CORPORATION |
11202146 | IP speaker system | ALGO COMMUNICATION PRODUCTS LTD. |
11202147 | Audio filter with through-zero linearly variable resonant frequency | ROSSUM ELECTRO-MUSIC, LLC |
11202150 | Audio control based on determination of physical space surrounding vehicle | HONDA MOTOR CO., LTD. |
11202152 | Acoustic beamforming | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11202351 | Control device for controlling multiple operating characteristics of an electrical load | LUTRON TECHNOLOGY COMPANY LLC |
11203245 | Drive circuit anomaly diagnosing device | KYB CORPORATION |
11203307 | Electronic license plate and method for manufacturing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11203372 | Steering wheel assembly | TESLA, INC. |
11203526 | Reprogrammable quantum processor architecture incorporating quantum error correction | EQUAL1.LABS INC. |
11204364 | High resolution bearing sensor and IC chip for multi-pole pair magnetic pulse ring | ILJIN GLOBAL CO., LTD |
11204380 | Module tuning using virtual gain correction | ANDREW WIRELESS SYSTEMS GMBH |
11204588 | Compact millimeter wave system | TEXAS INSTRUMENTS INCORPORATED |
11204614 | Current balance circuit | -- |
11204620 | High resolution time capture circuit and corresponding device, capture method and computer program product | STMICROELECTRONICS S.R.L. |
11204661 | Method of generating operation signal of electronic device, and electronic device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11204716 | Compression offloading to RAID array storage enclosure | EMC IP HOLDING COMPANY LLC |
11204831 | Memory system | KIOXIA CORPORATION |
11204888 | System and method for controlling CDR and CTLE parameters | SAMSUNG DISPLAY CO., LTD. |
11205031 | System and method for facilitating use of commercial off-the-shelf (COTS) components in radiation-tolerant electronic systems | -- |
11205118 | Power-efficient deep neural network module configured for parallel kernel and parallel input processing | MICROSOFT TECHNOLOGY LICENSING, LLC |
11205121 | Efficient encoding and decoding sequences using variational autoencoders | DISNEY ENTERPRISES, INC. |
11205131 | Sequence detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205338 | Extracting the resistor-capacitor time constant of an electronic circuit line | MICRON TECHNOLOGY, INC. |
11205435 | Spatial audio signal encoder | DTS, INC. |
11205441 | Processing audio in multiple frequency bands with resonators | SAMSUNG ELECTRONICS CO., LTD. |
11205464 | Semiconductor apparatus and a semiconductor system capable of adjusting timings of data and data strobe signal | SK HYNIX INC. |
11205466 | Semiconductor device and semiconductor logic device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11205498 | Error detection and correction using machine learning | SAMSUNG ELECTRONICS CO., LTD. |
11205534 | Ultra low power transimpedance amplifier based on spintronics | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11205608 | Semiconductor device, manufacturing method for semiconductor device, electronic component, circuit substrate, and electronic apparatus | ADVANCED INTERCONNECT SYSTEMS LIMITED |
11205826 | Circuits and methods for circulators including a plurality of cancellation paths | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11205897 | DC power supply system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11205948 | Gate driver and power converter | FUJI ELECTRIC CO., LTD. |
11205955 | Current averaging audio amplifier | D'AMORE ENGINEERING, LLC |
11205995 | Fast start-up crystal oscillator | INTEL CORPORATION |
11205996 | Oscillation circuit, oscillator, and operation mode switching method of oscillation circuit | SEIKO EPSON CORPORATION |
11205997 | Power amplification apparatus and electromagnetic radiation apparatus | FUJITSU LIMITED |
11205998 | Amplifier for reusing current by using transformer and method thereof | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11205999 | Amplifier with signal dependent mode operation | -- |
11206000 | Filterless high efficiency class D power amplifier | ROCKWELL COLLINS, INC. |
11206001 | Inference and correction of automatic gain compensation | DOLBY INTERNATIONAL AB |
11206002 | Media playback system with maximum volume setting | SONOS, INC. |
11206003 | Personalized headphone equalization | SAMSUNG ELECTRONICS CO., LTD. |
11206004 | Automatic equalization for consistent headphone playback | APPLE INC. |
11206005 | Compositions of influenza hemagglutinin with heterologous epitopes and/or altered maturation cleavage sites and methods of use thereof | CG DISCOVERY, INC. |
11206006 | Trifilar transformer and notch filters | NOVELDA AS |
11206007 | Quartz orientation for guided SAW devices | QORVO US, INC. |
11206008 | Hybrid filter architecture with integrated passives, acoustic wave resonators and hermetically sealed cavities between two resonator dies | INTEL CORPORATION |
11206009 | Transversely-excited film bulk acoustic resonator with interdigital transducer with varied mark and pitch | RESONANT INC. |
11206010 | Radio frequency module, front end module, and communication device | MURATA MANUFACTURING CO., LTD. |
11206011 | Filter and multiplexer | MURATA MANUFACTURING CO., LTD. |
11206012 | Calibrating resistance for data drivers | QUALCOMM INCORPORATED |
11206013 | Electronic persistent switch | ARRIS ENTERPRISES LLC |
11206014 | Digital frequency dithering for switched-mode power supplies (SMPS) using triangular, asymmetric cubic, or random cubic spread spectrum oscillators | HIGH TECH TECHNOLOGY LIMITED |
11206015 | Switch control systems for light emitting diodes and methods thereof | ON-BRIGHT ELECTRONICS (SHANGHAI) CO., LTD. |
11206016 | Gate driver with pulsed gate slew control | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11206017 | Switch circuit and method of switching radio frequency signals | PSEMI CORPORATION |
11206018 | Floating switch for signal commutation | MELEXIS BULGARIA LTD |
11206019 | Capacitance detection circuit, capacitance detection method, touch chip, and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11206020 | On-die termination | RAMBUS INC. |
11206021 | Quasi-adiabatic logic circuits | TACHO HOLDINGS, LLC |
11206023 | Level shifter with boost circuit | ARM LIMITED |
11206025 | Input/output bus protection systems and methods for programmable logic devices | LATTICE SEMICONDUCTOR CORPORATION |
11206026 | Delay line, a delay locked loop circuit and a semiconductor apparatus using the delay line and the delay locked loop circuit | SK HYNIX INC. |
11206027 | DPLL restart without frequency overshoot | TEXAS INSTRUMENTS INCORPORATED |
11206028 | Voltage-controlled oscillator and PLL circuit in which same is used | SOCIONEXT INC. |
11206029 | PLL circuit | THINE ELECTRONICS, INC. |
11206030 | Transmitter with reduced VCO pulling | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11206031 | Phase rotator non-linearity reduction | RAMBUS INC. |
11206032 | Analog-to-digital converter circuit and method for analog-to-digital conversion | AMS INTERNATIONAL AG |
11206033 | Switch-mode power supplies with improved analog-to-digital current calibration | ASTEC INTERNATIONAL LIMITED |
11206034 | Analog-based pulse-width modulation receiver | MARVELL ASIA PTE, LTD. |
11206035 | Analog to digital (A/D) converter with internal diagnostic circuit | TEXAS INSTRUMENTS INCORPORATED |
11206036 | Integrated self-test mechanism for an analog-to-digital converter, a reference voltage source, a low dropout regulator, or a power supply | TEXAS INSTRUMENTS INCORPORATED |
11206037 | Analogue-to-digital conversion method of pipelined analogue-to-digital converter and pipelined analogue-to-digital converter | CHENGDU HUAWEI ELECTRONIC TECHNOLOGY CO., LTD. |
11206038 | Successive approximation register analog-to-digital converter | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11206039 | Comparator stage with DC cut device for single slope analog to digital converter | OMNIVISION TECHNOLOGIES, INC. |
11206040 | Serial interface for oversampled and non-oversampled ADCs | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11206042 | Layered decoding method for LDPC code and device therefor | LG ELECTRONICS INC. |
11206043 | Bit-flipping decoder architecture for irregular quasi-cyclic low-density parity-check codes | SK HYNIX INC. |
11206044 | Method and terminal for channel encoding using polar code | LG ELECTRONICS INC. |
11206045 | Efficient determination of parity bit location for polar codes | XILINX, INC. |
11206046 | Operating method of memory controller, storage device and the operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11206047 | Parity interleaving apparatus for encoding fixed-length signaling information, and parity interleaving method using same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11206048 | Polar encoding and decoding method, sending device, and receiving device | HUAWEI TECHNOLOGIES CO., LTD. |
11206049 | Transmission apparatus including encoder, reception apparatus including decoder, and associated methods | PANASONIC CORPORATION |
11206051 | Digital offset frequency generator based radio frequency transmitter | TEXAS INSTRUMENTS INCORPORATED |
11206060 | Apparatus for communicating across an isolation barrier | ENPHASE ENERGY, INC. |
11206122 | Variable rate sampling for AGC in a bluetooth receiver using connection state and access address field | SILICON LABORATORIES INC. |
11206124 | Efficient frequency detectors for clock and data recovery circuits | SAMSUNG DISPLAY CO., LTD. |
11206163 | Radio frequency (RF) to digital polar data converter and time-to-digital converter based time domain signal processing receiver | AUBURN UNIVERSITY |
11206170 | Communications device and method for operating a communications device | NXP B.V. |
11206437 | Control information for a wirelessly-transmitted data stream | COHERENT LOGIX, INCORPORATED |
11206487 | Load detection | CIRRUS LOGIC, INC. |
11206571 | Base station | -- |
11206626 | Synchronization signal for a broadcast channel | QUALCOMM INCORPORATED |
11206713 | Sub-band compression domain processing for uplink MIMO systems | HUAWEI TECHNOLOGIES CO., LTD. |
11206867 | Variable-frequency surface acoustic wave electronic cigarette | CHINA TOBACCO YUNNAN INDUSTRIAL CO., LTD |
11207534 | Always on receiver with offset correction for implant to implant communication in an implantable medical system | PACESETTER, INC. |
11208977 | Ignition control device and reference voltage adjustment method of ignition control device | HITACHI ASTEMO, LTD. |
11209272 | Circuit device, physical quantity measurement device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11209290 | Resolver/LVDT odd harmonic distortion compensation | HAMILTON SUNDSTRAND CORPORATION |
11209394 | Cartridges for integrated BAW biosensors and methods for using the same | QORVO US, INC. |
11209395 | Baw sensing and filtration device and related methods | QORVO US, INC. |
11209398 | High quality factor embedded resonator wafers | APPLIED MATERIALS, INC. |
11209468 | Apparatus and method for detecting object features | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11209485 | Low power active load | -- |
11209495 | Method and device for monitoring a power electronic assembly | IAV GMBH INGENIEURGESELLSCHAFT AUTO UND VERKEHR |
11209514 | Sensor saturation fault detection | MELEXIS BULGARIA LTD |
11209787 | Method and control device for controlling a field device | OMRON CORPORATION |
11209802 | Industrial control module providing universal I/O | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11209851 | Linear power supply circuit | ROHM CO., LTD. |
11209857 | Integrated circuit with clock distribution | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11209858 | Multi-device asynchronous timing exchange for redundant clock synchronization | THE CHARLES STARK DRAPER LABORATORY, INC. |
11209905 | Panel and electronic apparatus | TAIYO YUDEN CO., LTD. |
11209926 | Device and method for proximity sensing on an input device | SYNAPTICS INCORPORATED |
11209937 | Error correction for seamless transition between hover and touch sensing | SAMSUNG ELECTRONICS CO., LTD. |
11210032 | Storage device and data processing method | HITACHI, LTD. |
11210055 | Control based on proximity | SONOS, INC. |
11210063 | Machine learning training architecture for programmable devices | INTEL CORPORATION |
11210064 | Parallelized rounding for decimal floating point to binary coded decimal conversion | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11210151 | Peer-assisted data rebuilding | PURE STORAGE, INC. |
11210162 | Approach to improve decompression performance by scatter gather compression units and also updating checksum mechanism | EMC IP HOLDING COMPANY LLC |
11210163 | Memory system and control method | TOSHIBA MEMORY CORPORATION |
11210165 | Inter-hamming difference analyzer for memory array and measuring and testing methods for inter-hamming differences of memory array | -- |
11210169 | Data storage method, apparatus, and system | ALIBABA GROUP HOLDING LIMITED |
11210217 | Tile based interleaving and de-interleaving for digital signal processing | IMAGINATION TECHNOLOGIES LIMITED |
11210443 | Distributed programmable delay lines in a clock tree | INTEL CORPORATION |
11210974 | Driving circuit of display apparatus | HKC CORPORATION LIMITED |
11211101 | Differential amplifier schemes for sensing memory cells | MICRON TECHNOLOGY, INC. |
11211139 | Timing-drift calibration | RAMBUS INC. |
11211196 | Tunable transformer | INTEL CORPORATION |
11211213 | Safety switch with detection of the end stroke of the unlocking mechanism | PIZZATO ELETTRICA S.R.L. |
11211334 | Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip | -- |
11211344 | Integrated tunable filter architecture | PSEMI CORPORATION |
11211406 | Semiconductor device and method for controlling semiconductor device | RENESAS ELECTRONICS CORPORATION |
11211482 | AND gate based on ballistic electrons | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11211545 | Vibration controller | YAMAHA CORPORATION |
11211676 | Multi-resonator filters | COM DEV LTD. |
11211679 | Common-mode in-line radio frequency filter isolator | PDS ELECTRONICS, INC. |
11211698 | Closed loop aperture tunable antenna | FUTUREWEI TECHNOLOGIES, INC. |
11211703 | Systems and methods for dynamic biasing of microwave amplifier | EPIRUS, INC. |
11211711 | Antenna dynamically matched with electromechanical resonators | HRL LABORATORIES, LLC |
11211783 | Circuit with critical operating condition warning, corresponding device and method | STMICROELECTRONICS S.R.L. |
11211816 | Delta connected resonant turn off circuits | ABB SCHWEIZ AG |
11211863 | Arrangement and method for current measurement | SIEMENS AKTIENGESELLSCHAFT |
11211898 | Oscillator circuits | EOSEMI LIMITED |
11211899 | Power amplifying circuit | MURATA MANUFACTURING CO., LTD. |
11211900 | Multi quantized digitally controlled power supply voltage for multi amplifier stages | -- |
11211901 | Integrating amplifier with improved noise rejection | XILINX, INC. |
11211902 | Linearization of non-linear amplifiers | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11211903 | Over charge protection method and voltage converter using the over charge protection method | -- |
11211904 | Switched-capacitor amplifier circuit | NXP B.V. |
11211905 | Semiconductor device and memory system | TOSHIBA MEMORY CORPORATION |
11211906 | Multi-frequency band communication based on filter sharing | APPLE INC. |
11211907 | Three level PWM class D amplifier | SEMICONDUCTOR IDEAS TO THE MARKET (ITOM) B.V. |
11211908 | Power amplifier and demodulator | -- |
11211909 | Adjustable capacitors to improve linearity of low noise amplifier | GLOBALFOUNDRIES U.S. INC. |
11211910 | Audio gain selection | QUALCOMM INCORPORATED |
11211911 | Capacitive-coupled bandpass filter | SKYWORKS SOLUTIONS, INC. |
11211912 | Noise filter | MITSUBISHI ELECTRIC CORPORATION |
11211913 | Generation and enhancement of surface acoustic waves on a highly doped p-type III-V semiconductor substrate | THE GEORGE WASHINGTON UNIVERSITY |
11211914 | Acoustic wave device | MURATA MANUFACTURING CO., LTD. |
11211915 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
11211916 | Resonant transducer | YOKOGAWA ELECTRIC CORPORATION |
11211917 | Acoustic wave filter, multiplexer, radio frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11211918 | Effective coupling coefficients for strained single crystal epitaxial film bulk acoustic resonators | AKOUSTIS, INC. |
11211919 | Polyphase filter | MITSUBISHI ELECTRIC CORPORATION |
11211920 | Level shifter circuitry and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11211921 | Differential analog input buffer | XILINX, INC. |
11211922 | Voltage comparator for offset compensation | ROHM CO., LTD. |
11211923 | Operating a bipolar transistor having an insulated gate electrode | SIEMENS AKTIENGESELLSCHAFT |
11211924 | Electronic circuit | KABUSHIKI KAISHA TOSHIBA |
11211925 | Module including an indicator for indicating a physical state of a sensor connected to the module | WAGO VERWALTUNGSGESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
11211926 | Sensor devices and methods for transmitting sensor data, apparatus and method for controlling a sensor device, apparatuses and methods for decoding a sensor signal | INFINEON TECHNOLOGIES AG |
11211927 | Gate driver circuit, motor driver circuit, and hard disk apparatus | ROHM CO., LTD. |
11211928 | Apparatus and method for power switch status check | INFINEON TECHNOLOGIES AG |
11211929 | Galvanically isolated low-latency switch drive circuit with power transfer | HEYDAY INTEGRATED CIRCUITS SAS |
11211930 | Drive circuit and impedance matching device | DAIHEN CORPORATION |
11211931 | Sensor mat providing shielding and heating | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11211932 | Storage device | STMICROELECTRONICS (GRENOBLE 2) SAS |
11211933 | FPGA chip with distributed multifunctional layer structure | HERCULES MICROELECTRONICS CO., LTD. |
11211934 | Apparatus to improve lock time of a frequency locked loop | INTEL CORPORATION |
11211935 | All-digital voltage monitor (ADVM) with single-cycle latency | INTEL CORPORATION |
11211936 | Delay lock loop circuits and methods for operating same | -- |
11211937 | Method and circuits for fine-controlled phase/frequency offsets in phase-locked loops | ANALOG BITS INC. |
11211938 | Method and apparatus for generating output frequency locked to input frequency | ESS TECHNOLOGY, INC. |
11211939 | Transform domain analytics-based channel design | SEAGATE TECHNOLOGY LLC |
11211940 | Pinstrap detection circuit | TEXAS INSTRUMENTS INCORPORATED |
11211941 | Digital-to-analog converter system and method of operation | LUMINOUS COMPUTING, INC. |
11211942 | Circuits, systems, and methods for providing asynchronous sample rate conversion for an oversampling sigma delta analog to digital converter | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11211943 | Hybrid comparison for unicode text strings consisting primarily of ASCII characters | TABLEAU SOFTWARE, INC. |
11211944 | Mixed-precision compression with random access | SAMSUNG ELECTRONICS CO., LTD. |
11211945 | Parallelized decoding of variable-length prefix codes | MICROSOFT TECHNOLOGY LICENSING, LLC |
11211946 | Encoding and decoding techniques | QUALCOMM INCORPORATED |
11211947 | Polar code encoding method and apparatus, polar code decoding method and apparatus, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11211948 | Distributed CRC-assisted polar code construction | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11211949 | Dynamic multi-stage decoding | WESTERN DIGITAL TECHNOLOGIES, INC. |
11211950 | Decoding device and decoding method | KIOXIA CORPORATION |
11211951 | Method for encoding based on parity check matrix of LDPC code in wireless communication system and terminal using this | LG ELECTRONICS INC. |
11211952 | Encoding method, communication method, and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11211953 | Rate matching performing method for LDPC code and communication device therefor | LG ELECTRONICS INC. |
11211955 | Voltage protection circuit to prevent power amplifier burnout, and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11211958 | Radio-frequency circuit and communication device | MURATA MANUFACTURING CO., LTD. |
11212017 | Phase-locked loop (PLL) calibration | QUALCOMM INCORPORATED |
11212030 | Hybrid ARQ with varying modulation and coding | MARVELL ASIA PTE LTD |
11212037 | Enhanced information sequences for polar codes | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11212069 | Method of calibrating clock phase and voltage offset, data recovery circuit performing the same and receiver including the same | SAMSUNG ELECTRONICS CO., LTD. |
11212071 | Receiver | DENSO CORPORATION |
11212074 | Data reception device and data transmission/reception device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11212078 | Method for sending digital data over a number of channels | SIEMENS AKTIENGESELLSCHAFT |
11212158 | Coding and modulation apparatus using multi-dimensional non-uniform constellation | SONY CORPORATION |
11212614 | Audio system and method for controlling an audio system | SENNHEISER ELECTRONIC GMBH & CO. KG |
11212630 | Signal processing device | AUDIO-TECHNICA CORPORATION |
11212803 | Synchronized switching of wireless platforms | APPLE INC. |
11212893 | LED driver circuit and method | STMICROELECTRONICS S.R.L. |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-28 01:19
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社