|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第41个技术领域是半导体组件与集成电路。2021年,美国专利商标局在该领域共授权专利14610项(增长率为-10%),占总授权量的4.5%,是专利数量第16多的领域。
2021年,美国在该领域获得专利权3714项,占该领域专利授权总量的22%。中国在该领域做出专利发明1822项,获得专利权1880项,流失专利发明-58项。日本和韩国获得的专利权数量分别为2854和2882项。
表17.41-1 2021年各国半导体组件与集成电路领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 3431 | 3714 | -283 | -8.2% | 23.5% | 25.4% | -1.9% |
2 | 日本 | 2996 | 2854 | 142 | 4.7% | 20.5% | 19.5% | 1.0% |
3 | 韩国 | 2893 | 2882 | 11 | 0.4% | 19.8% | 19.7% | 0.1% |
4 | 中国 | 1822 | 1880 | -58 | -3.2% | 12.5% | 12.9% | -0.4% |
5 | 德国 | 308 | 274 | 34 | 11.0% | 2.1% | 1.9% | 0.2% |
6 | 法国 | 188 | 183 | 5 | 2.7% | 1.3% | 1.3% | 0.0% |
7 | 加拿大 | 74 | 56 | 18 | 24.3% | 0.5% | 0.4% | 0.1% |
8 | 英国 | 64 | 60 | 4 | 6.3% | 0.4% | 0.4% | 0.0% |
9 | 瑞士 | 41 | 46 | -5 | -12.2% | 0.3% | 0.3% | 0.0% |
10 | 荷兰 | 40 | 47 | -7 | -17.5% | 0.3% | 0.3% | 0.0% |
11 | 瑞典 | 10 | 13 | -3 | -30.0% | 0.1% | 0.1% | 0.0% |
12 | 以色列 | 77 | 28 | 49 | 63.6% | 0.5% | 0.2% | 0.3% |
13 | 意大利 | 58 | 22 | 36 | 62.1% | 0.4% | 0.2% | 0.2% |
14 | 印度 | 50 | 0 | 50 | 100.0% | 0.3% | 0.0% | 0.3% |
15 | 其他 | 2558 | 2551 | 7 | 0.3% | 17.5% | 17.5% | 0.0% |
小计 | 14610 | 14610 | 0 | 0% | 100% | 100% | 0% |
图17.41-1 2021年各国半导体组件与集成电路领域的在美专利发明和专利权数量对比
2021年,在半导体组件与集成电路领域上获得美国专利授权最多的机构是台湾积体电路制造公司、三星显示公司、三星电子公司。中国专利最多的机构是台湾积体电路制造公司,获得1226项专利。
表17.41-2 2021年半导体组件与集成电路领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 台湾积体电路制造公司 | 中国 | TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. | 1226 | 1226 |
2 | 三星显示公司 | 韩国 | SAMSUNG DISPLAY CO., LTD. | 984 | 912 |
3 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 924 | 945 |
4 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 542 | 725 |
5 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 461 | 446 |
6 | 京东方科技集团公司 | 中国 | BOE TECHNOLOGY GROUP CO., LTD. | 406 | 582 |
7 | LG显示公司 | 韩国 | LG DISPLAY CO., LTD. | 404 | 524 |
8 | 英特尔公司 | 美国 | INTEL CORPORATION | 370 | 384 |
9 | 日本半导体能源研究所 | 日本 | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 296 | 318 |
10 | 东芝存储器株式会社 | 日本 | TOSHIBA MEMORY CORPORATION | 228 | 304 |
注:本表数据按照第一权利人进行统计。
图17.41-2 2021年半导体组件与集成电路领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10881156 | Supporting an electrical connector | WEARABLE TECHNOLOGY LIMITED |
10881337 | Shielded, folded connector for a sensor | EDWARDS LIFESCIENCES CORPORATION |
10881350 | Physiological signal measurement device | -- |
10882042 | Digital microfluidic devices including dual substrates with thin-film transistors and capacitive sensing | E INK CORPORATION |
10882088 | Hard rolled-copper foil and method of manufacturing the hard rolled-copper foil | FUKUDA METAL FOIL & POWDER CO., LTD. |
10882283 | Segmented protective display film | 3M INNOVATIVE PROPERTIES COMPANY |
10882286 | Flexible display window and flexible display including the same | SAMSUNG DISPLAY CO., LTD. |
10882296 | Film-peeling apparatus | -- |
10882304 | Screen printing method and imprinting apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10882305 | Isolated paste dispenser | ASM ASSEMBLY SYSTEMS SINGAPORE PTE. LTD. |
10882318 | Method of manufacturing semiconductor substrate and method of manufacturing substrate for liquid ejection head | CANON KABUSHIKI KAISHA |
10882756 | Regeneration of etch solutions containing trivalent manganese in acid media | MACDERMID ACUMEN, INC. |
10883011 | Molecular inks | GROUPE GRAHAM INTERNATIONAL INC. |
10883027 | Film-forming composition, method for producing surface-treated metal member, and method for producing metal-resin composite | MEC COMPANY LTD. |
10883164 | Mask frame assembly including pattern position adjusting mechanism and pattern position adjusting method using the mask frame assembly | SAMSUNG DISPLAY CO., LTD. |
10883670 | Light guide module and light source module | -- |
10883673 | Dithered LEDs to reduce color banding in lensed light fixtures | -- |
10883679 | Lamp | BOE TECHNOLOGY GROUP CO., LTD. |
10883680 | Light-emitting module and method of manufacturing light-emitting module | NIKKISO CO., LTD. |
10883693 | Vehicle lamp | KOITO MANUFACTURING CO., LTD. |
10883694 | Method of manufacturing an LED lighting assembly | LUMILEDS LLC |
10883769 | Heat pipe and method to embed a heat pipe in a product | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10883889 | Display device including a pressure sensor with an opening in the electrode | SAMSUNG DISPLAY CO., LTD. |
10884026 | Vertical probe card | TWINSOLUTION TECHNOLOGY (SUZHOU) LTD |
10884050 | Test of stacked transistors | PSEMI CORPORATION |
10884075 | Printed circuit board, method for determining engagement state between printed circuit board and flexible printed circuit and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884078 | Ferromagnetic multilayer film, magnetoresistance effect element, and method for manufacturing ferromagnetic multilayer film | TDK CORPORATION |
10884126 | Accurate photo detector measurements for LIDAR | OUSTER, INC. |
10884172 | Light emitting device | NICHIA CORPORATION |
10884185 | Semiconductor device including vertically integrated optical and electronic devices and comprising a superlattice | ATOMERA INCORPORATED |
10884195 | Techniques to support multiple interconnect protocols for a common set of interconnect connectors | INTEL CORPORATION |
10884216 | Method of manufacturing an optical multiplexer | MITSUBISHI ELECTRIC CORPORATION |
10884246 | Releasably attachable augmented reality system for eyewear | NEWSIGHT REALITY, INC. |
10884268 | Color-tunable transmission mode active phosphor based on III-nitride nanowire grown on transparent substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10884293 | Method of coating an alignment film and device thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884298 | Manufacturing method of flexible display apparatus | -- |
10884299 | Display module, display device and optically clear adhesive layer component | BOE TECHNOLOGY GROUP CO., LTD. |
10884300 | Display device | SAMSUNG DISPLAY CO., LTD. |
10884303 | Transient overvoltage protection circuit, array substrate comprising the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10884306 | Method for manufacturing array substrate and display device | HKC CORPORATION LIMITED |
10884341 | Pattern forming sheet, pattern manufacturing apparatus, pattern manufacturing method, and pattern manufacturing program | KANTATSU CO., LTD. |
10884400 | Matching process controllers for improved matching of process | APPLIED MATERIALS, INC. |
10884527 | Touch display device | -- |
10884528 | Touch display substrate and touch detection method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10884530 | Display device with touch structure and method of forming the same | LG DISPLAY CO., LTD. |
10884532 | Display device and flexible circuit board | SAMSUNG DISPLAY CO., LTD. |
10884535 | Display device | LG DISPLAY CO., LTD. |
10884538 | OLED touch display panel, display device and method for detecting touch operation zone | BOE TECHNOLOGY GROUP CO., LTD. |
10884555 | Conductive pattern | DONGWOO FINE-CHEM CO., LTD. |
10884560 | Integrated light-emitting pixel arrays based devices by bonding | -- |
10884564 | Sheet-shaped device | I.P SOLUTIONS, LTD |
10884852 | Semiconductor memory devices, memory systems and methods of operating semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10884955 | Stacked and folded above motherboard interposer | MORGAN/WEISS TECHNOLOGIES INC. |
10885254 | Integrated circuit and method of manufacturing same | -- |
10885260 | Fin-based fill cell optimization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885296 | Electronic device and fingerprint sensing method | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10885623 | Methods of detecting joint failures between stacked semiconductor dies | SK HYNIX INC. |
10885811 | Method of using hand-made circuit board for learning | AIDMICS BIOTECHNOLOGY (HK) CO., LIMITED |
10885821 | Inspection device and inspection method for array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10885833 | Device for showing a projection pattern | -- |
10885835 | Display device | LG ELECTRONICS INC. |
10885837 | Driving circuit for a light-emitting unit of a display device and electronic apparatus | SONY CORPORATION |
10885847 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10885881 | Flexible display device with deformation layer and control method therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10885946 | Stacked DRAM device and method of manufacture | RAMBUS INC. |
10885949 | Memory bandwidth aggregation using simultaneous access of stacked semiconductor memory die | RAMBUS INC. |
10885955 | Driver circuit equipped with power gating circuit | MICRON TECHNOLOGY, INC. |
10885956 | Dynamic random access memory array, semiconductor layout structure and fabrication method thereof | CHANGXIN MEMORY TECHNOLOGIES, INC. |
10885959 | Apparatuses and methods for semiconductor devices including clock signal lines | MICRON TECHNOLOGY, INC. |
10885960 | Spin device, and operating method therefor and manufacturing method therefor | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10885962 | Vertical memory cells and memory devices using the same | -- |
10885963 | Ferroelectric memory-based synapses | INTEL CORPORATION |
10885964 | Apparatuses and methods including ferroelectric memory and for operating ferroelectric memory | MICRON TECHNOLOGY, INC. |
10885971 | Multi-die memory device | RAMBUS INC. |
10885973 | Memory device and method of controlling memory device | -- |
10885974 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10885978 | Nonvolatile nanotube switches with reduced switching voltages and currents | NANTERO, INC. |
10885983 | Nonvolatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10885984 | Area effective erase voltage isolation in NAND memory | SANDISK TECHNOLOGIES LLC |
10885994 | Interleaved program and verify in non-volatile memory | SANDISK TECHNOLOGIES LLC |
10885996 | Processor having a programmable function unit | PACT XPP SCHWEIZ AG |
10886037 | Conductor and method of manufacturing the same | ALPS ALPINE CO., LTD. |
10886048 | Laminated coil substrate | IBIDEN CO., LTD. |
10886049 | Coiled coupled-line hybrid coupler | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10886067 | Multilayer ceramic capacitor and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886069 | Multilayer ceramic electronic device and circuit board having same | TAIYO YUDEN CO., LTD. |
10886130 | Methods of forming crystalline semiconductor material, and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10886140 | 3D NAND etch | APPLIED MATERIALS, INC. |
10886145 | Production of a multi-chip component | OSRAM OLED GMBH |
10886146 | Copper foil with carrier, production method for same, production method for coreless support with wiring layer, and production method for printed circuit board | MITSUI MINING & SMELTING CO., LTD. |
10886152 | Method and system for dual stretching of wafers for isolated segmented chip scale packages | LUMILEDS LLC |
10886153 | Display including an LED element having a pressure sensitive adhesive (PSA) for micro pick and bond assembly of the display | INTEL CORPORATION |
10886158 | Method for transferring structures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886160 | Sinker to buried layer connection region for narrow deep trenches | TEXAS INSTRUMENTS INCORPORATED |
10886162 | Semiconductor-on-insulator substrate for RF applications | SOITEC |
10886164 | Isolated semiconductor layer over buried isolation layer | TEXAS INSTRUMENTS INCORPORATED |
10886167 | Semiconductor device for improving device characteristics | SAMSUNG ELECTRONICS CO., LTD. |
10886172 | Methods for wordline separation in 3D-NAND devices | APPLIED MATERIALS, INC. |
10886177 | 3D chip with shared clock distribution network | XCELSIS CORPORATION |
10886178 | Device with highly active acceptor doping and method of production thereof | GLOBALFOUNDRIES INC. |
10886179 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886181 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886182 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
10886183 | Method and structure for forming a vertical field-effect transistor using a replacement metal gate process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886185 | Electrical component testing in stacked semiconductor arrangement | -- |
10886192 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886194 | Radiator component and heat dissipation system for power semiconductor device | SIEMENS AKTIENGESELSCHAFT |
10886195 | Systems and methods for improved through-silicon-vias | INTEL CORPORATION |
10886200 | Power module and manufacturing method thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10886201 | Power device having a substrate with metal layers exposed at surfaces of an insulation layer and manufacturing method thereof | -- |
10886207 | Semiconductor device | DENSO CORPORATION |
10886208 | Semiconductor device package, electronic assembly and method for manufacturing the same | -- |
10886213 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10886214 | Semiconductor device and method for manufacturing same | MICRON TECHNOLOGY, INC. |
10886217 | Integrated circuit device with back-side interconnection to deep source/drain semiconductor | INTEL CORPORATION |
10886220 | Semiconductor integrated circuit device | SOCIONEXT INC. |
10886221 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10886222 | Via contact, memory device, and method of forming semiconductor structure | -- |
10886223 | Semiconductor package | -- |
10886227 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10886228 | Improving size and efficiency of dies | INTEL CORPORATION |
10886229 | Controlling warp in semiconductor laminated substrates with conductive material layout and orientation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886231 | Method of forming RDLS and structure formed thereof | -- |
10886232 | Package structure and fabrication methods | APPLIED MATERIALS, INC. |
10886242 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886245 | Semiconductor structure, 3DIC structure and method of fabricating the same | -- |
10886247 | Power converter | MITSUBISHI ELECTRIC CORPORATION |
10886249 | Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization | AMS INTERNATIONAL AG |
10886250 | Structures and methods for low temperature bonding using nanoparticles | INVENSAS CORPORATION |
10886251 | Multi-layered composite bonding materials and power electronics assemblies incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10886253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886254 | Flip-chip electronic device with carrier having heat dissipation elements free of solder mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886255 | Die stack structure, semiconductor package having the same and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10886256 | Methods and systems for wafer bonding alignment compensation | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886257 | Micro LED display device and method for manufacturing same | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
10886258 | LED filament comprising conversion layer | OSRAM OLED GMBH |
10886259 | Display devices | -- |
10886260 | Display device | -- |
10886261 | Light emitting diode (LED) display and method for manufacturing the same | A.U. VISTA, INC. |
10886262 | Light bulb | -- |
10886263 | Stacked semiconductor package assemblies including double sided redistribution layers | -- |
10886264 | Manufacturing method of light-emitting diode package structure | -- |
10886265 | Integrated circuit device with a two-dimensional semiconductor material and a dielectric material that includes fixed charges | INTEL CORPORATION |
10886266 | Integration of vertical GaN varactor with HEMT | QUALCOMM INCORPORATED |
10886267 | Reference voltage generation device | ABLIC INC. |
10886268 | Method of manufacturing a semiconductor device with separated merged source/drain structure | -- |
10886269 | Semiconductor device and manufacturing method thereof | -- |
10886270 | Manufacturing method of semiconductor device | -- |
10886271 | Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886272 | Techniques for forming dual-strain fins for co-integrated n-MOS and p-MOS devices | INTEL CORPORATION |
10886273 | Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors | MICRON TECHNOLOGY, INC. |
10886274 | Two-terminal vertical 1T-DRAM and method of fabricating the same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10886275 | Nanosheet one transistor dynamic random access device with silicon/silicon germanium channel and common gate structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886276 | Semiconductor memory device and method of fabricating the same | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10886277 | Methods of manufacturing devices including a buried gate cell and a bit line structure including a thermal oxide buffer pattern | SAMSUNG ELECTRONICS CO., LTD. |
10886278 | Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10886279 | Device structure for forming semiconductor device having angled contacts | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10886280 | Semiconductor device having a gate and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10886281 | Transistor and capacitor structures for analog memory neural network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886282 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10886283 | Compact antifuse element and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
10886284 | Anti-fuse with reduced programming voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886285 | Memory circuitry and methods of forming memory circuitry | MICRON TECHNOLOGY, INC. |
10886286 | Vertical memory control circuitry located in interconnect layers | INTEL CORPORATION |
10886287 | Multiple-time programmable (MTP) memory device with a wrap-around control gate | GLOBALFOUNDRIES INC. |
10886288 | Vertical semiconductor memory device structures including vertical channel structures and vertical dummy structures | SAMSUNG ELECTRONICS CO., LTD. |
10886289 | Integrated circuit device including vertical memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10886290 | Etching of silicon nitride and silica deposition control in 3D NAND structures | TOKYO ELECTRON LIMITED |
10886291 | Joint opening structures of three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886292 | Semiconductor device, semiconductor wafer, memory device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886293 | Semiconductor device and method of fabricating the same | TOSHIBA MEMORY CORPORATION |
10886294 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886295 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10886296 | Three-dimensional semiconductor devices including vertical structures with varied spacing | SAMSUNG ELECTRONICS CO., LTD. |
10886297 | Semiconductor memory device including a laminated body with a plurality of semiconductor layers | TOSHIBA MEMORY CORPORATION |
10886298 | Method of forming a memory device | -- |
10886299 | Semiconductor memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10886300 | Semiconductor device | ROHM CO., LTD. |
10886301 | Test circuit, array substrate, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886302 | Device substrate | -- |
10886303 | Array substrate with stepped groove and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886304 | Array substrate, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886305 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886306 | Display device with overlapping lines spaced apart from an insulating layer | SAMSUNG DISPLAY CO., LTD. |
10886307 | Flexible electronic device | -- |
10886308 | Display device | JAPAN DISPLAY INC. |
10886309 | High-speed light sensing apparatus II | ARTILUX, INC. |
10886310 | Photoelectric sensor, fabricating method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886311 | Photo-detecting apparatus | ARTILUX, INC. |
10886312 | High-speed light sensing apparatus II | ARTILUX, INC. |
10886313 | Solid-state imaging element and solid-state imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10886314 | Radiation detector | SHARP KABUSHIKI KAISHA |
10886315 | Photosensitive assembly and formation methods thereof, lens module, and electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10886316 | Linear image sensor and method for manufacturing same | HAMAMATSU PHOTONICS K.K. |
10886317 | Fabrication of optical metasurfaces | ELWHA LLC |
10886318 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10886320 | Mechanisms for forming image-sensor device with epitaxial isolation feature | -- |
10886321 | Color image-capture element and image capture device | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
10886322 | Multi-spectral sensor with stacked photodetectors | SORBONNE UNIVERSITÉ |
10886323 | Infrared detector, infrared detection device, and method of manufacturing infrared detector | FUJITSU LIMITED |
10886324 | Detection panel and detection device | BOE TECHNOLOGY GROUP CO., LTD. |
10886325 | Infrared detector devices and focal plane arrays having a transparent common ground structure and methods of fabricating the same | L3 CINCINNATI ELECTRONICS CORPORATION |
10886326 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886327 | Light emitting stacked structure and display device having the same | SEOUL VIOSYS CO., LTD. |
10886328 | Monolithically integrated GaN light-emitting diode with silicon transistor for displays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886329 | Thermoelectric micro-supercapacitor integrated device and manufacturing method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10886330 | Memory device having overlapping magnetic tunnel junctions in compliance with a reference pitch | SPIN MEMORY, INC. |
10886331 | Magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10886332 | Memory cell with independently-sized elements | MICRON TECHNOLOGY, INC. |
10886333 | Memory structure including gate controlled three-terminal metal oxide components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886334 | Vertical array of resistive switching devices having a tunable oxygen vacancy concentration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886335 | Imaging element, stacked-type imaging element, imaging apparatus and electronic apparatus | SONY CORPORATION |
10886336 | Photoelectric conversion devices and organic sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10886337 | Display device and electronic apparatus | SONY CORPORATION |
10886338 | Touch panel, method for driving the same, and touch device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886339 | Display device | LG DISPLAY CO., LTD. |
10886340 | Display panel and display device with reduced frame width | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10886341 | Display panel and method for preparing the same, display panel motherboard and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886342 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10886343 | Pixel defining layer and method for manufacturing the same, display panel and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886344 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886345 | OLED display panel having a roughened surface, display apparatus and method of manufacturing OLED display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10886346 | Display panel and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10886348 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886349 | Display device including shared transistors among pixels | SAMSUNG DISPLAY CO., LTD. |
10886350 | Electroluminescent device | LG DISPLAY CO., LTD. |
10886351 | Display device | JAPAN DISPLAY INC. |
10886352 | Pixel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10886353 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10886354 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886355 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
10886356 | Display panel with high stability | BOE TECHNOLOGY GROUP CO., LTD. |
10886357 | Circuit for preventing static electricity and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10886358 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886359 | Organic light emitting display device including an organic light emitting diode connected to connection electrodes | SAMSUNG DISPLAY CO., LTD. |
10886360 | Display panel and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886361 | Semiconductor devices including resistor structures | SAMSUNG ELECTRONICS CO., LTD. |
10886363 | Metal-insulator-metal capacitor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886364 | Vertical memory cell with mechanical structural reinforcement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886366 | Semiconductor structures for peripheral circuitry having hydrogen diffusion barriers and method of making the same | SANDISK TECHNOLOGIES LLC |
10886375 | Semiconductor device having buried gate structure and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10886378 | Method of forming air-gap spacers and gate contact over active region and the resulting device | GLOBALFOUNDRIES INC. |
10886379 | Semiconductor device and method of manufacturing same | RENESAS ELECTRONICS CORPORATION |
10886381 | Epitaxial structure of N-face group III nitride, active device, and method for fabricating the same with integration and polarity inversion | -- |
10886382 | Cascode amplifier optimization | SKYWORKS SOLUTIONS, INC. |
10886383 | Replacement gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10886385 | Semiconductor structures having increased channel strain using fin release in gate regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886389 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10886405 | Semiconductor structure | -- |
10886406 | Semiconductor structure and method of manufacturing the same | -- |
10886407 | Semiconductor device, manufacturing method for semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10886408 | Group III-V material transistors employing nitride-based dopant diffusion barrier layer | INTEL CORPORATION |
10886409 | Display backplate and fabrication method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886410 | Thin film transistor, display substrate, method for manufacturing the same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886411 | Semiconductor device and display unit | JOLED INC. |
10886412 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886413 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886414 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886419 | Semiconductor structure including a varactor and method for the formation thereof | GLOBALFOUNDRIES INC. |
10886420 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSORS SINGAPORE PTE. LTD. |
10886427 | Optoelectronic device comprising three-dimensional diodes | ALEDIA |
10886429 | Method of manufacturing an optoelectronic device by transferring a conversion structure onto an emission structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886430 | Light-emitting device and method of manufacturing the same | NICHIA CORPORATION |
10886432 | Light emitting display device | LG DISPLAY CO., LTD. |
10886440 | Wavelength converted semiconductor light emitting device | LUMILEDS LLC |
10886443 | Light emitting device package and light emitting device package module | SAMSUNG ELECTRONICS CO., LTD. |
10886444 | Solid state optoelectronic device with preformed metal support substrate | MICRON TECHNOLOGY, INC. |
10886451 | Thermoelectric material, method of fabricating the same, and thermoelectric device | UNIVERSITY-INDUSTRY FOUNDATION (UIF), YONSEI UNIVERSITY |
10886452 | Selective and direct deposition technique for streamlined CMOS processing | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10886454 | Antenna-based qubit annealing method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886456 | Nonvolatile magnetic memory device | SONY CORPORATION |
10886457 | Spin orbit torque magnetic RAM | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10886459 | Multi-resistance MRAM | SANDISK TECHNOLOGIES LLC |
10886460 | Magnetic tunnel junction device with spin-filter structure | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10886461 | Highly physical etch resistive photoresist mask to define large height sub 30nm via and metal hard mask for MRAM devices | -- |
10886462 | Encapsulated memory pillars | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886464 | Selective phase change material growth in high aspect ratio dielectric pores for semiconductor device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886465 | Resistive random access memory device | -- |
10886466 | Variable resistor, non-volatile memory element using the same, and method of fabricating the same | HANKUK UNIVERSITY OF FOREIGN STUDIES RESEARCH BUSINESS FOUNDATION |
10886468 | Manufacturing method and manufacturing apparatus for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10886469 | Display device | JAPAN DISPLAY INC. |
10886470 | Organic light emitting display device and open/short test method thereof | SAMSUNG DISPLAY CO., LTD. |
10886480 | Flexible display device and method of manufacturing flexible display device | SAMSUNG DISPLAY CO., LTD. |
10886481 | Display substrate with angle-adjusting portion, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886482 | Display device having stress buffer layered vias | BOE TECHNOLOGY GROUP CO., LTD. |
10886483 | Stretchable display device | LG DISPLAY CO., LTD. |
10886484 | Organic thin film photovoltaic device module and electronic apparatus | ROHM CO., LTD. |
10886485 | Quantum dot light emitting diode (QLED) and manufacture method thereof, display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10886488 | Display device | -- |
10886489 | Flexible electroluminescence display | LG DISPLAY CO., LTD. |
10886492 | Array substrate and display panel comprising fracture opening for blocking carrier transportation between adjacent sub-pixels | BOE TECHNOLOGY GROUP CO., LTD. |
10886493 | Display device including a crack detection line | SAMSUNG DISPLAY CO., LTD. |
10886494 | Display element | SAMSUNG DISPLAY CO., LTD. |
10886496 | Display unit, method of manufacturing display unit, and electronic apparatus | SONY CORPORATION |
10886499 | Light emitting display apparatus and method of manufacturing the same | LG DISPLAY CO., LTD. |
10886500 | Display panel, manufacturing method thereof, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10886502 | Barrier, barrier manufacturing method, display including barrier, and method of manufacturing display including barrier | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10886505 | Manufacturing method of display apparatus utilizing dam portion and display apparatus manufactured using the method | SAMSUNG DISPLAY CO., LTD. |
10886644 | Method for contacting a contact surface on a flexible circuit with a metal contact, crimping part, connection of flexible circuit and metal contact and control device | VITESCO TECHNOLOGIES GERMANY GMBH |
10886646 | High speed hot shoe | WILCOX INDUSTRIES CORP. |
10886669 | Electrical connector mounted on a substrate with shield structures around the terminals and an insulative housing | YAZAKI CORPORATION |
10886670 | PCB-based connector device | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10886694 | Hermetic capsule and method | LIGHTWAVE LOGIC INC. |
10886699 | Methods and systems for reducing size weight and power (SWaP) in high energy laser systems | SCIENCE RESEARCH LABORATORIES, INC. |
10886727 | Irrigation controller having transformer with resettable fuse | RAIN BIRD CORPORATION |
10886729 | Electrostatic discharge protection device for high supply voltage operations | -- |
10886730 | Filter having an ESD protection device | MURATA MANUFACTURING CO., LTD. |
10886732 | Reverse direction high-electron-mobility transistor circuit | -- |
10886751 | Wireless connector transmitter module | NUCURRENT, INC. |
10886810 | Brushless motor and winding method for stator | SHINANO KENSHI KABUSHIKI KAISHA |
10886838 | Semiconductor integrated circuit for discharging and power supply system | MITSUMI ELECTRIC CO., LTD. |
10886862 | Semiconductor device | JTEKT CORPORATION |
10886884 | Inductively coupled filter and wireless fidelity WiFi module | HUAWEI TECHNOLOGIES CO., LTD. |
10886909 | Electric assembly including an insulated gate bipolar transistor device and a wide-bandgap transistor device | INFINEON TECHNOLOGIES AG |
10886911 | Stacked FET switch bias ladders | PSEMI CORPORATION |
10886921 | Multi-chip stacked devices | XILINX, INC. |
10886924 | Logic drive using standard commodity programmable logic IC chips | -- |
10886952 | Low-cost method for selectively reducing switch loss | MOTOROLA MOBILITY LLC |
10887437 | Display panel | WUHAN CHIMA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
10887499 | Camera assembly and packaging methods thereof, lens module, and electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10887536 | Image sensor with reduced noise | SAMSUNG ELECTRONICS CO., LTD. |
10887537 | Compressive sensing based image capture using dynamic masking | PERCEIVE CORPORATION |
10887539 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10887946 | Sensor system upgrade kit for conveyorized oven | EMBEDDED DESIGNS, INC. |
10887961 | Waterproof, dual-polarity decorative light string | LEDUP MANUFACTURING GROUP LIMITED |
10887977 | Hybrid component carrier and method for manufacturing the same | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10887978 | Expanding thermal device and system for effecting heat transfer within electronics assemblies | RAYTHEON COMPANY |
10887979 | Low cycle fatigue prevention | HAMILTON SUNDSTRAND CORPORATION |
10887980 | Coupled via structure, circuit board having the coupled via structure and method of manufacturing the circuit board | SAMSUNG ELECTRONICS CO., LTD. |
10887981 | Substrate, power supply device, and substrate insulation method | RICOH COMPANY, LTD. |
10887982 | Voltage regulator module with cooling structure | TESLA, INC. |
10887983 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10887984 | Resin composition, prepreg, metal-clad laminate, and wiring board | KYOCERA CORPORATION |
10887985 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10887986 | Printed circuit board and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10887988 | Circuit substrate, component-mounted substrate, and methods of manufacturing circuit substrate and component-mounted substrate | NICHIA CORPORATION |
10887989 | Printed wiring board | SUMITOMO ELECTRIC PRINTED CIRCUITS, INC. |
10887990 | Power line structure, array substrate and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10887991 | Wiring substrate for inspection apparatus | NGK SPARK PLUG CO., LTD. |
10887992 | Camera head | MEDIGUS LTD. |
10887993 | Standoff connector for electrical devices | TEXAS INSTRUMENTS INCORPORATED |
10887994 | Antenna substrate and antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10887995 | Method for manufacturing a printed circuit board including an embedded electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10887996 | Electronic components coated with a topological insulator | THE BOEING COMPANY |
10887997 | Method for manufacturing flexible printed circuit board (FPCB) and apparatus for manufacturing FPCB | INKTEC CO., LTD. |
10887998 | Method and an arrangement for producing electrically conductive patterns on substrates | STORA ENSO OYJ |
10887999 | Mounting body manufacturing method and anisotropic conductive film | DEXERIALS CORPORATION |
10888000 | Manufacturing method of circuit board and of semiconductor device including the same | -- |
10888001 | Circuit carrier board structure and manufacturing method thereof | -- |
10888002 | Component carrier with embedded tracks protruding up to different heights | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10888003 | Copper foil with carrier, coreless support with wiring layer, and method for producing printed circuit board | MITSUI MINING & SMELTING CO., LTD. |
10888004 | Display box and display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10888010 | Retention of dual in-line memory modules | INTEL CORPORATION |
10888011 | Modular apparatuses and system for backplane connections | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888012 | Printed circuit board orientations | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888031 | Memory device with memory modules located within liquid coolant chamber | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888036 | Thermal management assemblies for electronic assemblies circumferentially mounted on a motor | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10888040 | Double-sided module with electromagnetic shielding | QORVO US, INC. |
10888217 | Imaging module applicable to head-swing endoscope | FUJIKURA LTD. |
10888257 | Connectors for making connections between analyte sensors and other devices | ABBOTT DIABETES CARE INC. |
10888276 | Living body-attachable electrode | MURATA MANUFACTURING CO., LTD. |
10888299 | Method and apparatus for x-ray imaging and gain calibration of detector and detector bracket | GENERAL ELECTRIC COMPANY |
10888706 | External charger for an implantable medical device having a thermal diffuser | BOSTON SCIENTIFIC NEUROMODULATION CORPORATION |
10888897 | Transducer, transducer array, and method of making the same | CTS CORPORATION |
10888941 | Power semiconductor module | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10888943 | Viscous fluid supply device | FUJI CORPORATION |
10888957 | Soldering material | SENJU METAL INDUSTRY CO., LTD. |
10888958 | Hybrid high temperature lead-free solder preform | INDIUM CORPORATION |
10888961 | Joining material and method for manufacturing joined body | MURATA MANUFACTURING CO., LTD. |
10889086 | Resin film, substrate for printed wiring board, and printed wiring board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10889101 | Screen printer including clamp device to grip board | FUJI CORPORATION |
10889103 | Screen printer and screen printing method | FUJI CORPORATION |
10889729 | Curable composition, cured object, overcoat film, coated flexible wiring board, and process for producing same | NIPPON POLYTECH CORP. |
10889734 | Stretchable polymer thick film compositions for thermoplastic substrates and wearables electronics | DUPONT ELECTRONICS, INC. |
10889741 | Fluorocarbon resin composition and prepreg and copper foil substrate using the same | -- |
10889897 | Method for producing electroconductive laminate, three-dimensional structure with plated-layer precursor layer, three-dimensional structure with patterned plated layer, electroconductive laminate, touch sensor, heat generating member, and three-dimensional structure | FUJIFILM CORPORATION |
10890313 | Optic for a light source | ABL IP HOLDING LLC |
10890318 | Hermetically sealed LED light and method for manufacturing a hermetically sealed LED light | SCHOTT AG |
10890554 | Sensors with a non-planar sensing structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10890555 | Robust GMOs | TECHNION RESEARCH AND DEVELOPMENT FOUNDATION LTD. |
10890629 | Magnetic sensor | TDK CORPORATION |
10890673 | X-ray detector having a carrier element with protective element along the side faces | SIEMENS HEALTHCARE GMBH |
10890813 | Electronic device having a first electronic component and a second electronic component connected by wiring, and manufacturing method therefor | OMRON CORPORATION |
10890814 | Display having dummy sub-pixels with dummy color resists | -- |
10890816 | Display device | LG DISPLAY CO., LTD. |
10890846 | Photosensitive resin composition and cured film prepared therefrom | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD |
10890945 | Electronic device with display panel | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10890994 | Touch sensor integrated color filter and manufacturing method for the same | DONGWOO FINE-CHEM CO., LTD. |
10890995 | Touch display device and display panel with reduced signal noise | LG DISPLAY CO., LTD. |
10890997 | Display device | SAMSUNG DISPLAY CO., LTD. |
10890998 | Input sensing unit and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
10891008 | Touch display device and touch panel to reduce undesired capacitance | LG DISPLAY CO., LTD. |
10891010 | Display device and touch display device | -- |
10891190 | Flash memory and operation method thereof | GIGADEVICE SEMICONDUCTOR (BEIJING) INC. |
10891460 | Systems and methods for optical sensing with angled filters | WILL SEMICONDUCTOR (SHANGHAI) CO. LTD. |
10891883 | Display device | SAMSUNG DISPLAY CO., LTD. |
10891889 | Display device | SAMSUNG DISPLAY CO., LTD. |
10891892 | Display device | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10891898 | Pixel circuit for top-emitting AMOLED panel and driving method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10891899 | Display device, electronic device, and body-biasing circuit | LG DISPLAY CO., LTD. |
10891987 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10892000 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10892004 | Sub word line driver of semiconductor memory device | SK HYNIX INC. |
10892009 | Magnetic wall utilization-analog memory element and magnetic wall utilization analog memory | TDK CORPORATION |
10892010 | Method for controlling accumulated resistance property of ReRAM device | -- |
10892011 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
10892013 | Two-port ternary content addressable memory and layout pattern thereof, and associated memory device | -- |
10892015 | Nonvolatile memory device and method of programming in the same | SAMSUNG ELECTRONICS CO., LTD. |
10892016 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. |
10892019 | Methods of erasing data in nonvolatile memory devices and nonvolatile memory devices performing the same | SAMSUNG ELECTRONICS CO., LTD. |
10892020 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10892021 | On-die capacitor for a memory device | SANDISK TECHNOLOGIES LLC |
10892023 | Three-dimensional memory device programming with reduced disturbance | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892065 | Method for forming metal pattern, and electric conductor | TANAKA KIKINZOKU KOGYO K.K. |
10892079 | Multilayer coil component | MURATA MANUFACTURING CO., LTD. |
10892083 | Thermal management of toroidal transformer mounted on a printed wiring board stiffener | HAMILTON SUNDSTRAND CORPORATION |
10892085 | Circuit board assemblies having magnetic components | ASTEC INTERNATIONAL LIMITED |
10892099 | Fringe capacitor for high resolution ADC | NXP USA, INC. |
10892130 | Protection device and circuit protection apparatus containing the same | -- |
10892158 | Manufacturing method of a semiconductor device and a plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10892168 | Semiconductor device and method for forming a semiconductor device | INFINEON TECHNOLOGIES AG |
10892169 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10892170 | Fabricating an integrated circuit chip module with stiffening frame and orthogonal heat spreader | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892181 | Semiconductor device with mitigated local layout effects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892189 | Method for manufacturing a semiconductor device having a dummy section | LAPIS SEMICONDUCTOR CO., LTD. |
10892192 | Non-planar I/O and logic semiconductor devices having different workfunction on common substrate | INTEL CORPORATION |
10892193 | Controlling active fin height of FinFET device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892194 | Semiconductor device and method for fabricating the same | -- |
10892195 | Method and structure for forming a vertical field-effect transistor using a replacement metal gate process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892196 | Magnetic property measuring system, a method for measuring magnetic properties, and a method for manufacturing a magnetic memory device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10892200 | Semiconductor apparatus and electric power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10892202 | External gettering method and device | MICRON TECHNOLOGY, INC. |
10892203 | Power semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
10892207 | Power module | ROBERT BOSCH GMBH |
10892208 | Heat dissipation apparatus and method for power semiconductor devices | BEIJING E. MOTOR ADVANCE CO. LTD. |
10892213 | Wiring structure and method for manufacturing the same | -- |
10892214 | Semiconductor chip comprising a multiplicity of external contacts, chip arrangement and method for checking an alignment of a position of a semiconductor chip | INFINEON TECHNOLOGIES AG |
10892216 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10892218 | Semiconductor device | ROHM CO., LTD. |
10892219 | Molded embedded bridge for enhanced EMIB applications | INTEL CORPORATION |
10892222 | Anti-fuse for an integrated circuit (IC) product and method of making such an anti-fuse for an IC product | GLOBALFOUNDRIES INC. |
10892223 | Advanced lithography and self-assembled devices | INTEL CORPORATION |
10892224 | Apparatuses comprising protective material along surfaces of tungsten-containing structures | MICRON TECHNOLOGY, INC. |
10892226 | Power semiconductor module | LSIS CO., LTD. |
10892228 | Method of manufacturing conductive feature and method of manufacturing package | -- |
10892236 | Integrated circuit having a periphery of input/output cells | QUALCOMM INCORPORATED |
10892243 | Anisotropic electrically conductive film and connection structure | DEXERIALS CORPORATION |
10892244 | Apparatus and method for securing substrates with varying coefficients of thermal expansion | CEREBRAS SYSTEMS INC. |
10892246 | Structures and methods for low temperature bonding using nanoparticles | INVENSAS CORPORATION |
10892248 | Multi-stacked die package with flexible interconnect | INTEL CORPORATION |
10892249 | Carrier and integrated memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892250 | Stacked package structure with encapsulation and redistribution layer and fabricating method thereof | -- |
10892251 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10892252 | Face-to-face mounted IC dies with orthogonal top interconnect layers | XCELSIS CORPORATION |
10892253 | Semiconductor device manufacturing method and semiconductor device | FUJI ELECTRIC CO., LTD. |
10892254 | Defect-tolerant layout and packaging for GaN power devices | -- |
10892255 | Method of manufacturing light emitting module | NICHIA CORPORATION |
10892256 | Light emitting display system having improved fire performance | NANOLUMENS ACQUISITION, INC. |
10892257 | Foldable display device | -- |
10892258 | ESD-robust stacked driver | NXP B.V. |
10892259 | Apparatus containing circuit-protection devices | MICRON TECHNOLOGY, INC. |
10892260 | Capacitor | -- |
10892261 | Metal resistor and self-aligned gate edge (SAGE) architecture having a metal resistor | INTEL CORPORATION |
10892262 | Semiconductor device having junctionless vertical gate transistor and method of manufacturing the same | SK HYNIX INC. |
10892263 | Methods of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892264 | Memory device having 2-transistor vertical memory cell | MICRON TECHNOLOGY, INC. |
10892265 | Word line structure and method of manufacturing the same | -- |
10892266 | Nonvolatile memory structure and array | -- |
10892267 | Three-dimensional memory device containing through-memory-level contact via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10892268 | Integrated structures containing vertically-stacked memory cells | MICRON TECHNOLOGY, INC. |
10892269 | Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit | TOSHIBA MEMORY CORPORATION |
10892270 | Semiconductor memory device having an array chip bonded to a circuit chip by a bonding metal | TOSHIBA MEMORY CORPORATION |
10892272 | Semiconductor memory devices including a stress relief region | SAMSUNG ELECTRONICS CO., LTD. |
10892273 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10892274 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892275 | Stacked connections in 3D memory and methods of making the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892276 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892277 | High-κ dielectric layer in three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892278 | Three-dimensional semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10892279 | NAND string containing separate hole and electron tunneling dielectric layers and methods for forming the same | SANDISK TECHNOLOGIES LLC |
10892280 | Inter-deck plug in three-dimensional memory device and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892281 | Method for manufacturing a transistor having a sharp junction by forming raised source-drain regions before forming gate regions and corresponding transistor produced by said method | STMICROELECTRONICS, INC. |
10892282 | Metal oxide film and method for forming metal oxide film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10892283 | Flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10892284 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10892285 | Display panel and manufacturing method thereof | -- |
10892286 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10892287 | Image sensor with image receiver and automatic image switching | CISTA SYSTEM CORP. |
10892288 | Solid state imaging device | KABUSHIKI KAISHA TOSHIBA |
10892289 | Optical sensors including a light-impeding pattern | SAMSUNG ELECTRONICS CO., LTD. |
10892290 | Interconnect layer contact and method for improved packaged integrated circuit reliability | OMNIVISION TECHNOLOGIES, INC. |
10892291 | Bonding pad architecture using capacitive deep trench isolation (CDTI) structures for electrical connection | STMICROELECTRONICS (CROLLES 2) SAS |
10892292 | Back-side illuminated image sensor | STMICROELECTRONICS (CROLLES 2) SAS |
10892293 | Solid-state imaging element, imaging device, and electronic device | SONY CORPORATION |
10892294 | Radiation detector element and imager comprising an assembly of radiation detector elements | THALES |
10892295 | Germanium-modified, back-side illuminated optical sensor | MICROSOFT TECHNOLOGY LICENSING, LLC |
10892296 | Light emitting device having commonly connected LED sub-units | SEOUL VIOSYS CO., LTD. |
10892297 | Light emitting diode (LED) stack for a display | SEOUL VIOSYS CO., LTD. |
10892298 | Light emitting diode display device with separation film and partition aligning to each other | SAMSUNG ELECTRONICS CO., LTD. |
10892299 | Magnetic field controlled transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892300 | Storage device | TOSHIBA MEMORY CORPORATION |
10892301 | Photo-electric conversion element, solid-state imaging element, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10892302 | Photoelectric conversion element, imaging element, stacked-type imaging element, and solid-state imaging apparatus | SONY CORPORATION |
10892303 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10892304 | Display device | LG DISPLAY CO., LTD. |
10892305 | Touch structure, organic light emitting diode (OLED) display touch panel and touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10892306 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10892307 | Fingerprint sensor, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10892308 | Display panel and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10892309 | Display apparatus including a plurality of banks and a method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10892310 | Display device including a passivation layer having an uneven surface | SAMSUNG DISPLAY CO., LTD. |
10892311 | Display device and display terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10892312 | Flexible display device | LG DISPLAY CO., LTD. |
10892313 | Display device | SAMSUNG DISPLAY CO., LTD. |
10892314 | Stretchable display device | LG DISPLAY CO., LTD. |
10892315 | Display device | JAPAN DISPLAY INC. |
10892316 | High density ball grid array (BGA) package capacitor design | GOOGLE LLC |
10892317 | Power trench capacitor compatible with deep trench isolation process | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10892321 | MOS transistors in parallel | STMICROELECTRONICS (ROUSSET) SAS |
10892322 | Circuits employing a double diffusion break (DDB) and single diffusion break (SDB) in different type diffusion region(s), and related fabrication methods | QUALCOMM INCORPORATED |
10892323 | Semiconductor structure and manufacturing method thereof | -- |
10892326 | Removal of a bottom-most nanowire from a nanowire device stack | INTEL CORPORATION |
10892328 | Source/drain extension regions and air spacers for nanosheet field-effect transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892329 | Method for manufacturing semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10892330 | FET based synapse network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892335 | Device isolation by fixed charge | INTEL CORPORATION |
10892336 | Wrap-around-contact structure for top source/drain in vertical FETS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892337 | Backside source/drain replacement for semiconductor devices with metallization on both sides | INTEL CORPORATION |
10892340 | Memory cell structures | MICRON TECHNOLOGY, INC. |
10892341 | Flash memory with assistant gate and method of fabricating the same | -- |
10892342 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10892343 | Display device including capping layer covered source and drain electrodes | SAMSUNG DISPLAY CO., LTD. |
10892345 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892346 | Bipolar junction transistor (BJT) for liquid flow biosensing applications without a reference electrode and large sensing area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892349 | FinFETs with deposited fin bodies | MICRON TECHNOLOGY, INC. |
10892350 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10892351 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10892356 | Group III-nitride high-electron mobility transistors with buried p-type layers and process for making the same | CREE, INC. |
10892359 | Semiconductor device | SANKEN ELECTRIC CO., LTD. |
10892361 | Lateral insulated-gate bipolar transistor and method therefor | NXP USA, INC. |
10892366 | Thin film transistor and vertical non-volatile memory device including transition metal-induced polycrystalline metal oxide channel layer | SAMSUNG ELECTRONICS CO., LTD. |
10892375 | Photonic energy storage device | QUANTUM PHOTONICS CORPORATION |
10892386 | Wafer-level light emitting diode package and method of fabricating the same | SEOUL SEMICONDUCTOR CO., LTD. |
10892387 | Lighting device with switching material | LUMILEDS, LLC |
10892391 | Light-emitting device package including a lead frame | SAMSUNG ELECTRONICS CO., LTD. |
10892393 | Light emitting device having external connection with different width | NICHIA CORPORATION |
10892400 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
10892401 | Spin current magnetization rotational element, magnetoresistance effect element and magnetic memory | TDK CORPORATION |
10892403 | Structured bottom electrode for MTJ containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892404 | Sacrificial buffer layer for metal removal at a bevel edge of a substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892407 | Apparatus and methods for electrical switching | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10892408 | Multivalent oxide cap for analog switching resistive memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892409 | Switching device, method of fabricating the same, and non-volatile memory device having the same | SK HYNIX INC. |
10892410 | Variable resistance memory devices and methods of manufacturing variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10892412 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10892413 | Integration of confined phase change memory with threshold switching material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892419 | Space-through charge transfer compound, and organic light emitting diode and display device using the same | LG DISPLAY CO., LTD. |
10892425 | Composition of matter for use in organic light-emitting diodes | KYULUX, INC. |
10892428 | Flexible substrate and manufacturing method thereof | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10892435 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10892437 | Display device including a sealant | SAMSUNG DISPLAY CO., LTD. |
10892438 | Organic light-emitting display device having an upper substrate formed by a metal and method of fabricating the same | LG DISPLAY CO., LTD. |
10892440 | Display device | JAPAN DISPLAY INC. |
10892441 | Display device | SAMSUNG DISPLAY CO., LTD. |
10892442 | Display device | SAMSUNG DISPLAY CO., LTD. |
10892444 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10892445 | Light apparatus for organic light emitting device | LG DISPLAY CO., LTD. |
10892568 | Connection assembly for connecting a thin conductor piece to a thick conductor piece | TE CONNECTIVITY GERMANY GMBH |
10892569 | Electrical connection box and ground connection structure thereof | YAZAKI CORPORATION |
10892588 | Electrical connections for wearables and other articles | DUPONT ELECTRONICS, INC. |
10892712 | Stacked-die bulk acoustic wave oscillator package | TEXAS INSTRUMENTS INCORPORATED |
10892756 | Reducing noise effects in electrostatic discharge circuits | TEXAS INSTRUMENTS INCORPORATED |
10892757 | Reverse body biasing of a transistor using a photovoltaic source | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10893130 | Mobile terminal | LG ELECTRONICS INC. |
10893222 | Imaging device and camera system, and driving method of imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10893224 | Imaging element and electronic device | SONY CORPORATION |
10893225 | Electronic device having large dynamic range for image sensing | -- |
10893248 | Imaging sensor and imaging device | MAXELL, LTD. |
10893346 | Display apparatus | LG DISPLAY CO., LTD. |
10893586 | Light emitting diode light structures | AUSTIN IP PARTNERS |
10893601 | Heat dissipation circuit board | MITSUBISHI MATERIALS CORPORATION |
10893602 | Method for producing a power electronics system | SEMIKRON ELEKTRONIK GMBH & CO. KG |
10893603 | Heat dissipation substrate, heat dissipation circuit structure body, and method for manufacturing the same | TATSUTA ELECTRIC WIRE & CABLE CO., LTD. |
10893604 | Potted printed circuit board module and methods thereof | GOODRICH CORPORATION |
10893605 | Textured test pads for printed circuit board testing | SEAGATE TECHNOLOGY LLC |
10893606 | Display device including cutout portion at folding axis | SAMSUNG DISPLAY CO., LTD. |
10893607 | Microcapsule, sheet material, circuit board, method for manufacturing circuit board, and computer readable storage medium | CASIO COMPUTER CO., LTD. |
10893608 | Fabric having multiple layered circuit thereon integrating with electronic devices | -- |
10893609 | Integrated circuit with laminated magnetic core inductor including a ferromagnetic alloy | FERRIC INC. |
10893610 | Switching device driving unit | MITSUBISHI ELECTRIC CORPORATION |
10893611 | Circuit assembly and electrical junction box | AUTONETWORKS TECHNOLOGIES, LTD. |
10893612 | Rigid-flexible printed circuit bord fabrication using inkjet printing | NANO DIMENSION TECHNOLOGIES LTD. |
10893613 | Manufacturing method for printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10893614 | Method for producing a composite material component with an integrated electrical conductor circuit | AIRBUS OPERATIONS GMBH |
10893615 | Printed circuit board composite and method for producing same | CPT ZWEI GMBH |
10893616 | Multilayer printed wiring board production method, adhesive layer-equipped metal foil, metal-clad laminate, and multilayer printed wiring board | SHOWA DENKO MATERIALS CO., LTD. |
10893617 | Multilayer substrate and antenna module | MURATA MANUFACTURING CO., LTD. |
10893618 | Method for manufacturing multilayer substrate | MURATA MANUFACTURING CO., LTD. |
10893619 | Backlight unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10893620 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
10893635 | High frequency power circuit module | MURATA MANUFACTURING CO., LTD. |
10893637 | Electronic device including shield member for shielding at least part of magnetic force generated by magnetic substance and connection portion including property of nonmagnetic substance connected to shield member | SAMSUNG ELECTRONICS CO., LTD. |
10893638 | Dispensing head having a nozzle heater device, system and method | UNIVERSAL INSTRUMENTS CORPORATION |
10893639 | Component mounting using feedback correction | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10894165 | Feedthrough device | -- |
10894294 | Jet solder level confirmation jig and method of handling the same | SENJU METAL INDUSTRY CO., LTD. |
10894375 | Color conversion film and light source unit including the same, display, and lighting apparatus | TORAY INDUSTRIES, INC. |
10894403 | Semiconductor apparatus, liquid discharge head substrate, liquid discharge head, and liquid discharge apparatus | CANON KABUSHIKI KAISHA |
10894526 | Key unit | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10894882 | Low dielectric resin composition, film and circuit board using the same | -- |
10894903 | Nanoparticle filled barrier adhesive compositions | 3M INNOVATIVE PROPERTIES COMPANY |
10894935 | Composition for removing silicone resins and method of thinning substrate by using the same | SAMSUNG ELECTRONICS CO., LTD. |
10895350 | Addressable color changeable LED structure | LUMILEDS LLC |
10895353 | Method of LED light engine assembly | LUMILEDS LLC |
10895372 | Light source board, manufacturing method thereof, and luminous keyboard using the same | -- |
10895594 | Electrical connector assembly having fan support thereon | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10895595 | Electronic component handler and electronic component tester | SEIKO EPSON CORPORATION |
10895598 | At-speed test access port operations | TEXAS INSTRUMENTS INCORPORATED |
10895641 | Proximity sensing device | -- |
10895669 | Light emitting device with self-aligning preformed lens | LUMILEDS LLC |
10895681 | Semiconductor module, manufacturing method thereof, and communication method using the same | RENESAS ELECTRONICS CORPORATION |
10895763 | Light control device, imaging element, and imaging device, and light transmittance control method for light control device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10895774 | Array substrate, manufacturing method, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10895778 | Substrate for electro-optical device, electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
10895791 | Active matrix substrate and liquid crystal display device | SHARP KABUSHIKI KAISHA |
10895792 | Display device | JAPAN DISPLAY INC. |
10895800 | Segmented light or optical power emitting device with fully converting wavelength converting material and methods of operation | LUMILEDS LLC |
10895807 | Cured film and positive photosensitive resin composition | TORAY INDUSTRIES, INC. |
10895883 | HVAC controller with a temperature sensor mounted on a flex circuit | ADEMCO INC. |
10895929 | Display device with touch panel having X, Y and dummy electrodes | JAPAN DISPLAY INC. |
10895937 | Touch screen and manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10896640 | Electroluminescence display device having buffer layer | LG DISPLAY CO., LTD. |
10896646 | Electroluminescent display apparatus | LG DISPLAY CO., LTD. |
10896653 | Display device and electronic apparatus | JAPAN DISPLAY INC. |
10896656 | Active matrix substrate and display device including demultiplexer circuit with reduced drive power | SHARP KABUSHIKI KAISHA |
10896659 | Multi-display | LG DISPLAY CO., LTD. |
10896698 | Main board and computer apparatus with connectors of both dual in-line package and surface mount technology | -- |
10896701 | High-speed data readout apparatus and CMOS image sensor using the same | SK HYNIX INC. |
10896702 | Electronic device and method for driving the same | SK HYNIX INC. |
10896708 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10896711 | Memory device with memory cell structure including ferroelectric data storage layer, and a first gate and a second gate | SAMSUNG ELECTRONICS CO., LTD. |
10896727 | Auto-referenced memory cell read techniques | MICRON TECHNOLOGY, INC. |
10896732 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10896844 | Staircase formation in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10896845 | Airgap vertical transistor without structural collapse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896847 | Techniques for revealing a backside of an integrated circuit device, and associated configurations | INTEL CORPORATION |
10896851 | Vertically stacked transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896852 | Methods for doping a sub-fin region of a semiconductor fin structure and devices containing the same | INTEL CORPORATION |
10896853 | Mask-free methods of forming structures in a semiconductor device | GLOBALFOUNDRIES INC. |
10896854 | Forming fins utilizing alternating pattern of spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896856 | Semiconductor structures, static random access memories, and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10896857 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896859 | Display substrate, method for forming display substrate and method for detecting the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896861 | Heterogeneous multi-layer MMIC assembly | RAYTHEON COMPANY |
10896862 | Thermal interface material on package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896864 | Power semiconductor chip module | HS ELEKTRONIK SYSTEM GMBH |
10896866 | Power module semiconductor device and inverter equipment, and fabrication method of the power module semiconductor device, and metallic mold | ROHM CO., LTD. |
10896867 | Terminal plate and semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10896871 | Circuit board, method for manufacturing circuit board, and electronic device | FUJITSU LIMITED |
10896872 | Connecting structure and circuit | NEC CORPORATION |
10896875 | Forming conductive plugs for memory device | MICRON TECHNOLOGY, INC. |
10896886 | Semiconductor devices having discretely located passivation material, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10896890 | Multi-access memory system and a method to manufacture the system | ALTERA CORPORATION |
10896891 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10896896 | Semiconductor device comprising PN junction diode and schottky barrier diode | ROHM CO., LTD. |
10896897 | LED display module and method of making thereof | SCT LTD. |
10896898 | Edge interconnect self-assembly substrate | INDIANA INTEGRATED CIRCUITS, LLC |
10896899 | Display panel, method for manufacturing the same, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10896900 | Methods and systems for packaging an integrated circuit | MARVELL ASIA PTE, LTD. |
10896901 | Method of manufacturing semiconductor device, and mounting device | SHINKAWA LTD. |
10896902 | Systems and methods for efficient transfer of semiconductor elements | INVENSAS BONDING TECHNOLOGIES, INC. |
10896903 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10896904 | ESD guard ring with snapback protection and lateral buried layers | TEXAS INSTRUMENTS INCORPORATED |
10896905 | Adaptive thermal overshoot and current limiting protection for MOSFETs | TEXAS INSTRUMENTS INCORPORATED |
10896906 | Circuit overvoltage protection | TEXAS INSTRUMENTS INCORPORATED |
10896907 | Retrograde transistor doping by heterojunction materials | INTEL CORPORATION |
10896909 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10896910 | Memory structure and manufacturing method thereof | -- |
10896911 | Method for forming memory device involving ion implantation of the control gate spacer and wet etching process to expose sidewall of control gate | -- |
10896912 | Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896913 | Semiconductor memory device including memory pillars and transistor and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10896914 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10896915 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10896916 | Reverse memory cell | SUNRISE MEMORY CORPORATION |
10896917 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10896918 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
10896919 | Semiconductor integrated circuit device | RENESAS ELECTRONICS CORPORATION |
10896920 | Thin film transistor array substrate and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10896921 | Manufacturing method of array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896922 | Imaging apparatus, imaging system, moving object, and method for manufacturing imaging apparatus | CANON KABUSHIKI KAISHA |
10896923 | Method of operating an imaging device with global shutter system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896924 | Solid-state imaging device, manufacturing method thereof, and camera with alternatively arranged pixel combinations | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10896925 | Detector device with majority current and isolation means | SONY DEPTHSENSING SOLUTIONS SA/NV |
10896926 | Array substrate, method for controlling the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10896927 | Micro-LED transfer method, manufacturing method and device | GOERTEK INC. |
10896928 | Light emitting diode display device | XIAMEN XM-PLUS TECHNOLOGY LTD |
10896929 | Integrated circuit components incorporating energy harvesting components/devices, and methods for fabrication, manufacture and production of integrated circuit components incorporating energy harvesting components/devices | FACE INTERNATIONAL CORPORATION |
10896930 | Memory including a selector switch on a variable resistance memory cell | MICRON TECHNOLOGY, INC. |
10896931 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10896932 | Three dimensional memory array | MICRON TECHNOLOGY, INC. |
10896933 | Display substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS |
10896934 | Light-emitting device, display apparatus, and image pickup apparatus | CANON KABUSHIKI KAISHA |
10896935 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10896936 | Display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896937 | Display devices having a light receiving opening in a display region | -- |
10896938 | Flexible display device having curing material layer in different thicknesses and method for manufacturing the same | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
10896939 | Organic light-emitting display device including pixel defining layer with openings and black matrix with openings | SAMSUNG DISPLAY CO., LTD. |
10896940 | Transparent display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10896941 | Light-emitting device, method for manufacturing the same, and cellular phone | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896942 | Organic EL display device and method for manufacturing same | TORAY INDUSTRIES, INC. |
10896943 | Display device | SAMSUNG DISPLAY CO., LTD. |
10896944 | Illumination lighting apparatus, illumination device, and illumination fixture | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10896945 | Display device including concave/convex structure in the inorganic insulation layer | JAPAN DISPLAY INC. |
10896946 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10896947 | Display device including connective wirings within a display area thereof | SAMSUNG DISPLAY CO., LTD. |
10896948 | Flexible display device with bridged wire traces | LG DISPLAY CO., LTD. |
10896949 | Inductor/transformer with closed ring | QUALCOMM INCORPORATED |
10896952 | SiC device and methods of manufacturing thereof | INFINEON TECHNOLOGIES AG |
10896953 | Diode structures | GLOBALFOUNDRIES INC. |
10896955 | Semiconductor device including a functional layer and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10896957 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10896958 | Silicon-on-insulator backside contacts | QUALCOMM INCORPORATED |
10896964 | Semiconductor devices having variously-shaped source/drain patterns | SAMSUNG ELECTRONICS CO., LTD. |
10896966 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10896976 | Embedded source/drain structure for tall FinFet and method of formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896977 | Composite oxide semiconductor and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896983 | Optical component packaging structure | -- |
10896994 | Light-emitting diode with hyperbolic metamaterial | FACEBOOK TECHNOLOGIES, LLC |
10896996 | Optical device | ROHM CO., LTD. |
10896999 | Electro-optical device, method for manufacturing electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
10897006 | Magnetic memory device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10897007 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10897008 | Magnetoresistive stacks with an unpinned, fixed synthetic anti-ferromagnetic structure and methods of manufacturing thereof | EVERSPIN TECHNOLOGIES, INC. |
10897017 | Display device having a passivation film surrounding a substrate and method for manufacturing the same | LG DISPLAY CO., LTD. |
10897018 | Display device | SAMSUNG DISPLAY CO., LTD. |
10897019 | Display device | SAMSUNG DISPLAY CO., LTD. |
10897020 | Flexible and foldable OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10897021 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10897026 | Display device and manufacturing method of display device | SHARP KABUSHIKI KAISHA |
10897027 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
10897032 | Jig for assembly of battery module | LG CHEM, LTD. |
10897035 | Energy storage apparatus in device with conductive case structure | SEAGATE TECHNOLOGY, LLC |
10897068 | Systems and devices for filtering electrical signals | D-WAVE SYSTEMS INC. |
10897093 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10897098 | Connector and connector assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10897130 | Micro plasma limiter for RF and microwave circuit protection | THE BOEING COMPANY |
10897131 | Electrostatic discharge protection circuit for bypassing an ESD current | -- |
10897132 | Electrostatic discharge protection circuit and design | WESTERN DIGITAL TECHNOLOGIES, INC. |
10897140 | Method of operating a wireless connector system | NUCURRENT, INC. |
10897142 | Half bridge circuit with bootstrap capacitor charging circuit | NAVITAS SEMICONDUCTOR LIMITED |
10897235 | Superconducting signal amplifier | PSIQUANTUM CORP. |
10897559 | Camera and photography assembly | SZ DJI TECHNOLOGY CO., LTD. |
10897576 | Circuit board, electronic apparatus, and image forming apparatus | CANON KABUSHIKI KAISHA |
10897590 | Solid-state imaging apparatus and method of driving the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10897800 | Surface mounted and chip on board, high brightness LED replaceable lighting system | LEDUP MANUFACTURING GROUP LIMITED |
10897809 | Printed circuit board, air conditioner, and method for manufacturing printed circuit board | MITSUBISHI ELECTRIC CORPORATION |
10897810 | High speed signal fan-out method for BGA and printed circuit board using the same | CELESTICA TECHNOLOGY CONSULTANCY (SHANGHAI) CO. LTD |
10897811 | Electronic device module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10897812 | Component carrier having a component shielding and method of manufacturing the same | AT&S (CHONGQING) COMPANY LIMITED |
10897813 | Differential trace pair system | DELL PRODUCTS L.P. |
10897814 | Characterization vehicles for printed circuit board and system design | PDF SOLUTIONS, INC. |
10897815 | Display device, flexible wiring board, method of manufacturing the display device, and electronic device | JAPAN DISPLAY INC. |
10897816 | Rigid-flex circuit board | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
10897817 | Thermally expandable material, sheet material, circuit board, method for manufacturing circuit board, computer readable storage medium, electronic apparatus, and structure to analyze heat-generation position | CASIO COMPUTER CO., LTD. |
10897818 | Metal-clad laminate, method for producing same, metal foil with resin, and printed wiring board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10897819 | Display panel, flexible circuit board and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10897820 | Printed wiring board, printed circuit board, and electronic device | CANON KABUSHIKI KAISHA |
10897821 | Method of making single reflow power pin connections | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10897822 | Electronic device comprising an electronic component mounted on a support substrate and assembly method | STMICROELECTRONICS (GRENOBLE 2) SAS |
10897823 | Circuit board, package structure and method of manufacturing the same | -- |
10897824 | Encapsulation of downhole microelectronics and method the same | BAKER HUGHES, A GE COMPANY, LLC |
10897826 | Cover window of flexible display device and flexible display device having the same | SAMSUNG DISPLAY CO., LTD. |
10897829 | Casing assembly with flash lens and decorative ring and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10898101 | Systems, articles, and methods for electromyography sensors | FACEBOOK TECHNOLOGIES, LLC |
10898168 | Micron-scale ultrasound identification sensing tags | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
10898946 | Semiconductor-mounting heat dissipation base plate and production method therefor | MITSUBISHI ELECTRIC CORPORATION |
10899154 | Method for producing a sensor structure and sensor having the sensor structure | WIKA ALEXANDER WIEGAND SE & CO. KG |
10899357 | Bi-directional snap fit electronic unit | VEONEER US, INC. |
10899620 | Carbon conductive structure and method of manufacturing the same | FUJITSU LIMITED |
10899672 | Ceramic material for generating light | KONINKLIJKE PHILIPS N.V. |
10899884 | Flame-retardant polyetheretherketone-based compounds | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10899886 | Polyimide precursor solution and method for producing same | LG CHEM, LTD. |
10899940 | Interlayer printing process | XEROX CORPORATION |
10900154 | Knit fabric with electrical components | APPLE INC. |
10900412 | Electronics assembly having a heat sink and an electrical insulator directly bonded to the heat sink | BORGWARNER INC. |
10900620 | LED tube lamp | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10900625 | Retainer ring for a light fixture | ABL IP HOLDING LLC |
10900924 | Porous nanostructured electrodes for detection of neurotransmitters | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900999 | Microscopy imaging | ALENTIC MICROSCIENCE INC. |
10901022 | Electrostatic detecting device | -- |
10901049 | Magnetic sensor and method for manufacturing said magnetic sensor | DENSO CORPORATION |
10901123 | Curable composition, cured film, near infrared cut filter, camera module and method for manufacturing camera module | FUJIFILM CORPORATION |
10901253 | Button deck assembly for an electronic gaming machine and method for making the same | ARISTOCRAT TECHNOLOGIES AUSTRALIA PTY LIMITED |
10901260 | Displays with direct-lit backlight units | APPLE INC. |
10901275 | Display device | SAMSUNG DISPLAY CO., LTD. |
10901276 | Display device | SAMSUNG DISPLAY CO., LTD. |
10901277 | Display device, display system, and method of installing electronic component | LG DISPLAY CO., LTD. |
10901280 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10901282 | Thin film transistor substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10901313 | Division mask | SAMSUNG DISPLAY CO., LTD. |
10901314 | Pixel arrangement structure, organic light emitting device, display device and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10901442 | Active-matrix substrate, display panel and display device including the same | SHARP KABUSHIKI KAISHA |
10901459 | Mobile computing device case and workstation mat | -- |
10901542 | Flexible organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10901544 | Display substrate and method of manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10901547 | Touch sensor assembly having a pressing tab, piezo disc, and oxidation prevention film | LG ELECTRONICS INC. |
10901566 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10901623 | Memory device including mixed non-volatile memory cell types | MICRON TECHNOLOGY, INC. |
10901851 | Delay circuitry to hold up power to a mass storage device and method therefor | SANMINA CORPORATION |
10902754 | Flat panel display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10902755 | Stretchable display substrate, method for manufacturing stretchable display substrate, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10902756 | Display apparatus using semiconductor light emitting device and manufacturing method therefor | LG ELECTRONICS INC. |
10902760 | Test circuit, display substrate, test method thereof and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10902762 | Protective circuit and display device | HKC CORPORATION LIMITED |
10902769 | Multi-layer fabrication for pixels with calibration compensation | FACEBOOK TECHNOLOGIES, LLC |
10902770 | Display device | SHARP KABUSHIKI KAISHA |
10902774 | Pixel preventing leakage current and display device using the same | SAMSUNG DISPLAY CO., LTD. |
10902775 | Driving circuit of active-matrix organic light-emitting diode with hybrid transistors | -- |
10902790 | Semiconductor device, display panel, display device, input/output device, and data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902795 | Pixel for organic light emitting diode display and OLED display | LG DISPLAY CO., LTD. |
10902804 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902805 | Pixel structure | -- |
10902814 | Semiconductor device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902867 | Interconnect die for multichannel tape head assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902900 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10902907 | Output drivers, and related methods, memory devices, and systems | MICRON TECHNOLOGY, INC. |
10902912 | Electrochemical switching device with protective encapsulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902913 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10902916 | Semiconductor circuit, driving method, and electronic apparatus | SONY CORPORATION |
10902917 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
10902918 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10902919 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10902921 | Flash memory bitcell erase with source bias voltage | TEXAS INSTRUMENTS INCORPORATED |
10902925 | Peak and average current reduction for open block condition | SANDISKTECHNOLOGIES LLC |
10902932 | Gate drive circuit, method of driving gate drive circuit, display device, and method of manufacturing array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10902967 | Printed circuit surface finish, method of use, and assemblies made therefrom | -- |
10902973 | Anisotropic conductive film and production method of the same | DEXERIALS CORPORATION |
10902992 | Coil component | TAIYO YUDEN CO., LTD. |
10902994 | Coil electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903002 | Method for manufacturing a magnetic memory element using Ru and diamond like carbon hard masks | SPIN MEMORY, INC. |
10903005 | Composite electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903044 | Filling empty structures with deposition under high-energy SEM for uniform DE layering | APPLIED MATERIALS ISRAEL LTD. |
10903068 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903082 | Spacer sculpting for forming semiconductor devices | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10903088 | Electronic package and method for fabricating the same | -- |
10903089 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10903122 | Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors | MICRON TECHNOLOGY, INC. |
10903124 | Transistor structure with n/p boundary buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903125 | Transistor with strained channel and fabrication method thereof | -- |
10903127 | Film for a package substrate | SAMSUNG ELECTRONICS CO., LTD. |
10903130 | Semiconductor apparatus and manufacturing method of semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10903134 | Silicon heat-dissipation package for compact electronic devices | -- |
10903135 | Chip package structure and manufacturing method thereof | HUAWEI TECHNOLOGIES CO., LTD. |
10903140 | Bi-directional heatsink dampening force system | CISCO TECHNOLOGY, INC. |
10903142 | Micro through-silicon via for transistor density scaling | INTEL CORPORATION |
10903145 | Symmetric input circuitry for IC in two-pin package | MICROCHIP TECHNOLOGY INCORPORATED |
10903148 | High performance multi-component electronics power module | MICROCHIP TECHNOLOGY INCORPORATED |
10903149 | Semiconductor module, electric vehicle, and power control unit | FUJI ELECTRIC CO., LTD. |
10903154 | Semiconductor device and method of forming cantilevered protrusion on a semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10903155 | Vertical modular stiffeners for stacked multi-device packages | INTEL CORPORATION |
10903157 | Semiconductor device having a glass substrate core layer | SKC CO., LTD. |
10903158 | Semiconductor arrangement having a circuit board with a patterned metallization layer | INFINEON TECHNOLOGIES AG |
10903161 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903164 | Bonded assembly including a semiconductor-on-insulator die and methods for making the same | SANDISK TECHNOLOGIES LLC |
10903165 | Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903166 | Integrated circuit packages | INTEL IP CORPORATION |
10903168 | Multi-RDL structure packages and methods of fabricating the same | ADVANCED MICRO DEVICES, INC. |
10903173 | Pre-conditioned substrate | PALO ALTO RESEARCH CENTER INCORPORATED |
10903175 | Semiconductor device having features to prevent reverse engineering | -- |
10903177 | Method of manufacturing a semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10903180 | Device including semiconductor chips and method for producing such device | INFINEON TECHNOLOGIES AG |
10903183 | Semiconductor device and method of using leadframe bodies to form openings through encapsulant for vertical interconnect of semiconductor die | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
10903187 | Selective area heating for 3D chip stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903189 | Stack packages including stacked semiconductor dies | SK HYNIX INC. |
10903190 | Semiconductor package using a coreless signal distribution structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10903191 | Semiconductor chip for repairing through electrode | SK HYNIX INC. |
10903192 | Vehicle luminaire and vehicle lamp | TOSHIBA LIGHTING & TECHNOLOGY CORPORATION |
10903193 | Light-emitting device | NICHIA CORPORATION |
10903194 | Micro light-emitting diode display with 3D orifice plating and light filtering | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10903195 | High-resolution micro-LED display device and manufacturing method of the same | LG DISPLAY CO., LTD. |
10903196 | Semiconductor packages including bridge die | SK HYNIX INC. |
10903197 | Assembly of wafer stacks | AMS SENSORS SINGAPORE PTE. LTD. |
10903198 | Semiconductor package assembly and method for forming the same | -- |
10903199 | Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same | HYUNDAI AUTRON CO., LTD. |
10903200 | Semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
10903201 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10903202 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10903203 | Trench transistor structure and manufacturing method thereof | -- |
10903204 | Lateral transient voltage suppressor device | -- |
10903205 | Semiconductor device of electrostatic discharge protection | -- |
10903206 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903207 | Method of forming an integrated circuit (IC) with shallow trench isolation (STI) regions and the resulting IC structure | GLOBALFOUNDRIES INC. |
10903208 | Distributed decoupling capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903209 | MOS transistors in parallel | STMICROELECTRONICS (ROUSSET) SAS |
10903210 | Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903211 | Gate devices and methods of formation using angled ions | APPLIED MATERIALS, INC. |
10903212 | Fin field effect transistor devices with modified spacer and gate dielectric thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903213 | Integrated circuit devices | SAMSUNG ELECTRONICS CO., LTD. |
10903214 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903215 | Layout structure of semiconductor structure for dynamic random access memory device and method for forming the same | -- |
10903216 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903217 | Anti-fuse memory cell and a method for forming the anti-fuse memory cell | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10903218 | Methods of incorporating leaker-devices into capacitor configurations to reduce cell disturb, and capacitor configurations incorporating leaker-devices | MICRON TECHNOLOGY, INC. |
10903219 | Method for making a flash memory device | INTEL CORPORATION |
10903220 | Integrated assemblies having anchoring structures proximate stacked memory cells, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10903221 | Memory cells and memory arrays | MICRON TECHNOLOGY, INC. |
10903222 | Three-dimensional memory device containing a carbon-doped source contact layer and methods for making the same | SANDISK TECHNOLOGIES LLC |
10903223 | Driver placement in memories having stacked memory arrays | MICRON TECHNOLOGY, INC. |
10903224 | Semiconductor device and method for fabricating the same | -- |
10903225 | Storage device and manufacturing method for the same | TOSHIBA MEMORY CORPORATION |
10903226 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10903227 | Semiconductor devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903228 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10903229 | Three-dimensional semiconductor memory device with central connection through region | SAMSUNG ELECTRONICS CO., LTD. |
10903230 | Three-dimensional memory device containing through-memory-level contact via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10903231 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903232 | Three-dimensional memory devices containing memory stack structures with laterally separated charge storage elements and method of making thereof | SANDISK TECHNOLOGIES LLC |
10903233 | Semiconductor memory device and manufacturing method of semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10903234 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10903235 | Non-volatile memory device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10903236 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10903237 | Three-dimensional memory device including stepped connection plates and methods of forming the same | SANDISK TECHNOLOGIES LLC |
10903238 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10903239 | Integrated circuit device with improved layout | -- |
10903240 | Integrated circuits (ICs) on a glass substrate | QUALCOMM INCORPORATED |
10903241 | System of forming debonding layer, method of forming debonding layer, system of manufacturing display device using debonding layer and method of manufacturing display device debonding layer | SAMSUNG DISPLAY CO., LTD. |
10903242 | Array substrate, manufacturing method, display panel for forming transparent conductive layers of different thicknesses | BOE TECHNOLOGY GROUP CO., LTD. |
10903243 | Display device | JAPAN DISPLAY INC. |
10903244 | Semiconductor device, display device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903245 | Pixel array substrate | -- |
10903246 | Thin film transistor substrate and display using the same | LG DISPLAY CO., LTD. |
10903247 | Scanning antenna and method for manufacturing same | SHARP KABUSHIKI KAISHA |
10903248 | Thin film transistor array substrate and organic light-emitting display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
10903249 | Array substrate and manufacturing method thereof, display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10903250 | Display device having power line electrically connected to electrode layers located above and below transistor | SAMSUNG DISPLAY CO., LTD. |
10903251 | Display device, semiconductor device, and method of manufacturing display device | SONY CORPORATION |
10903252 | Photoelectric conversion device including perovskite compound, method of manufacturing the same, and imaging device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903253 | Imaging device, imaging system, and moving object | CANON KABUSHIKI KAISHA |
10903254 | Distance-measuring imaging device, distance measuring method of distance-measuring imaging device, and solid-state imaging device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10903255 | Image sensor flip chip package | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10903256 | Focus detecting device and electronic device | SONY CORPORATION |
10903257 | Solid-state imaging device, driving method for solid-state imaging device, and electronic appliance | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10903258 | Image sensors with grounded or otherwise biased channel-stop contacts | KLA CORPORATION |
10903259 | Image sensor | STMICROELECTRONICS (CROLLES 2) SAS |
10903260 | Multi-photodiode pixel cell | FACEBOOK TECHNOLOGIES, LLC |
10903261 | Triple output, dual-band detector | HRL LABORATORIES, LLC |
10903262 | Scalable thermoelectric-based infrared detector | MERIDIAN INNOVATION PTE LTD |
10903263 | Front-side type image sensor and method for manufacturing such a sensor | SOITEC |
10903264 | Imaging system and imaging method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10903265 | Pixelated-LED chips and chip array devices, and fabrication methods | CREE, INC. |
10903266 | Ultra-smooth sidewall pixelated array LEDs | LUMILEDS LLC |
10903267 | System and method for making micro LED display | -- |
10903268 | Pixelated-LED chips and chip array devices, and fabrication methods | CREE, INC. |
10903269 | Magnetic memory device and fabrication method thereof | -- |
10903270 | Access device and phase change memory combination structure in backend of line (BEOL) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903271 | Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903272 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10903273 | Phase change memory with gradual conductance change | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903274 | Interconnect landing method for RRAM technology | -- |
10903275 | Three-dimensional stackable multi-layer cross-point memory with single-crystalline bipolar junction transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903276 | Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces | MICRON TECHNOLOGY, INC. |
10903277 | Scalable, stackable, and BEOL-process compatible integrated neuron circuit | HRL LABORATORIES, LLC |
10903278 | Solid-state imaging element and solid-state imaging apparatus having a photoelectric conversion layer and a pair of electrodes | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10903279 | Solid state image sensor pixel electrode below a photoelectric conversion film | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10903280 | Organic light-emitting diode display panel, method for fabricating the same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10903281 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10903282 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10903283 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10903284 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903285 | Input sensor, method for manufacturing the same, and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10903286 | Display device | JAPAN DISPLAY INC. |
10903287 | Foldable, flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903288 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10903289 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10903290 | Display device and display apparatus containing same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10903291 | Terminals and display screens | -- |
10903292 | Organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903293 | Method of manufacturing display panel and display apparatus including the display panel | SAMSUNG DISPLAY CO., LTD. |
10903294 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903295 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903296 | Display device | LG DISPLAY CO., LTD. |
10903297 | Bidirectional organic light emitting display device | LG DISPLAY CO., LTD. |
10903298 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903299 | Display device including a flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10903300 | Display panel | SAMSUNG DISPLAY CO., LTD. |
10903301 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903302 | Display device having an organic layer between a plurality of signal layers | SAMSUNG DISPLAY CO., LTD. |
10903303 | Display panel with a separation pillar having slanting side surfaces disposed on a pixel definition layer | -- |
10903305 | Ultra high density metal-oxide-metal capacitor | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10903306 | Integrated trench capacitor | TEXAS INSTRUMENTS INCORPORATED |
10903308 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10903310 | Capacitor structure and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903311 | Vertical semiconductor structure | STMICROELECTRONICS (TOURS) SAS |
10903325 | Memory transistor with multiple charge storing layers and a high work function gate electrode | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903327 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903328 | Method for fabricating semiconductor device | -- |
10903332 | Fully depleted SOI transistor with a buried ferroelectric layer in back-gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903336 | Semiconductor device and method of manufacturing the same | -- |
10903348 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10903354 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903355 | Power switch arrangement | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10903357 | Laterally diffused metal oxide semiconductor (LDMOS) transistor on a semiconductor on insulator (SOI) layer with a backside device | QUALCOMM INCORPORATED |
10903358 | Vertical fin field effect transistor with reduced gate length variations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903359 | Semiconductor device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10903360 | Vertically integrated memory cells with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903363 | Ferroelectric semiconductor device | SK HYNIX INC. |
10903365 | Transistors with uniform source/drain epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903366 | Forming fin-FET semiconductor structures | -- |
10903367 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903368 | Semiconductor device and display device including semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903370 | Semiconductor integrated circuit device | SOCIONEXT INC. |
10903377 | Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions | MICRON TECHNOLOGY, INC. |
10903384 | Multi-color photo-detector | TELEDYNE SCIENTIFIC & IMAGING, LLC |
10903385 | Image sensor and electronic device having the same for outputting a count value as a pixel value by counting pulses present in the output signals from photoelectric converters | CANON KABUSHIKI KAISHA |
10903386 | Solid-state image sensor, image capturing apparatus, and image capturing method | CANON KABUSHIKI KAISHA |
10903388 | Electronic device comprising electronic chips | STMICROELECTRONICS (GRENOBLE 2) SAS |
10903392 | Method of producing optoelectronic semiconductor components and optoelectronic semiconductor component | OSRAM OLED GMBH |
10903394 | Light emitting element | NICHIA CORPORATION |
10903397 | Light emitting device package | SAMSUNG ELECTRONICS CO., LTD. |
10903399 | Method for manufacturing a light emitting device comprising at least two first light emitting diodes and a second light emitting diodes interposed therebetween | NICHIA CORPORATION |
10903402 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903404 | Semiconductor device | LG INNOTEK CO., LTD. |
10903415 | Large structure monitoring with a substrate-free flexible sensor system | UNITED TECHNOLOGIES CORPORATION |
10903417 | MTJ containing device with replacement top electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903418 | Low resistance electrode for high aspect ratio confined PCM cell in BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903419 | Resistive memory device and method of manufacturing the resistive memory device | SK HYNIX INC. |
10903421 | Controlling filament formation and location in a resistive random-access memory device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903422 | Vertically oriented memory structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903423 | Phase change memory | STMICROELECTRONICS (CROLLES 2) SAS |
10903424 | Resistive RAM cell structure for gradual set programming | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903425 | Oxygen vacancy and filament-loss protection for resistive switching devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903432 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10903433 | Organic compound, and photoelectric conversion element and imaging device using the organic compound | CANON KABUSHIKI KAISHA |
10903435 | Flexible display device | DONGWOO FINE-CHEM CO., LTD. |
10903436 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903437 | Fabrication method for fused multi-layer amorphous selenium sensor | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
10903440 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903442 | Organic light-emitting diode comprising self-crystallizing material and organic light-emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
10903443 | Organic EL display device | JAPAN DISPLAY INC. |
10903444 | OLED package substrate having a conductor attached to electrode on an array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10903445 | Electronic device and method of forming flexible display thereof | SAMSUNG ELECTRONICS CO., LTD. |
10903446 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903447 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903448 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903449 | Display device | JAPAN DISPLAY INC. |
10903450 | Display device | JAPAN DISPLAY INC. |
10903451 | Organic light-emitting display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
10903452 | Barrier film, organic EL device, flexible substrate, and method for manufacturing barrier film | PANASONIC CORPORATION |
10903453 | Light-emitting device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903454 | Light-emitting device and display apparatus including the light-emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10903455 | Organic light emitting diode display device for improving light extraction efficiency of pixels | LG DISPLAY CO., LTD. |
10903456 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10903459 | Mask assembly, and apparatus and method for manufacturing display apparatus including the mask assembly | SAMSUNG DISPLAY CO., LTD. |
10903543 | PCB transmission lines having reduced loss | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10903577 | Printed wiring board | IBIDEN CO., LTD. |
10903589 | Radio frequency optical acoustic communication modem | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10903592 | Memory card and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903595 | Electronic device | KABUSHIKI KAISHA TOSHIBA |
10903646 | Electrostatic protection circuit | HUAWEI TECHNOLOGIES CO., LTD. |
10903660 | Wireless connector system circuit | NUCURRENT, INC. |
10903716 | Electric working machine | MAKITA CORPORATION |
10903734 | Delivering power to semiconductor loads | VICOR CORPORATION |
10903803 | Semiconductor device and power amplifier module | MURATA MANUFACTURING CO., LTD. |
10903816 | Thin-film type package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903831 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10903834 | Power electronic device with paralleled transistors | BAE SYSTEMS CONTROLS INC. |
10904370 | Handheld electronic device | APPLE INC. |
10904459 | Array sensor including resistor network with bias voltage supply nodes and imaging apparatus including array sensor | FUJITSU LIMITED |
10904464 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10904467 | Imaging systems having dual storage gate overflow capabilities | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10904470 | Ramp signal generation device and CMOS image sensor including the same | SK HYNIX INC. |
10904471 | Feature extraction element, feature extraction system, and determination apparatus | NIKON CORPORATION |
10904963 | Optical device | PIONEER CORPORATION |
10904965 | Method and apparatus for providing a passive color control scheme using blue and red emitters | SIGNIFY HOLDING B.V. |
10904997 | Printed circuit board, optical module, and optical transmission equipment | LUMENTUM JAPAN, INC. |
10904998 | System and method for via optimization in a printed circuit board | DELL PRODUCTS L.P. |
10904999 | Electronic apparatus, camera apparatus, and shield chassis | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10905000 | Display device and method of manufacturing electronic device using the same | SAMSUNG DISPLAY CO., LTD. |
10905001 | Accessory device comprising printed circuit board having flexible structure | SAMSUNG ELECTRONICS CO., LTD. |
10905002 | Method for forming flexible substrate including via, and flexible substrate having via | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10905003 | Systems and methods for breadboard-style printed circuit board | -- |
10905004 | Interconnectable circuit boards | METROSPEC TECHNOLOGY, L.L.C. |
10905005 | Wiring board, laminated wiring board, and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10905006 | Textile electronic device for smart clothing | BIOSERENITY |
10905007 | Contact pads for electronic substrates and related methods | QORVO US, INC. |
10905008 | Wiring board | NGK SPARK PLUG CO., LTD. |
10905009 | Display module and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10905010 | Connecting arrangement and corresponding method for mounting an electronic component on a printed circuit board | ROBERT BOSCH GMBH |
10905011 | State detecting device | TDK CORPORATION |
10905012 | Method for producing wiring board, and wiring board | USHIO DENKI KABUSHIKI KAISHA |
10905013 | Printed wiring board and method for manufacturing the same | TDK CORPORATION |
10905014 | Application specific electronics packaging systems, methods and devices | MOLEX, LLC |
10905015 | Chip component having groove formed therein | LG CHEM, LTD. |
10905016 | Using a partially uncured component carrier body for manufacturing component carrier | AT & AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10905017 | Fabrication of PCB and FPC with shielded tracks and/or components using 3D inkjet printing | NANO DIMENSION TECHNOLOGIES LTD. |
10905021 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
10905029 | Cooling structure for electronic boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10905037 | Electronic device having interference shielding structure | SAMSUNG ELECTRONICS CO., LTD. |
10905503 | Port control | CMR SURGICAL LIMITED |
10906061 | Coating method, coating apparatus and method for manufacturing component | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10906235 | Optoelectronic semiconductor component and 3D printer | OSRAM OLED GMBH |
10906259 | Silicone optics | ABL IP HOLDING LLC |
10906288 | Method for manufacturing display device | -- |
10906295 | Printing apparatus and printing system | FUJI CORPORATION |
10906300 | Liquid jetting apparatus and wiring member | BROTHER KOGYO KABUSHIKI KAISHA |
10906305 | Liquid ejection head | CANON KABUSHIKI KAISHA |
10906407 | Compact inverter and motor vehicle comprising such an inverter | ACILTEK |
10906830 | Method of processing window member | SAMSUNG DISPLAY CO., LTD. |
10906839 | Low temperature cofired ceramic material, ceramic sintered body, and ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
10907029 | Resin composition, resin layer-provided support, prepreg, laminate sheet, multilayer printed wiring board, and printed wiring board for millimeter-wave radar | SHOWA DENKO MATERIALS CO., LTD. |
10907066 | Curable composition for ink-jet printing, cured object, and printed wiring board | TAIYO INK MFG. CO., LTD. |
10907095 | Luminophore mixtures for use in dynamic lighting systems | LITEC-VERMOGENSVERWALTUNGSGESELLSCHAFT MBH |
10907301 | Method for making partially metallized precision synthetic thread square mesh fabrics for aesthetic or marking applications | SAATI S.P.A. |
10907772 | LED lamp and LED emitter of the same | GRAPHENE LIGHTING CHINA LIMITED |
10907782 | Luminescent fiber, luminescent fabric, and method for producing a luminescent fiber | OSRAM GMBH |
10907789 | Light emitting device and vehicular lamp comprising same | SEOUL SEMICONDUCTOR CO., LTD. |
10907992 | Rotational angle sensor | ROBERT BOSCH GMBH |
10908020 | Light source device and method for driving light source device | INTER ACTION CORPORATION |
10908025 | Patterned focal plane arrays of carbon nanotube thin film bolometers with high temperature coefficient of resistance and improved detectivity for infrared imaging | CARBON SOLUTIONS, INC. |
10908110 | Using in situ capacitance measurements to monitor the stability of interface materials in complex PCB assemblies and other structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10908115 | Method of forming electrochemical gas sensor | HONEYWELL INTERNATIONAL INC. |
10908182 | Electrical connecting apparatus and contact | KABUSHIKI KAISHA NIHON MICRONICS |
10908209 | Semiconductor module including semiconductor package and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10908211 | Integrated circuit and detection method for multi-chip status thereof | -- |
10908234 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION |
10908302 | Semiconductor photo-detection device and radiation detection apparatus | HAMAMATSU PHOTONICS K.K. |
10908318 | Luminescent device | CAMBRIDGE ENTERPRISE LIMITED |
10908331 | Display substrate, manufacturing method thereof and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10908333 | Optical film, polarization plate, and image display device | DAI NIPPON PRINTING CO., LTD. |
10908340 | Display device | SAMSUNG DISPLAY CO., LTD. |
10908386 | Image sensor and image-capturing device | NIKON CORPORATION |
10908442 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
10908443 | Display device | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10908465 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10908468 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908479 | Variable iris device with shape memory alloy element | ACTUATOR SOLUTIONS GMBH |
10908648 | Foldable display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908722 | Sensing device and display device | LG DISPLAY CO., LTD. |
10908724 | Display device | JAPAN DISPLAY INC. |
10908733 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908744 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10908747 | Display apparatus | LG DISPLAY CO., LTD. |
10908754 | Touch sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10909298 | Well contact cell with doped tap region separated from active region, and methods to form same | GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG |
10909443 | Neuromorphic circuit structure and method to form same | GLOBALFOUNDRIES INC. |
10909449 | Monolithic multi-bit weight cell for neuromorphic computing | SAMSUNG ELECTRONICS CO., LTD. |
10909824 | System and method for pulsed light pattern capturing using a dynamic vision sensor | SAMSUNG ELECTRONICS CO., LTD. |
10909900 | Display substrate, display device and display control method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10909901 | Pixel arrangement, manufacturing method thereof, display panel, display device and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10909904 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10909907 | Pixel circuit, driving method, pixel structure and display panel | EVERDISPLAY OPTRONICS (SHANGHAI) CO., LTD |
10909914 | Display device and driving method thereof having a display area in a peripheral region | -- |
10909915 | Light emitting device | JOLED INC. |
10909917 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10909918 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10909921 | Organic light emitting diode display device including driving transistor having gate electrode under semiconductor layer | SAMSUNG DISPLAY CO., LTD. |
10909922 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
10909923 | Pixel circuit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10909929 | Scan driver | SAMSUNG DISPLAY CO., LTD. |
10909930 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10909944 | Display panel and pixel circuit thereof | -- |
10909945 | Method and apparatus for pixel drive control, display panel and storage medium | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910020 | Three-dimensional memory device containing compact bit line switch circuit and method of making the same | SANDISK TECHNOLOGIES LLC |
10910039 | Semiconductor device with a plurality of surrounding gate transistors | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10910052 | Material implication operations in memory | MICRON TECHNOLOGY, INC. |
10910064 | Location dependent impedance mitigation in non-volatile memory | SANDISK TECHNOLOGIES LLC |
10910066 | Memory system | TOSHIBA MEMORY CORPORATION |
10910067 | Memory system | TOSHIBA MEMORY CORPORATION |
10910068 | Memory system and nonvolatile memory | KIOXIA CORPORATION |
10910069 | Manage source line bias to account for non-uniform resistance of memory cell source lines | SANDISK TECHNOLOGIES LLC |
10910076 | Memory cell mis-shape mitigation | SANDISK TECHNOLOGIES LLC |
10910155 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910157 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10910217 | Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10910219 | Laser irradiation method and laser irradiation device and method of manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910224 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
10910232 | Copper plasma etching method and manufacturing method of display panel | SAMSUNG DISPLAY CO., LTD. |
10910239 | Method of transferring micro devices and device transfer system | MIKRO MESA TECHNOLOGY CO., LTD. |
10910254 | Transfer device | -- |
10910255 | Arrays of cross-point memory structures | MICRON TECHNOLOGY, INC. |
10910256 | Pseudo-substrate with improved efficiency of usage of single crystal material | SOITEC |
10910260 | Method for manufacturing semiconductor device | -- |
10910261 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910265 | Gate aligned contact and method to fabricate same | INTEL CORPORATION |
10910266 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910272 | Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same | SANDISK TECHNOLOGIES LLC |
10910273 | Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910274 | Backside processed semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910275 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910276 | STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method | GLOBALFOUNDRIES INC. |
10910277 | Semiconductor device and method for fabricating the same | -- |
10910278 | Semiconductor device, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10910282 | Prevention of charging damage in full-depletion devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910285 | Package structure with TFTS and die covered RDL | -- |
10910286 | Wafer-level system-in-package packaging method and package structure thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10910289 | Electronic substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910300 | Method for manufacturing interposer | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
10910301 | Post-grind die backside power delivery | INTEL CORPORATION |
10910304 | Tight pitch wirings and capacitor(s) | GLOBALFOUNDRIES U.S. INC. |
10910305 | Microelectronic devices designed with capacitive and enhanced inductive bumps | INTEL CORPORATION |
10910306 | Devices including vias extending through alternating dielectric materials and conductive materials, and related electronic devices | MICRON TECHNOLOGY, INC. |
10910307 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910310 | Methods of forming semiconductor devices | MICRON TECHNOLOGY, INC. |
10910311 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
10910312 | Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910313 | Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch | SAMSUNG ELECTRONICS CO., LTD. |
10910314 | Conductive coating for a microelectronics package | INTEL CORPORATION |
10910315 | Fabric with embedded electrical components | APPLE INC. |
10910316 | Electronic device | -- |
10910317 | Semiconductor package having wafer-level active die and external die mount | INTEL CORPORATION |
10910321 | Semiconductor device and method of making the same | -- |
10910323 | Semiconductor package with reduced noise | -- |
10910332 | Semiconductor device and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910333 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910336 | Chip package structure | -- |
10910341 | Bonding process with inhibited oxide formation | SITIME CORPORATION |
10910344 | Systems and methods for releveled bump planes for chiplets | XCELSIS CORPORATION |
10910345 | Semiconductor device with stacked die device | -- |
10910346 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10910347 | Method, apparatus and system to interconnect packaged integrated circuit dies | INTEL CORPORATION |
10910349 | Stacked semiconductor chips having transistor in a boundary region | SAMSUNG ELECTRONICS CO., LTD. |
10910350 | Structure of a semiconductor array | -- |
10910351 | Optoelectronic component and method of producing an optoelectronic component | OSRAM OLED GMBH |
10910352 | High density pixelated LED and devices and methods thereof | CREE, INC. |
10910353 | White light source and method of producing a white light source | OSRAM OLED GMBH |
10910354 | Apparatus for direct transfer of semiconductor device die | ROHINNI, LLC |
10910355 | Bezel-free displays | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10910356 | Light-emitting diode display panel with micro lens array | JADE BIRD DISPLAY (SHANGHAI) LIMITED |
10910357 | Semiconductor package including hybrid bonding structure and method for preparing the same | -- |
10910358 | Integrated assemblies having capacitive units, and having resistive structures coupled with the capacitive units | MICRON TECHNOLOGY, INC. |
10910359 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910360 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10910361 | Semiconductor element and semiconductor device | FUJI ELECTRIC CO., LTD. |
10910362 | High voltage ESD protection device | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10910363 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910364 | 3D semiconductor device | MONOLITAIC 3D INC. |
10910365 | Structure and method for cooling three-dimensional integrated circuits | -- |
10910366 | 3D stacked integrated circuits having functional blocks configured to accelerate artificial neural network (ANN) computation | MICRON TECHNOLOGY, INC. |
10910367 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910368 | Circuit structure | -- |
10910369 | On-chip security circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910370 | Integrated circuit devices including a vertical field-effect transistor (VFET) and a fin field-effect transistor (FinFET) and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10910371 | Self-heating test structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910372 | Fin field effect transistor devices with modified spacer and gate dielectric thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910373 | Semiconductor device having asymmetric fin-shaped pattern | SAMSUNG ELECTRONICS CO., LTD. |
10910374 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910375 | Semiconductor device and method of fabrication thereof | -- |
10910376 | Semiconductor devices including diffusion break regions | SAMSUNG ELECTRONICS CO., LTD. |
10910377 | LDMOS devices, integrated circuits including LDMSO devices, and methods for fabricating the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10910378 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910379 | Integrated assemblies comprising memory cells and shielding material between the memory cells, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10910380 | Method of manufacturing dynamic random access memory | -- |
10910381 | Multicolor approach to DRAM STI active cut patterning | APPLIED MATERIALS, INC. |
10910382 | Method for fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910383 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10910384 | Memory devices and methods of fabricating the same | -- |
10910385 | Vertical gate-all-around TFET | STMICROELECTRONICS, INC. |
10910386 | Semiconductor device and method for fabricating the same | -- |
10910387 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10910388 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10910389 | Methods and apparatuses with vertical strings of memory cells and support circuitry | MICRON TECHNOLOGY, INC. |
10910390 | Memory device and forming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10910391 | Semiconductor memory device having a plurality of first semiconductor films | TOSHIBA MEMORY CORPORATION |
10910392 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10910393 | 3D NOR memory having vertical source and drain structures | -- |
10910394 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10910395 | Methods of forming semiconductor device structures | MICRON TECHNOLOGY, INC. |
10910396 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910397 | Through array contact structure of three- dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10910398 | Semiconductor devices and methods for forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10910399 | Three dimensional memory device and method for fabricating the same | -- |
10910400 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10910401 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10910402 | Three-dimensional and flash memory and manufacturing method thereof | -- |
10910403 | Memory cells comprising a programmable field effect transistor having a reversibly programmable gate insulator | MICRON TECHNOLOGY, INC. |
10910404 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910405 | Backside fin recess control with multi-HSI option | INTEL CORPORATION |
10910406 | Display apparatus having a substrate including a polymer resin and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910407 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910408 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910409 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910410 | Flexible array substrate, flexible display device and method of assembling the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910411 | Array substrate, manufacturing method thereof and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10910412 | Etchant composition, and method for manufacturing metal pattern and array substrate using the same | SAMSUNG DISPLAY CO., LTD. |
10910413 | Method of manufacturing array substrate and array substrate | HKC CORPORATION LIMITED |
10910414 | Photodetector in a silicon carbide integrated circuit | COOLCAD ELECTRONICS, LLC |
10910415 | Three-dimensional photodetector and method of manufacturing the same | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
10910416 | Semiconductor device, image pickup device, and method for manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910418 | Readout systems and methods based on a coincidence threshold | KISKEYA MICROSYSTEMS LLC |
10910419 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10910420 | Semiconductor switching device separate by device isolation | -- |
10910421 | Molded image sensor chip scale packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910422 | Image sensor package and image sensing module | SAMSUNG ELECTRONICS CO., LTD. |
10910423 | Solid-state imaging device, manufacturing method thereof, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910424 | Solid-state image pickup unit, method of manufacturing the same, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910425 | Solid-state image sensor | TOPPAN PRINTING CO., LTD. |
10910426 | Semiconductor devices having pad isolation pattern | SAMSUNG ELECTRONICS CO., LTD. |
10910427 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910428 | Electronic device image sensor | STMICROELECTRONICS (CROLLES 2) SAS |
10910429 | CMOS image sensor | HUAWEI TECHNOLOGIES CO., LTD. |
10910430 | Imaging device with opening parts for power and signal paths | SONY CORPORATION |
10910431 | Pixel with strained silicon layer for improving carrier mobility and blue response in imagers | MICRON TECHNOLOGY, INC. |
10910432 | Use of surface patterning for fabricating a single die direct capture dental X-ray imaging sensor | CYBER MEDICAL IMAGING, INC. |
10910433 | Pixelated LED array with optical elements | LUMILEDS LLC |
10910434 | Magnetoresistive stack with seed region and method of manufacturing the same | EVERSPIN TECHNOLOGIES, INC. |
10910435 | Stackable symmetrical operation memory bit cell structure with bidirectional selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910436 | Asymmetric selectors for memory cells | INTEL CORPORATION |
10910437 | Cross-point memory and methods for fabrication of same | MICRON TECHNOLOGY, INC. |
10910438 | Memory array with graded memory stack resistances | MICRON TECHNOLOGY, INC. |
10910439 | Efficient interconnecting layer for tandem solar cells | -- |
10910440 | Imaging device and imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910441 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10910442 | Display device and electrical device using the same | SAMSUNG DISPLAY CO., LTD. |
10910443 | Organic electroluminescence display device | SAMSUNG DISPLAY CO., LTD. |
10910444 | Display panel | LG DISPLAY CO., LTD. |
10910445 | Display device, method of manufacturing the same, and electronic apparatus | CANON KABUSHIKI KAISHA |
10910446 | Display device for displaying the outline of icons | SAMSUNG DISPLAY CO., LTD. |
10910447 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910448 | Organic light-emitting display device and method of fabricating the same | LG DISPLAY CO., LTD. |
10910449 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10910450 | Chip on film package and display device | -- |
10910451 | Method for fabricating flexible display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10910452 | Method for alleviating color shift at large viewing angle, and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910453 | Display device | JAPAN DISPLAY INC. |
10910454 | Display device | TIANMA JAPAN, LTD. |
10910455 | Display apparatus including microchip | LG DISPLAY CO., LTD. |
10910456 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910457 | Display apparatus having a light-emitting device | LG DISPLAY CO., LTD. |
10910458 | Display apparatus | TIANMA MICROELECTRONICS CO., LTD. |
10910459 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10910460 | Liquid crystal display device | JAPAN DISPLAY INC. |
10910461 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10910462 | Display substrate for avoiding light leakage defect, method for manufacturing the same and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910463 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910464 | Electronic device including an IC | JAPAN DISPLAY INC. |
10910467 | Capacitor including first electrode, dielectric layer, and second electrode, image sensor, method for manufacturing capacitor, and method for manufacturing image sensor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10910468 | Capacitor structure | -- |
10910471 | Device with large EPI in FinFETs and method of manufacturing | GLOBALFOUNDRIES INC. |
10910477 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910483 | Fin diode structure and methods thereof | -- |
10910484 | Bipolar transistor semiconductor device | MURATA MANUFACTURING CO., LTD. |
10910488 | Quantum dot devices with fins and partially wrapped gates | INTEL CORPORATION |
10910492 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10910494 | Method and structure for forming vertical transistors with various gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910496 | FinFET device with asymmetrical drain/source feature | -- |
10910497 | Thin film transistor having light shielding layer | BOE TECHNOLOGY GROUP CO., LTD. |
10910498 | Array substrate, method for fabricating the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10910504 | Solid-state imaging element, imaging device, and method for manufacturing solid-state imaging element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910508 | Method of fabricating photosensitive devices with reduced process-temperature budget | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10910513 | Component having metal carrier layer and reduced overall height | OSRAM OLED GMBH |
10910514 | Molded etch masks | FACEBOOK TECHNOLOGIES, LLC |
10910515 | Method of manufacturing a light-emitting device | NICHIA CORPORATION |
10910522 | High efficiency LEDs and LED lamps | COOLEDGE LIGHTING INC. |
10910523 | Light emitting device | -- |
10910526 | Light emitting device | NICHIA CORPORATION |
10910532 | Semiconductor device package and method of manufacturing the same | -- |
10910533 | Planar light source device and display device | ENPLAS CORPORATION |
10910535 | Method for making light emitting device LED arrays | -- |
10910536 | Light emitting element | NICHIA CORPORATION |
10910538 | Optoelectronic semiconductor component and method for producing an optoelectronic semiconductor component | OSRAM OLED GMBH |
10910539 | Light emitting device and manufacturing method thereof | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10910552 | Magnetic memory device, method for manufacturing the same, and substrate treating apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10910553 | Magnetoresistive random access memory and method of manufacturing the same | -- |
10910554 | Spin-current magnetization rotational element and spin orbit torque type magnetoresistance effect element | TDK CORPORATION |
10910555 | Magnetic memory element incorporating perpendicular enhancement layer | AVALANCHE TECHNOLOGY, INC. |
10910557 | Apparatus and methods of fabricating a magneto-resistive random access memory (MRAM) device | APPLIED MATERIALS, INC. |
10910560 | RRAM structure | -- |
10910561 | Reduced diffusion in metal electrode for two-terminal memory | CROSSBAR, INC. |
10910562 | Organic electroluminescent device and preparation method, evaporation device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910568 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910572 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10910574 | Display panel, terminal, and method for display control | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10910575 | Electroluminescent display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10910576 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910582 | Light emitting display device and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10910583 | Organic light-emitting diode and organic light-emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
10910584 | Light emitting device having insulation layer of varying thickness and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10910586 | Lighting apparatus using organic light emitting diode | LG DISPLAY CO., LTD. |
10910587 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10910590 | Hermetically sealed isolated OLED pixels | UNIVERSAL DISPLAY CORPORATION |
10910592 | Flexible electroluminescent display device | LG DISPLAY CO., LTD. |
10910593 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10910597 | Method for manufacturing light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910600 | Electroluminescent device having light transmitting region of non-through-hole structure | SAMSUNG DISPLAY CO., LTD. |
10910601 | Display device and electronic device having same | SAMSUNG ELECTRONICS CO., LTD. |
10910602 | Display panel with beam diffusion layer and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10910604 | Organic light-emitting display panel, method for adjusting color temperature thereof, and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10910605 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910702 | Active electronically steered array for satellite communications | AVL TECHNOLOGIES, INC. |
10910705 | Antenna in package device having substrate stack | TEXAS INSTRUMENTS INCORPORATED |
10910706 | Radar sensor housing design | -- |
10910741 | Connector assembly, connector pair of connector assembly and forming method of connector assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10910742 | Terminal connector with better compatibility | LEOCO (SUZHOU) PRECISE INDUSTRIAL CO., LTD. |
10910743 | Electrical assembly and method | LEAR CORPORATION |
10910746 | Memory and power mezzanine connectors | INTEL CORPORATION |
10910748 | Cable socket connector assembly for an electronic | TE CONNECTIVITY CORPORATION |
10910782 | Treatment, before the bonding of a mixed Cu-oxide surface, by a plasma containing nitrogen and hydrogen | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10910783 | Circuit module and method for manufacturing circuit module | YAZAKI CORPORATION |
10910789 | Device having a reinforcement layer and method for producing a device | OSRAM OLED GMBH |
10910843 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10910914 | Electric power tool | MAX CO., LTD. |
10910917 | Compact thermally efficient traction motor inverter | BEIJING E. MOTOR ADVANCE CO. LTD. |
10910957 | Silicon carbide power inverter/rectifier for electric machines | CALNETIX TECHNOLOGIES, LLC |
10911034 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10911048 | Dynamically adjustable CMOS circuit | NUVIA INC. |
10911055 | Oscillator self-calibration | TEXAS INSTRUMENTS INCORPORATED |
10911143 | Visible light communication device and method for driving the same, door lock and visible light communication method | BOE TECHNOLOGY GROUP CO., LTD. |
10911153 | High-speed photodetector array | NATIONAL INSTITUTE OF INFORMATION AND COMMUNICATIONS TECHNOLOGY |
10911654 | Optical image capturing module and system with multi-lens frame and manufacturing method thereof | -- |
10911656 | Optical isolation systems for displays | MICROSOFT TECHNOLOGY LICENSING, LLC |
10911699 | Imaging apparatus, control method of imaging apparatus, and program | CANON KABUSHIKI KAISHA |
10911706 | Solid-state imaging element and electronic equipment | SONY CORPORATION |
10911707 | Imaging element, imaging method and electronic apparatus | SONY CORPORATION |
10911738 | Compound-eye imaging device | SONY CORPORATION |
10911863 | Illuminated user interface architecture | APPLE INC. |
10912172 | Lighting systems for providing tunable light with high color rendering | ECOSENSE LIGHTING INC. |
10912182 | Sensor cleaning apparatus | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10912185 | Low-cost superior performance coinless RF power amplifier | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10912186 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10912187 | Printed board | MITSUBISHI ELECTRIC CORPORATION |
10912188 | High-frequency component | MURATA MANUFACTURING CO., LTD. |
10912189 | Circuit board | -- |
10912190 | Electrical connector element | EMC IP HOLDING COMPANY LLC |
10912191 | Electronic card with printed circuit comprising an integrated diffraction structure and method for the production thereof | INSTITUT VEDECOM |
10912192 | Flexible circuit board, COF module and electronic device comprising the same | LG INNOTEK CO., LTD. |
10912193 | Structure, wiring board, substrate for wiring board, copper clad laminate, and method for manufacturing the structure | KABUSHIKI KAISHA TOSHIBA |
10912194 | Printed circuit board | -- |
10912195 | Multi-embedded radio frequency board and mobile device including the same | THE BOEING COMPANY |
10912196 | Power distribution assembly | GE AVIATION SYSTEMS LIMITED |
10912197 | Display device | SAMSUNG DISPLAY CO., LTD. |
10912198 | Flexible capacitors and methods for manufacturing flexible capacitors | SAFECO AG |
10912199 | Resistive PCB traces for improved stability | KIOXIA CORPORATION |
10912200 | Pluggable printed circuit board and optical module having a gap between solder resist and electro-conductive contact sheet group | HISENSE BROADBAND MULTIMEDIA TECHNOLOGIES CO., LTD. |
10912201 | Electronic device and production method thereof | STANLEY ELECTRIC CO., LTD. |
10912202 | Method of manufacturing printed circuit board | LG INNOTEK CO., LTD. |
10912203 | Component mounting method and component mounting apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10912204 | Electronic device and rigid-flexible substrate module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10912205 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10912208 | Housing contacting system of a control device | KNORR-BREMSE SYSTEME FUER NUTZFAHRZEUGE GMBH |
10912215 | Display device | SAMSUNG DISPLAY CO., LTD. |
10912223 | Electric module, electrical system comprising such an electric module, and corresponding production methods | VALEO SIEMENS EAUTOMOTIVE FRANCE SAS |
10912224 | Thermally conductive vibration isolating connector | AMAZON TECHNOLOGIES, INC. |
10912232 | Electronic circuit board and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10912233 | Controller with heat sink clamping plate for enhanced thermal properties | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10912234 | Controller with fan motoring and control | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10912238 | Display apparatus | LG DISPLAY CO., LTD. |
10912241 | Component mounting device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
10912451 | Medical imaging apparatus, imaging method, and imaging apparatus | SONY CORPORATION |
10913064 | Droplet actuator fabrication apparatus, systems, and related methods | ABBOTT LABORATORIES |
10913093 | Micro-electro-mechanical system piezoelectric transducer and method for manufacturing the same | MEMSEN ELECTRONICS INC. |
10913132 | Solder composition, electronic board, and bonding method | TAMURA CORPORATION |
10913183 | Process for producing package for mounting a semiconductor element and mold release film | AGC INC. |
10913249 | Adhesive composition using polyamide-imide resin | TOYOBO CO., LTD. |
10913301 | Array-type electrode, digital printing mold and method for manufacturing array-type electrode | -- |
10913725 | Oxazine compound, composition and cured product | DIC CORPORATION |
10913754 | Lanthanum compound and methods of forming thin film and integrated circuit device using the lanthanum compound | SAMSUNG ELECTRONICS CO., LTD. |
10913869 | Coating for the mitigation of metal whiskers | RAYTHEON COMPANY |
10913893 | Additive to phosphoric acid etchant | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10914008 | Method and solution for forming interconnects | IMEC VZW |
10914162 | Protective housing for electronics in downhole tools | HALLIBURTON ENERGY SERVICES, INC. |
10914433 | Portable lantern light with multiple operating modes | PROMIER PRODUCTS INC. |
10914436 | Refractive decorative lighting string | -- |
10914445 | Vehicle lamp using semiconductor light emitting device | LG ELECTRONICS INC. |
10914462 | Systems and methods for lighting fixtures | FLUENCE BIOENGINEERING, INC. |
10914642 | Device for temperature detection | BOE TECHNOLOGY GROUP CO., LTD. |
10914756 | Miniature test probe | KEYSIGHT TECHNOLOGIES, INC. |
10914757 | Connection module | TERADYNE, INC. |
10914796 | Integrated fluxgate device with three-dimensional sensing | TEXAS INSTRUMENTS INCORPORATED |
10914846 | Image sensor | TIANMA JAPAN, LTD. |
10914873 | Display substrate, panel, and apparatus having irregular edge portions with partially covered pixels | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10914924 | Optical image capturing module | -- |
10914943 | Non-ghosting imaging device | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD. |
10914945 | Inconspicuous near-eye electrical circuits | FACEBOOK TECHNOLOGIES, LLC |
10914976 | Electronic device | -- |
10914992 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10914995 | Liquid crystal display panel | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10914996 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
10914998 | Array substrate of thin-film transistor liquid crystal display device and method for manufacturing the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915002 | Optical beam-steering devices and methods utilizing surface scattering metasurfaces | ELWHA LLC |
10915028 | Thermosetting coloring composition and method of producing color filter for solid-state imaging element | TOYO INK SC HOLDINGS CO., LTD. |
10915135 | Button assembly | -- |
10915144 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10915146 | Electronic device, method and apparatus for controlling flexible panel | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10915205 | Touch panels and methods of manufacturing touch panels | SAMSUNG DISPLAY CO., LTD. |
10915210 | Touch display panel and touch display device | LG DISPLAY CO., LTD. |
10915211 | Touch panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10915464 | Security system using random number bit string | -- |
10915687 | Breadboard and electronics experimentation system | JOEBOTICS INCORPORATED |
10915723 | Display device, display panel, manufacturing method thereof, and driving method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915726 | Display device and biometric detection method thereof | -- |
10915727 | Optical sensor and method for forming the same | -- |
10915811 | Intercalation cells for multi-task learning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916167 | Gate driving device and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10916186 | Display apparatus, electroluminescent display panel and method of acquiring and displaying image by display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10916187 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10916193 | Pixel driving circuit, display device, and driving method | BOE TECHNOLOGY GROUP CO., LTD. |
10916198 | Electronic display with hybrid in-pixel and external compensation | APPLE INC. |
10916200 | Display device including scan driver for driving display panel in which empty area enclosed by display area is formed | SAMSUNG ELECTRONICS CO., LTD. |
10916264 | Suspension assembly, head suspension assembly and disk device with the same | KABUSHIKI KAISHA TOSHIBA |
10916281 | Magnetic memory apparatus | KABUSHIKI KAISHA TOSHIBA |
10916282 | Control of switching trajectory in spin orbit torque devices by micromagnetic configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916283 | Magnetic domain wall movement element and magnetic recording array | TDK CORPORATION |
10916284 | Metallic magnetic memory devices for cryogenic operation and methods of operating the same | SANDISK TECHNOLOGIES LLC |
10916287 | Ferroelectric memory device containing a series connected select gate transistor and method of forming the same | SANDISK TECHNOLOGIES LLC |
10916290 | Memory system having combined high density, low bandwidth and low density, high bandwidth memories | APPLE INC. |
10916294 | Apparatuses and methods for concentrated arrangement of amplifiers | MICRON TECHNOLOGY, INC. |
10916295 | Memory arrays with vertical thin film transistors coupled between digit lines | MICRON TECHNOLOGY, INC. |
10916296 | Semiconductor structure and manufacturing method thereof | -- |
10916297 | Memory device comprising an electrically floating body transistor | ZENO SEMICONDUCTOR, INC |
10916302 | Method for operating a non-volatile memory cell | -- |
10916304 | Semiconductor storage device having alternately stacked bit lines and word lines, and first and second connection wirings to bit line contacts, that extend in opposite directions with respect thereto | TOSHIBA MEMORY CORPORATION |
10916313 | Apparatus and methods including establishing a negative body potential in a memory cell | MICRON TECHNOLOGY, INC. |
10916319 | Pulse output circuit, shift register and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916367 | Circuit device and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10916378 | Capacitance element having capacitance forming units arranged and electrically connected in series | MURATA MANUFACTURING CO., LTD. |
10916416 | Semiconductor wafer with modified surface and fabrication method thereof | -- |
10916429 | Semiconductor device packages and stacked package assemblies including high density interconnections | -- |
10916430 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916434 | Feature fill with multi-stage nucleation inhibition | LAM RESEARCH CORPORATION |
10916449 | Semiconductor package and method for fabricating base for semiconductor package | -- |
10916450 | Package of integrated circuits having a light-to-heat-conversion coating material | -- |
10916465 | Inorganic light emitting diode (ILED) assembly via direct bonding | FACEBOOK TECHNOLOGIES, LLC |
10916469 | Systems and methods for a semiconductor structure having multiple semiconductor-device layers | -- |
10916471 | Dual silicide liner flow for enabling low contact resistance | ELPIS TECHNOLOGIES INC. |
10916478 | Methods of performing fin cut etch processes for FinFET semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
10916480 | Magnetic wall utilization type analog memory device, magnetic wall utilization type analog memory, nonvolatile logic circuit, and magnetic neuro device | TDK CORPORATION |
10916485 | Molded wafer level packaging | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10916487 | Method for manufacturing a semiconductor device assembly with through-mold cooling channel formed in encapsulant | MICRON TECHNOLOGY, INC. |
10916488 | Semiconductor package having thermal conductive pattern surrounding the semiconductor die | -- |
10916493 | Direct current blocking capacitors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916494 | Device comprising first solder interconnects aligned in a first direction and second solder interconnects aligned in a second direction | QUALCOMM INCORPORATED |
10916496 | Circuit module | MURATA MANUFACTURING CO., LTD. |
10916497 | Apparatuses and methods for protecting transistor in a memory circuit | MICRON TECHNOLOGY, INC. |
10916500 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10916504 | Three-dimensional memory device including electrically conductive layers with molybdenum-containing liners | SANDISK TECHNOLOGIES LLC |
10916505 | Graphene diffusion barrier | APPLIED MATERIALS, INC. |
10916507 | Multiple chip carrier for bridge assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916508 | Semiconductor device package with radiation shield | TOSHIBA MEMORY CORPORATION |
10916513 | Method and system for providing a reverse engineering resistant hardware embedded security module | SAMSUNG ELECTRONICS CO., LTD. |
10916514 | Metal-free frame design for silicon bridges for semiconductor packages | INTEL CORPORATION |
10916519 | Method for manufacturing semiconductor package with connection structures including via groups | -- |
10916523 | Microdevice transfer setup and integration of micro-devices into system substrate | VUEREAL INC. |
10916524 | Stacked dice systems | INTEL CORPORATION |
10916525 | Semiconductor die for determining load of through silicon via and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10916526 | Method for fabricating electronic package with conductive pillars | -- |
10916527 | Apparatuses and methods for semiconductor die heat dissipation | MICRON TECHNOLOGY, INC. |
10916528 | Collapsible lighting device having circuit wire and LED module and method for manufacturing the same | HYUNDAI FOMEX CO., LTD. |
10916529 | Electronics card including multi-chip module | -- |
10916530 | Electronic device | -- |
10916531 | Semiconductor module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10916532 | Micro LED display panel and method for making same | -- |
10916533 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10916534 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916535 | Semiconductor device including a field effect transistor | SAMSUNG ELECTRONICS CO., LTD. |
10916536 | ESD protection device for a MEMS element | ROBERT BOSCH GMBH |
10916537 | Tight integrated vertical transistor dual diode structure for electrostatic discharge circuit protector | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916538 | Semiconductor device and manufacturing method therefor, solid-state imaging element, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10916539 | Semiconductor device having a transistor portion that includes an output resistive portion | FUJI ELECTRIC CO., LTD. |
10916540 | Device including PCM RF switch integrated with group III-V semiconductors | NEWPORT FAB, LLC |
10916541 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10916542 | Recessed STI as the gate dielectric of HV device | -- |
10916543 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916544 | Gate-all-around quantum well complementary inverter and method of making the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD |
10916545 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916546 | Enhanced channel strain to reduce contact resistance in NMOS FET devices | -- |
10916547 | Floating body memory cell having gates favoring different conductivity type regions | INTEL CORPORATION |
10916548 | Memory arrays with vertical access transistors | MICRON TECHNOLOGY, INC. |
10916549 | Semiconductor devices including enlarged contact hole and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10916550 | Memory devices with gate all around transistors | -- |
10916551 | Memory cell structure | -- |
10916552 | Stacked FinFET mask-programmable read only memory containing spaced apart upper and lower threshold voltage setting layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916553 | 3D vertical NAND memory device including multiple select lines and control lines having different vertical spacing | MICRON TECHNOLOGY, INC. |
10916554 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10916555 | Structure of memory cell and method for fabricating the same | -- |
10916556 | Three-dimensional memory device using a buried source line with a thin semiconductor oxide tunneling layer | SANDISK TECHNOLOGIES LLC |
10916557 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10916558 | NOR flash memory and method of fabricating the same | -- |
10916559 | Nonvolatile semiconductor memory device and manufacturing method thereof | KIOXIA CORPORATION |
10916560 | Crenellated charge storage structures for 3D NAND | -- |
10916561 | Method of fabricating semiconductor device | TOKYO ELECTRON LIMITED |
10916562 | Non-volatile memory device | TOSHIBA MEMORY CORPORATION |
10916563 | Semiconductor device including word line cut | SAMSUNG ELECTRONICS CO., LTD. |
10916564 | Assemblies having vertically-extending structures, and methods of forming assemblies having vertically-extending channel material pillars | MICRON TECHNOLOGY, INC. |
10916565 | LTPS substrate and fabricating method thereof, thin film transistor thereof, array substrate thereof and display device thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916566 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916567 | Light-emitting device and electronic device using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916568 | Manufacturing method of display substrate, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916569 | Thin-film transistor and method of forming an electrode of a thin-film transistor | H.C. STARCK INC. |
10916570 | Array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916571 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916572 | Pixel of image sensor using high-speed charge transfer | UNIST(ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) |
10916573 | Semiconductor device and driving method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916574 | Imaging device, method of manufacturing the same, and apparatus | CANON KABUSHIKI KAISHA |
10916575 | Image sensor and method of manufacturing image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10916576 | Multi pixel micro lens pixel array and camera system for solving color mix and operating method thereof | CENTER FOR INTEGRATED SMART SENSORS FOUNDATION |
10916577 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10916578 | Semiconductor apparatus and camera | CANON KABUSHIKI KAISHA |
10916579 | Optoelectronic device with light-emitting diodes | ALEDIA |
10916580 | Optoelectronic device with light-emitting diodes | ALEDIA |
10916581 | Multilayered magnetic free layer structure containing an ordered magnetic alloy first magnetic free layer for spin-transfer torque (STT) MRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916582 | Vertically-strained silicon device for use with a perpendicular magnetic tunnel junction (PMTJ) | SPIN MEMORY, INC. |
10916583 | Monolithic integrated circuits with multiple types of embedded non-volatile memory devices | INTEL CORPORATION |
10916584 | Semiconductor device including a data storage pattern and a method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10916585 | Stacked phase-change material (PCM) radio frequency (RF) switches with improved RF power handling | NEWPORT FAB, LLC |
10916586 | Three dimensional memory array with select device | MICRON TECHNOLOGY, INC. |
10916587 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10916588 | Display panel, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10916589 | Electroluminescent display device | LG DISPLAY CO., LTD. |
10916590 | Light emitting element and display device | SONY CORPORATION |
10916591 | Pixel structure, display panel, display apparatus, and mask plate | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916592 | Organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10916593 | Display unit | SONY CORPORATION |
10916594 | Display device including a plurality of color filters and a plurality of light emitting layers | JAPAN DISPLAY INC. |
10916595 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916596 | Display cell, display device, and method of manufacturing a display cell | SAMSUNG DISPLAY CO., LTD. |
10916597 | Display device | JAPAN DISPLAY INC. |
10916598 | OLED array substrate, method for fabricating the same, OLED pixel circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916599 | Array substrate, display apparatus and luminance calibration method therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10916600 | Flexible touch control display screen and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916601 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916602 | Base plate, method for manufacturing the same and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10916603 | Display device and fabrication method thereof | SAMSUNG DISPLAY CO., LTD. |
10916604 | Organic light emitting display device having a multi-directional dam structure | LG DISPLAY CO., LTD. |
10916605 | Display substrate, method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916606 | OLED light emitting device, preparation method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916607 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10916608 | Flexible organic light emitting display device having a block structure | SAMSUNG DISPLAY CO., LTD. |
10916609 | Array substrate and method for manufacturing array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916610 | Backplane substrate, manufacturing method for the same, and organic light-emitting display device using the same | LG DISPLAY CO., LTD. |
10916611 | Organic light emitting display device and fabricating method thereof | LG DISPLAY CO., LTD. |
10916612 | Pixel unit and array substrate comprising the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916613 | Array substrate and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916614 | Array substrate, display panel, light-detecting method therefor and method for controlling the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916615 | Display device, display panel and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916616 | Display device having flexible non-display area portion | SAMSUNG DISPLAY CO., LTD. |
10916617 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916618 | Array substrate and method for repairing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10916619 | Display apparatus including conductive pattern in substrate and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10916620 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10916621 | Capacitor including first electrode, second electrode, and dielectric layer, image sensor, and method for producing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10916623 | Semiconductor device including capacitor and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10916624 | Semiconductor integrated circuit and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
10916627 | Nanosheet transistor with fully isolated source and drain regions and spacer pinch off | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916628 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10916629 | Nanosheet-CMOS EPROM device with epitaxial oxide charge storage region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916634 | Method of fabricating a flash memory | -- |
10916639 | Semiconductor device structure and method for preparing the same | -- |
10916649 | Vertical field effect transistor with reduced external resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916652 | Asymmetric transistors and related devices and methods | INTEL CORPORATION |
10916654 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10916656 | MOS devices having epitaxy regions with reduced facets | -- |
10916660 | Vertical transistor with a body contact for back-biasing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916662 | Oxide thin film transistor, array substrate, and preparation methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916664 | Non-volatile memory and manufacturing method for the same | NEXCHIP SEMICONDUCTOR CO., LTD. |
10916682 | Micro light-emitting device and display apparatus | -- |
10916684 | Light emitting device including RGB light emitting diodes and phosphor | SEOUL SEMICONDUCTOR CO., LTD. |
10916692 | Piezoelectric detection circuit, method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916695 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10916696 | Method for manufacturing magnetic memory element with post pillar formation annealing | SPIN MEMORY, INC. |
10916699 | Resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916700 | Memory device with memory cell pillar having resistive memory layer with wedge memory portion and body memory portion, and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10916701 | Ultra-fine pattern deposition apparatus, ultra-fine pattern deposition method using the same, and light-emitting display device manufactured by ultra-fine pattern deposition method | LG DISPLAY CO., LTD. |
10916703 | Masks, method to inspect and adjust mask position, and method to pattern pixels of organic light-emitting display device utilizing the masks | SAMSUNG DISPLAY CO., LTD. |
10916707 | Organic compound, light-emitting element, light-emitting device, electronic device, display device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916717 | Flexible organic light emitting diode display assembly | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916718 | Flexible array substrate, method for manufacturing same, and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916719 | Flexible display | LG DISPLAY CO., LTD. |
10916722 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916725 | Organic light-emitting diode display panel, method for fabricating the same and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916726 | Crack extension blocking structure, display panel, display apparatus, and production method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916727 | OLED substrate and packaging method and display apparatus thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10916728 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916730 | Manufacturing method of the display device | JAPAN DISPLAY INC. |
10916732 | Display panel | -- |
10916733 | Display device and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916734 | Method of manufacturing curved display, curved display and display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916735 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10916736 | Display panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10916737 | Display panel, mask, method for manufacturing display panel, and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10916738 | Display panel and manufacturing method of the display panel, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916854 | Antenna structure with integrated coupling element and semiconductor package using the same | -- |
10916877 | QSFP-DD connector backshell with vertically arranged rows of cables | MELLANOX TECHNOLOGIES, LTD. |
10916878 | Unitary molded USB device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10916912 | Optical module | MITSUBISHI ELECTRIC CORPORATION |
10916914 | Light module | MITSUBISHI ELECTRIC CORPORATION |
10916931 | Temperature sensing and fault detection for paralleled double-side cooled power modules | INFINEON TECHNOLOGIES AG |
10916938 | ESD-protective surface-mount composite component | MURATA MANUFACTURING CO., LTD. |
10916950 | Method of making a wireless connector receiver module | NUCURRENT, INC. |
10917052 | Dual device semiconductor structures with shared drain | CIRRUS LOGIC, INC. |
10917321 | Disaggregated physical memory resources in a data center | INTEL CORPORATION |
10917546 | Imaging device utilizing sensor area of photoelectric conversion elements corresponding to incident light passed through optical elements including a layer of polarizers of horizontal and vertical directions and quarter wave plates | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10917548 | Vehicular camera and lens assembly and method of manufacturing same | MAGNA ELECTRONICS INC. |
10917589 | Digital pixel with extended dynamic range | FACEBOOK TECHNOLOGIES, LLC |
10917596 | Pixel circuit for generating output signals in response to incident radiation | -- |
10917598 | Ramp signal generator and image sensor including the same | S K HYNIX INC. |
10917602 | Stacked imaging device with Cu-Cu bonding portion | SONY CORPORATION |
10917625 | Time multiplexed dual-band sensor | FACEBOOK TECHNOLOGIES, LLC |
10917953 | Electrically parallel fused LEDs | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10917962 | Electronic device | RENESAS ELECTRONICS CORPORATION |
10917963 | Thermal insulation and temperature control of components | PALO ALTO RESEARCH CENTER INCORPORATED |
10917964 | Primer composition and copper foil substrate using the same | -- |
10917965 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10917966 | Articles including metallized vias | CORNING INCORPORATED |
10917967 | Printed wiring board and method for manufacturing printed wiring board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10917968 | Package to printed circuit board transition | GOOGLE LLC |
10917969 | Display device coupled to circuit board with coupling film | SAMSUNG DISPLAY CO., LTD. |
10917970 | Display panel and display | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10917971 | Electronic component, power supply device, and method of manufacturing coil | SONY CORPORATION |
10917972 | Switching device and electronic device | KABUSHIKI KAISHA TOSHIBA |
10917973 | Method of direct embedding a lithium ion battery on a flexible printed circuit board | COMPASS TECHNOLOGY COMPANY LIMITED |
10917974 | Circuit board incorporating electronic component and manufacturing method thereof | TDK CORPORATION |
10917975 | Vertical circuit board printer | SHENZHEN JINGJIANG YUNCHUANG TECHNOLOGY CO., LTD. |
10917976 | Designing a printed circuit board (PCB) to detect slivers of conductive material included within vias of the PCB | JUNIPER NETWORKS, INC. |
10917978 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10917992 | High power multilayer module having low inductance and fast switching for paralleling power devices | CREE FAYETTEVILLE, INC. |
10917994 | Wickless capillary driven constrained vapor bubble heat pipes for application in rack servers | INTEL CORPORATION |
10917999 | Power module, power module assembly and assembling method thereof | DELTA ELECTRONICS (THAILAND) PUBLIC COMPANY LIMITED |
10918001 | Component placing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10918298 | High-speed, high-resolution electrophysiology in-vivo using conformal electronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
10918306 | Catheter splines with embedded circuit elements | BIOSENSE WEBSTER (ISRAEL) LTD. |
10918449 | Surgical system instrument manipulator | INTUITIVE SURGICAL OPERATIONS, INC |
10918820 | Inhaler component | BATMARK LIMITED |
10919211 | Method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10919281 | Nanoparticle application with adhesives for printable electronics | LOCKHEED MARTIN CORPORATION |
10919710 | Transport unit, transfer apparatus, and transfer method | HIRATA CORPORATION |
10919711 | Component mounting device | FUJI CORPORATION |
10919732 | Embedded power module | OTIS ELEVATOR COMPANY |
10920008 | Thermal-curable resin composition, and pre-preg, metal-clad laminate and printed circuit board manufactured using the same | -- |
10920017 | Polyimide resin and resin composition | KANEKA CORPORATION |
10920330 | Electrolytic copper foil for secondary battery and method for producing the same | ILJIN MATERIALS CO., LTD. |
10920938 | LED apparatus employing neodymium based materials with variable content of fluorine and oxygen | SAVANT TECHNOLOGIES LLC |
10920960 | Light-emitting device and integrated light-emitting device | NICHIA CORPORATION |
10921199 | Force sensor and manufacturing method thereof | -- |
10921202 | Tamper detection for breakable remakeable connections | PALO ALTO RESEARCH CENTER INCORPORATED |
10921300 | Internal server air quality station | MICROSOFT TECHNOLOGY LICENSING, LLC |
10921365 | High-potential testing of conductive lands of a printed circuit board | ARISTA NETWORKS, INC. |
10921447 | Control circuit of light emitting and receiving device | ROHM CO., LTD. |
10921467 | Detector array for imaging modality | ANALOGIC CORPORATION |
10921484 | Methods and systems for detecting motion corresponding to a field of interest | RELIANCE CORE CONSULTING |
10921501 | Infrared-light transmission filter and devices containing the infrared-light transmission filter | AGC INC. |
10921624 | Display panel and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10921642 | Mini light emitting diode (LED) backlight with adhesive filled seams and grooves and the method of manufacturing same | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921649 | Display device | -- |
10921651 | Display panel, display device, and method for manufacturing display panel having an orthographic projection of the support sections onto the base substrate | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10921657 | Display device and wiring substrate | JAPAN DISPLAY INC. |
10921660 | Circuit board, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10921662 | Manufacturing method of array substrate, array substrate, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921663 | Array substrate and method for forming the same | HKC CORPORATION LIMITED |
10921664 | Substrate including semiconductors arranged in a matrix and a display device | JAPAN DISPLAY INC. |
10921667 | Display device | JAPAN DISPLAY INC. |
10921855 | Interposer for a display driver integrated circuit chip | SYNAPTICS INCORPORATED |
10921905 | Keyboard module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10921916 | Display device | SAMSUNG DISPLAY CO., LTD. |
10921917 | Input sensing circuit and display module having the same | SAMSUNG DISPLAY CO., LTD. |
10922260 | Modular carrier form factors for computing platforms | LIQID INC. |
10922262 | Semiconductor layered device with data bus inversion | MICRON TECHNOLOGY, INC. |
10922398 | Optical fingerprint sensor with non-touch imaging capability | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10922518 | Chip package structure, chip package method and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10922521 | Display device, method for operating display device and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
10922789 | Super-resolution lattice light field microscopic imaging system and method | TSINGHUA UNIVERSITY |
10923002 | Flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923006 | Driving voltage compensating method and circuit for display device | XIANYANG CAIHONG OPTOELECTRONICS TECHNOLOGY CO., LTD |
10923023 | Stacked hybrid micro LED pixel architecture | -- |
10923024 | LED display module and method of making thereof | SCT LTD. |
10923030 | EL display apparatus | JOLED INC. |
10923038 | Display panel and display device | LG DISPLAY CO., LTD. |
10923040 | Gate driver and electroluminescent display including the same | LG DISPLAY CO., LTD. |
10923062 | Display panel, manufacturing method thereof, driving method and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923080 | Detection substrate, display panel and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10923161 | Bitcell wordline strapping circuitry | ARM LIMITED |
10923162 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10923168 | Method of making magnetic tunnel junction memory device with stress inducing layers | SK HYNIX INC. |
10923169 | Magnetic recording array and magnetic recording device | TDK CORPORATION |
10923183 | Memory device comprising electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
10923189 | Memory device | TOSHIBA MEMORY CORPORATION |
10923190 | Memory device | TOSHIBA MEMORY CORPORATION |
10923191 | 3D SRAM/ROM with several superimposed layers and reconfigurable by transistor rear biasing | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923193 | Memory device including voltage generating circuit | SK HYNIX INC. |
10923195 | Nonvolatile memory device, an operating method thereof, and a storage system including the nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
10923196 | Erase operation in 3D NAND | SANDISK TECHNOLOGIES LLC |
10923197 | Memory device with compensation for erase speed variations due to blocking oxide layer thinning | SANDISK TECHNOLOGIES LLC |
10923202 | Host-resident translation layer triggered host refresh | MICRON TECHNOLOGY, INC. |
10923252 | Resistor, circuit board, and electronic device | KYOCERA CORPORATION |
10923277 | Low noise capacitors | AVX CORPORATION |
10923285 | Multilayer ceramic electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10923330 | Surface polymer coatings | EUROPLASMA NV |
10923341 | Method of forming oxide layer and method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923344 | Methods for forming a semiconductor structure and related semiconductor structures | ASM IP HOLDING B.V. |
10923347 | Metal oxide film and manufacturing method thereof, thin film transistor and array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923350 | Manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923353 | Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same | -- |
10923387 | Array of gated devices and methods of forming an array of gated devices | MICRON TECHNOLOGY, INC. |
10923390 | Semiconductor device with air gap and method for fabricating the same | SK HYNIX INC. |
10923399 | Hybrid integrated semiconductor tri-gate and split dual-gate FinFET devices and method for manufacturing | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10923402 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10923403 | Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923407 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923414 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10923421 | Package structure and method of manufacturing the same | -- |
10923425 | Power distribution | ARM LIMITED |
10923426 | Standard-cell layout structure with horn power and smart metal cut | -- |
10923429 | Multi-chip package and method of providing die-to-die interconnects in same | INTEL CORPORATION |
10923431 | Method for forming a 3D IC architecture including forming a first die on a first side of a first interconnect structure and a second die in an opening formed in a second side | -- |
10923433 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10923436 | Techniques for thermal matching of integrated circuits | QUALCOMM INCORPORATED |
10923438 | Package structure and method for forming the same | -- |
10923439 | Core substrate, multi-layer wiring substrate, semiconductor package, semiconductor module, copper-clad substrate, and method for manufacturing core substrate | TOPPAN PRINTING CO., LTD. |
10923441 | Implementing transient electronic circuits for security applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923447 | Semiconductor device assembly with die support structures | MICRON TECHNOLOGY, INC. |
10923450 | Memory arrays with bonded and shared logic circuitry | INTEL CORPORATION |
10923453 | Bonding apparatus and method for using the same | SAMSUNG DISPLAY CO., LTD. |
10923457 | Multi-die module with contactless coupler and a coupling loss reduction structure | TEXAS INSTRUMENTS INCORPORATED |
10923458 | Vertical light emitting diode with magnetic back contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923459 | Three dimensional integrated circuit | SILICON GENESIS CORPORATION |
10923460 | Device and methods for the transfer of chips from a source substrate onto a destination substrate | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923461 | Light-emitting module and tandem light-emitting device | BRIGHTEK OPTOELECTRONIC (SHENZHEN) CO., LTD. |
10923462 | Bifurcated memory die module semiconductor device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10923463 | Method and machine-readable medium for configuring processors with base dies having landing slots | INTEL CORPORATION |
10923464 | Connection system of semiconductor packages using a printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
10923465 | Semiconductor device having stacked semiconductor chips and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10923466 | Vertical transient voltage suppression device | -- |
10923467 | Series resistor over drain region in high voltage device | -- |
10923468 | Electrostatic protection circuit, array substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923469 | Vertical resistor adjacent inactive gate over trench isolation | GLOBALFOUNDRIES U.S. INC. |
10923470 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10923471 | Minimizing shorting between FinFET epitaxial regions | TESSERA, INC. |
10923472 | Semiconductor device including a multigate transistor formed with fin structure | SAMSUNG ELECTRONICS CO., LTD. |
10923473 | High voltage logic circuit | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10923474 | Semiconductor structure having gate-all-around devices | -- |
10923475 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923476 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10923477 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923478 | Reduction of roughness on a sidewall of an opening | MICRON TECHNOLOGY, INC. |
10923479 | Method for fabricating a memory device | -- |
10923480 | Capacitance reduction in a semiconductor device | MICRON TECHNOLOGY, INC. |
10923481 | Semiconductor integrated circuit structure | -- |
10923482 | IC product with a novel bit cell design and a memory array comprising such bit cells | GLOBALFOUNDRIES U.S. INC. |
10923483 | EFuse | -- |
10923484 | Integrated circuit including at least one memory cell with an antifuse device | STMICROELECTRONICS (ROUSSET) SAS |
10923485 | Semiconductor structure and method for forming the same | -- |
10923486 | Memory device | TOSHIBA MEMORY CORPORATION |
10923487 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10923488 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10923489 | Three-dimensional semiconductor devices including vertical structures | SAMSUNG ELECTRONICS CO., LTD. |
10923490 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
10923491 | Hybrid bonding contact structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10923492 | Elevationally-extending string of memory cells and methods of forming an elevationally-extending string of memory cells | MICRON TECHNOLOGY, INC. |
10923493 | Microelectronic devices, electronic systems, and related methods | MICRON TECHNOLOGY, INC. |
10923494 | Electronic devices comprising a source below memory cells and related systems | MICRON TECHNOLOGY, INC. |
10923495 | Semiconductor memory device with divided source plate | SK HYNIX INC. |
10923496 | Three-dimensional memory device containing a replacement buried source line and methods of making the same | SANDISK TECHNOLOGIES LLC |
10923497 | Electronic device having stacked structures and method for manufacturing the same | SK HYNIX INC. |
10923498 | Three-dimensional memory device containing direct source contact structure and methods for making the same | SANDISK TECHNOLOGIES LLC |
10923499 | Semiconductor device and manufacturing method of the same | SK HYNIX INC. |
10923500 | Memory device | TOSHIBA MEMORY CORPORATION |
10923501 | Ferroelectric memory device and method of manufacturing the same | SK HYNIX INC. |
10923502 | Three-dimensional ferroelectric memory devices including a backside gate electrode and methods of making same | SANDISK TECHNOLOGIES LLC |
10923503 | Semiconductor-on-insulator (SOI) substrate comprising a trap-rich layer with small grain sizes | -- |
10923504 | Display device with sloped pinhole | -- |
10923505 | Method for fabricating a display substrate by generating heat with a light shielding layer for crystallization of a semiconductor layer | BOE TECHNOLOGY GROUP CO., LTD. |
10923506 | Electroluminescence display device | LG DISPLAY CO., LTD. |
10923507 | Array substrate with improvement reading speed, driving method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923508 | Array substrate and manufacturing method therefor, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923509 | Thin film transistor array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923510 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10923511 | Array substrate and display device comprising same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923512 | Array substrate, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923513 | Array substrate and method for manufacturing the same, display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923514 | Etch chemistries for metallization in electronic devices | H.C. STARCK INC. |
10923515 | Global shutter pixel circuit and method for computer vision applications | MAGIC LEAP, INC. |
10923516 | Image capturing device, image capturing method, image processing device, image processing method, and storage medium | RICOH COMPANY, LTD. |
10923517 | Solid-state imaging apparatus having output circuit unit for outputting a pixel signal | SONY CORPORATION |
10923518 | Image sensor and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10923519 | Pixel structure for CMOS image sensor | -- |
10923520 | Event-based vision sensor manufactured with 3D-IC technology | SONY ADVANCED VISUAL SENSING AG |
10923521 | Fan-out sensor package and camera module | SAMSUNG ELECTRONICS CO., LTD. |
10923522 | Backside illuminated image sensor and method of manufacturing the same | DB HITEK CO., LTD. |
10923523 | Multi-photodiode pixel cell | FACEBOOK TECHNOLOGIES, LLC |
10923524 | Support for forming an optoelectronic component, optoelectronic component and method for manufacturing such a support and such a component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923525 | CMOS cap for MEMS devices | MERIDIAN INNOVATION PTE LTD |
10923526 | Multi-pass imaging using image sensors with variably biased channel-stop contacts for identifying defects in a semiconductor die | KLA CORPORATION |
10923527 | Micro light-emitting diode display panel having control element for controlling multiple micro light-emitting diodes emitting the same color | -- |
10923528 | Optoelectronic device comprising pixels with improved contrast and brightness | ALEDIA |
10923529 | Display substrate, method for manufacturing display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923530 | Optoelectronic device with light-emitting diodes | ALEDIA |
10923531 | Nonvolatile memory device having an oxidized magnetic material film around a magnetic material layer and method of manufacturing the same | SONY CORPORATION |
10923532 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
10923533 | Semiconductor device having a volatile element and a plurality of non-volatile elements | SONY CORPORATION |
10923534 | Rectifying element and switching element having the rectifying element | NEC CORPORATION |
10923535 | Solid state tissue equivalent detector with gate electrodes | -- |
10923536 | Organic el display device and method of manufacturing organic el display device | JAPAN DISPLAY INC. |
10923537 | Display screens and display devices | -- |
10923538 | Display device | SAMSUNG DISPLAY CO., LTD. |
10923539 | Electronic display apparatus including a solar cell unit | SAMSUNG DISPLAY CO., LTD. |
10923540 | Fingerprint recognizable OLED display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923541 | Display device | SAMSUNG DISPLAY CO., LTD. |
10923542 | Display screen assembly having a flexible circuit board with curved portions | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923543 | Display panel and method of forming the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923544 | Display device having first light emitting element in a non-transmission portion and a second light emitting element in a transmission portion | LG DISPLAY CO., LTD. |
10923545 | Thin film transistor array substrate and organic light-emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
10923546 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10923547 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10923548 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923549 | Display apparatus including a shielding conductive layer | SAMSUNG DISPLAY CO., LTD. |
10923550 | Display device with organic layer with different thickness | JAPAN DISPLAY INC. |
10923551 | Display panel with stretching units and display device having the same | BOE TECHNOLOGY GROUP CO., LTD. |
10923552 | Display panel having a plurality of lines bypassing around area edges | SAMSUNG DISPLAY CO., LTD. |
10923553 | Display device | SHARP KABUSHIKI KAISHA |
10923554 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923555 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10923556 | Display device | SAMSUNG DISPLAY CO., LTD. |
10923557 | Active-matrix light-emitting diode (AMOLED) free of TFT within an active area | AROLLTECH CO., LTD. |
10923558 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
10923559 | Display panel of portable electronic device and design method therefor | GALAXYCORE SHANGHAI LIMITED CORPORATION |
10923560 | Capacitor including electrode and dielectric layer each containing silicon, and method for manufacturing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923561 | Semiconductor device | DENSO CORPORATION |
10923569 | P-type oxide, p-type oxide-producing composition, method for producing p-type oxide, semiconductor device, display device, image display apparatus, and system | RICOH COMPANY, LTD. |
10923570 | Manufacturing method for controlling carrier lifetimes in semiconductor substrates that includes injection and annealing | FUJI ELECTRIC CO., LTD. |
10923572 | Heat sink layout designs for advanced FinFET integrated circuits | -- |
10923576 | Atomic layer deposition methods and structures thereof | -- |
10923579 | Semiconductor device with interconnect to source/drain | GLOBALFOUNDRIES U.S. INC. |
10923580 | Semiconductor device and method for fabricating the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923581 | Method for forming semiconductor structure | -- |
10923584 | Graded channels for high frequency III-N transistors | INTEL CORPORATION |
10923590 | Wrap-around contact for vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923591 | Method for producing a semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10923592 | High voltage switching device | PSEMI CORPORATION |
10923593 | Transistor and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10923594 | Methods to reduce or prevent strain relaxation on PFET devices and corresponding novel IC products | GLOBALFOUNDRIES U.S. INC. |
10923595 | Semiconductor device having a SiGe epitaxial layer containing Ga | -- |
10923596 | Camouflaged FinFET and method for producing same | RAMBUS INC. |
10923597 | Transistor and method for manufacturing the same, display substrate, and display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923601 | Charge trapping split gate device and method of fabricating same | CYPRESS SEMICONDUCTOR CORPORATION |
10923602 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10923603 | Semiconductor device comprising Schottky barrier diodes | KEY FOUNDRY CO., LTD. |
10923607 | Solid state imaging apparatus, production method thereof and electronic device | SONY CORPORATION |
10923614 | Photodiode, photodiode array, and solid-state imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923621 | Method for reduction of interfacial stress accumulation between double side copper-plated layers and aluminum nitride substrate | -- |
10923622 | Micro light-emitting diode (LED) elements and display | INTEL CORPORATION |
10923624 | Imaging apparatus and endoscope | SEIKO EPSON CORPORATION |
10923625 | Multi-wavelength light source device, multi-function projector including the same, and electronic device including the multi-function projector | SAMSUNG ELECTRONICS CO., LTD. |
10923628 | Micrometer scale light emitting diode displays on patterned templates and substrates | LUMILEDS LLC |
10923631 | Micro light emitting device and display apparatus | -- |
10923635 | Phosphor deposition system for LEDs | LUMILEDS LLC |
10923640 | Optoelectronic component and method of producing same | OSRAM OLED GMBH |
10923644 | Embedded electrode substrate for transparent light emitting device display and method for manufacturing thereof | LG CHEM, LTD. |
10923645 | Light source device having package including first electrode and second electrode and substrate including wiring members facing first electrode and second electrode | NICHIA CORPORATION |
10923646 | Superconducting switch having a persistent and a non-persistent state | MICROSOFT TECHNOLOGY LICENSING, LLC |
10923648 | Memory cell, memory array, method of forming and operating memory cell | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10923649 | Spin current magnetization rotation magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
10923651 | Spin orbit materials for efficient spin current generation | NATIONAL UNIVERSITY OF SINGAPORE |
10923654 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
10923656 | Switching atomic transistor and method for operating same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10923657 | Methods of forming memory cells and memory devices | MICRON TECHNOLOGY, INC. |
10923661 | Organic light emitting diode and fabricating method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923669 | Display device including a bending area and a panel-sheet coupling layer | SAMSUNG DISPLAY CO., LTD. |
10923672 | Quantum dot organic light emitting diode display panel | -- |
10923674 | Color film substrate and preparation method therefor, and display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923676 | Encapsulating structure of organic light emitting diode display panel having concave-convex structure in encapsulating portion | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923677 | Film structure, display device and method for fabricating the film structure | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923678 | Display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
10923679 | Light-emitting element display device | JAPAN DISPLAY INC. |
10923682 | Display apparatus having protective layer and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10923683 | Substrate, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923684 | Organic light-emitting display device including microlenses and method of fabricating the same | LG DISPLAY CO., LTD. |
10923685 | Display and method of fabricating the display | BOE TECHNOLOGY GROUP CO., LTD. |
10923686 | Heat dissipating structure of a flexible display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923773 | Contacting unit for electrically contacting at least one electronics segment of an electronics module and method | TE CONNECTIVITY GERMANY GMBH |
10923790 | Low-loss silicon on insulator based dielectric microstrip line | CITY UNIVERSITY OF HONG KONG |
10923792 | Microwave feeding module and circuit board structure | -- |
10923820 | Electronic device for supporting short-range wireless communication using first coil and second coil positioned next to first coil | SAMSUNG ELECTRONICS CO., LTD. |
10923845 | Electrical equipment for an automobile vehicle | VAKO SIEMENS EAUTOMOTIVE FRANCE SAS |
10923855 | Connector to prevent misconnection of contacts without the use of a projection and a groove | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
10923859 | Crosstalk reducing connector pin geometry | INTEL CORPORATION |
10923992 | Drive device and electric power steering device using same | DENSO CORPORATION |
10924071 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10924081 | Substrate for a temperature-compensated surface acoustic wave device or volume acoustic wave device | SOITEC |
10924093 | Integrated circuit with finFETs having dummy structures | NXP USA, INC. |
10924096 | Circuit and method for dynamic clock skew compensation | XILINX, INC. |
10924269 | Compact optical module integrated for communicating cryptocurrency transaction | INPHI CORPORATION |
10924595 | Light-emitting device having a bent substrate | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10924686 | Optoelectronic lighting device, method of illuminating a scene, camera and mobile terminal | OSRAM OLED GMBH |
10924687 | Adaptive generation of a high dynamic range image of a scene, on the basis of a plurality of images obtained by non-destructive reading of an image sensor | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10924697 | Image sensor and electronic device having imaging regions for focus detection extending in first and second different directions | NIKON CORPORATION |
10924698 | Solid-state imaging element, method of driving the same, and camera system | SONY CORPORATION |
10924699 | Backside illumination image sensor, manufacturing method thereof and image-capturing device | NIKON CORPORATION |
10924703 | Sensors and systems for the capture of scenes and events in space and time | INVISAGE TECHNOLOGIES, INC. |
10924720 | Systems and methods for determining surface topology and associated color of an intraoral structure | ALIGN TECHNOLOGY, INC. |
10924723 | Imaging apparatus and image sensor array | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10924726 | Three-dimensional display panel and three-dimensional display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10924860 | Display device | SAMSUNG DISPLAY CO., LTD. |
10925132 | Multipixel led component and method of operating a multipixel led component | OSRAM OLED GMBH |
10925148 | Printed circuit board assembly | SAMSUNG ELECTRONICS CO., LTD. |
10925149 | High frequency module, board equipped with antenna, and high frequency circuit board | MURATA MANUFACTURING CO., LTD. |
10925150 | Anti-pad for a printed circuit board | TE CONNECTIVITY CORPORATION |
10925151 | Systems and methods for providing a high speed interconnect system with reduced crosstalk | EAGLE TECHNOLOGY, LLC |
10925152 | Dielectric coating for crosstalk reduction | INTEL CORPORATION |
10925153 | System and method to provide connection pads for high speed cables | DELL PRODUCTS L.P. |
10925154 | Tamper detection | TEXAS INSTRUMENTS INCORPORATED |
10925155 | Printed circuit board and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10925156 | Circuit board structure and display panel | HKC CORPORATION LIMITED |
10925157 | Flexible wiring board and display device | SHARP KABUSHIKI KAISHA |
10925158 | Flexible substrate | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10925159 | Circuit device | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
10925160 | Electronic device with a display assembly and silicon circuit board substrate | AMAZON TECHNOLOGIES, INC. |
10925161 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10925162 | Printed circuit board | -- |
10925163 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10925164 | Stackable passive component | APPLE INC. |
10925166 | Protection fixture | -- |
10925167 | Modular expansion card bus | AMAZON TECHNOLOGIES, INC. |
10925168 | Methods for forming of flexible circuitry layers | LOOMIA TECHNOLGIES, INC. |
10925169 | Method for manufacturing transparent light emitting device by using UV imprinting technology and transparent light emitting device manufactured thereby | SEOUL |
10925170 | Surface treated copper foil, surface treated copper foil with resin layer, copper foil with carrier, laminate, method for manufacturing printed wiring board, and method for manufacturing electronic device | JX NIPPON MINING & METALS CORPORATION |
10925171 | Surface treated copper foil, surface treated copper foil with resin layer, copper foil with carrier, laminate, method for manufacturing printed wiring board, heat dissipation substrate, and method for manufacturing electronic device | JX NIPPON MINING & METALS CORPORATION |
10925172 | Carrier structure and manufacturing method thereof | -- |
10925175 | Compression gasket for an electronic assembly | -- |
10925193 | Control device for a gearbox control system of a motor vehicle | ROBERT BOSCH GMBH |
10925464 | Imaging unit and endoscope | OLYMPUS CORPORATION |
10925507 | Physiological information detection sensor | NIHON KOHDEN CORPORATION |
10925571 | Intra-oral imaging sensor with operation based on output of a multi-dimensional sensor | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10926082 | Implantable electrode array | OTICON MEDICAL A/S |
10926287 | Method of calibrating a dispenser | ILLINOIS TOOL WORKS INC. |
10926360 | Lead-free solder alloy, solder joint, solder paste composition, electronic circuit board, and electronic device | TAMURA CORPORATION |
10926439 | Overmolded electronic components for transaction cards and methods of making thereof | COMPOSECURE, LLC |
10926538 | Liquid ejecting head and liquid ejecting apparatus | SEIKO EPSON CORPORATION |
10927453 | TiN-based film and TiN-based film forming method | TOKYO ELECTRON LIMITED |
10927463 | Pretreating liquid for electroless plating to be used during reduction treatment, and process for producing printed wiring board | C. UYEMURA & CO., LTD. |
10927468 | Copper electroplating compositions and methods of electroplating copper on substrates | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10927470 | Apparatus for fabricating electrode structure | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10928012 | Light emitting diode filament lamp with V-geometry | LEDVANCE LLC |
10928037 | Light emitting device | NICHIA CORPORATION |
10928047 | Package structure and LED illumination module | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10928141 | Heat exchanger for cooling multiple layers of electronic modules | DANA CANADA CORPORATION |
10928248 | Light filter and spectrometer including the same | SAMSUNG ELECTRONICS CO., LTD. |
10928312 | Gas sensor module | SENSIRION AG |
10928334 | Methods of preparing single-walled carbon nanotube networks | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10928370 | Actuating and sensing module | -- |
10928419 | Interposer, Test Access Port, First and Second Through Silicon Vias | TEXAS INSTRUMENTS INCORPORATED |
10928438 | Embedded photodetector as device health monitor for hot carrier injection (HCI) in power semiconductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928441 | Circuit device, tester, inspection device, and method of adjusting bending of circuit board | TOKYO ELECTRON LIMITED |
10928492 | Management of histogram memory for a single-photon avalanche diode detector | APPLE INC. |
10928493 | Method for driving solid-state imaging device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10928565 | Color film substrate, fabricating method therefor, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10928570 | Metal-dielectric optical filter, sensor device, and fabrication method | VIAVI SOLUTIONS INC. |
10928583 | Illuminated multilayer structure with embedded light sources | TACTOTEK OY |
10928605 | Adjustable optical lens and camera module and manufacturing method thereof | NINGBO SUNNY OPOTECH CO., LTD. |
10928661 | Semiconductor device having bent portion | JAPAN DISPLAY INC. |
10928672 | Display device | JAPAN DISPLAY INC. |
10928674 | Light source module of display device and manufacturing method thereof | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10928689 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10928692 | Thin film transistor substrate and liquid crystal display panel | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
10928693 | Array substrate, repair method of array substrate, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928851 | Display apparatus | LG DISPLAY CO., LTD. |
10928863 | Portable information handling system midframe to sliding frame assembly | DELL PRODUCTS L.P. |
10928866 | Universal low profile to full height form factor adapter | DELL PRODUCTS L.P. |
10928942 | Display substrate, manufacturing method thereof, display panel and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928963 | Conductive sheet and touch panel | FUJIFILM CORPORATION |
10928966 | Touch panel and touch-panel-integrated organic light-emitting display device having touch electrodes with floating units | LG DISPLAY CO., LTD. |
10929024 | Solid state drive devices and storage systems having the same | SAMSUNG ELECTRONICS CO., LTD. |
10929585 | Recording medium recording via lifetime calculation program, via lifetime calculation method, and information processing device | FUJITSU LIMITED |
10929588 | Integrated circuit layout, structure, system, and methods | -- |
10929635 | Display device including a fingerprint scanner | LG DISPLAY CO., LTD. |
10929638 | Display panel, method for driving the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930196 | Display device and manufacturing method of display substrate | -- |
10930209 | Stretchable display device, panel driving circuit and the method of driving the same | LG DISPLAY CO., LTD. |
10930212 | Pixel driving circuit and method, display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10930214 | Pixel circuit driving method, pixel circuit group and organic light emitting display device improving display aperture ratio and resolution | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
10930231 | Display module including electro-static discharge protection circuit | SAMSUNG ELECTRONICS CO., LTD. |
10930233 | Display panel, display device and compensation method for display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10930240 | Display panel driving method and driving device | HKC CORPORATION LIMITED |
10930333 | Embedded ferroelectric memory cell | -- |
10930334 | Feedback field-effect electronic device using feedback loop operation and array circuit using feedback field-effect electronic device | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10930342 | Method and apparatus for vitamin D enhancement in mushrooms | OAKSHIRE HOLDINGS, INC. |
10930343 | Symmetric bipolar switching in memristors for artificial intelligence hardware | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10930351 | Compact non-volatile memory device | STMICROELECTRONICS (ROUSSET) SAS |
10930353 | Non-volatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10930363 | TSV auto repair scheme on stacked die | MICRON TECHNOLOGY, INC. |
10930408 | Triboluminescence isotope battery | SOUTH UNIVERSITY OF SCIENCE AND TECHNOLOGY OF CHINA |
10930462 | Trip free relay | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10930490 | Arrays of high-aspect-ratio germanium nanostructures with nanoscale pitch and methods for the fabrication thereof | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10930500 | Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon CMOS-compatible semiconductor devices | INTEL CORPORATION |
10930507 | Reduce well dopant loss in FinFETs through co-implantation | -- |
10930509 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930517 | Method of forming fin-shaped structure | -- |
10930518 | OLED substrate and manufacturing method thereof, display device and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10930524 | Semiconductor component and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930529 | Formation of elastomeric layer on selective regions of light emitting device | FACEBOOK TECHNOLOGIES, LLC |
10930544 | Method of manufacturing semiconductor device having buried gate electrodes | SAMSUNG ELECTRONICS CO., LTD. |
10930546 | TFT substrate and method for manufacturing the same | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR |
10930547 | Semiconductor structure and manufacturing method thereof | -- |
10930562 | Internal via with improved contact for upper semi-conductor layer of a 3D circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930563 | Formation of stacked nanosheet semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930564 | Metal gate structure cutting process | -- |
10930565 | III-V CMOS co-integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930566 | Complementary metal oxide semiconductor replacement gate high-k metal gate devices with work function adjustments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930567 | Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930568 | Method and structure to improve overlay margin of non-self-aligned contact in metallization layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930569 | Dual crystal orientation for semiconductor devices | -- |
10930570 | Methods for manufacturing a display device | -- |
10930573 | Circuit module and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
10930576 | Gallium-nitride based devices implementing an engineered substrate structure | QROMIS, INC. |
10930578 | Circuit device | AUTONETWORKS TECHNOLOGIES, LTD. |
10930582 | Semiconductor device having terminals directly attachable to circuit board | TEXAS INSTRUMENTS INCORPORATED |
10930583 | Capacitor embedded with nanocrystals | -- |
10930584 | Electronic component and three-terminal capacitor | MURATA MANUFACTURING CO., LTD. |
10930585 | Memory devices, semiconductor devices and related methods | MICRON TECHNOLOGY, INC. |
10930586 | Integrated fan-out package and method of fabricating the same | -- |
10930587 | Semiconductor memory device | SK HYNIX INC. |
10930590 | Interconnect device and method | -- |
10930592 | Wafer level fan-out application specific integrated circuit bridge memory stack | GOOGLE LLC |
10930593 | Package on package and package connection system comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
10930594 | Multilayer wiring substrate, display unit, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930595 | Standard cells having via rail and deep via structures | -- |
10930596 | Embedded die on interposer packages | INTEL CORPORATION |
10930598 | Display device and flexible circuit board thereof | HKC CORPORATION LIMITED |
10930600 | Display substrate, method of manufacturing display substrate, and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930601 | Flexible fan-out wafer level process and structure | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10930603 | Coaxial through via with novel high isolation cross coupling method for 3D integrated circuits | -- |
10930604 | Ultra-thin multichip power devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930605 | Contact pad for semiconductor device | -- |
10930607 | Manufacturing process for separating logic and memory array | WESTERN DIGITAL TECHNOLOGIES, INC. |
10930608 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10930609 | Method of forming a solder bump structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930613 | Semiconductor package having recessed adhesive layer between stacked chips | SAMSUNG ELECTRONICS CO., LTD. |
10930617 | Packaging method and package structure of wafer-level system-in-package | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10930618 | Semiconductor package having chip stack | SAMSUNG ELECTRONICS CO., LTD. |
10930619 | Multi-wafer bonding structure and bonding method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
10930620 | Multi-chip detector appratus | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10930621 | Die stacking for multi-tier 3D integration | ADVANCED MICRO DEVICES, INC. |
10930622 | Prepackaged stair-stacked memory module in a chip scale system in package, and methods of making same | INTEL CORPORATION |
10930623 | Micro-transfer printable electronic component | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10930624 | Light-emitting module | NICHIA CORPORATION |
10930625 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930626 | Display apparatus including a micro light-emitting diode | SAMSUNG DISPLAY CO., LTD. |
10930627 | Semiconductor package device and method of manufacturing the same | -- |
10930628 | Photonic semiconductor device and method | -- |
10930629 | Pixel, display device including the same, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10930630 | Backlight unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10930631 | Display apparatus, pixel array and manufacturing method thereof | -- |
10930632 | Memory device with a multiplexed command/address bus | MICRON TECHNOLOGY, INC. |
10930633 | Buffer design for package integration | -- |
10930634 | Integrated circuit system and packaging method therefor | SHENZHEN XIUYUAN ELECTRONIC TECHNOLOGY CO., LTD |
10930635 | Semiconductor device | SEIKO EPSON CORPORATION |
10930636 | Transient voltage suppression device | -- |
10930637 | Transient voltage suppressor | -- |
10930638 | Semiconductor device having overlapping resistance element and capacitor | LAPIS SEMICONDUCTOR CO., LTD. |
10930639 | ESD protection circuit providing multiple detection signals | NXP USA, INC. |
10930640 | Intelligent diode structures | -- |
10930641 | Series connected ESD protection circuit | TEXAS INSTRUMENTS INCORPORATED |
10930642 | Offset gate metal oxide switching transistor device for use in medical device applications | DPIX, LLC |
10930643 | Filter circuit based on a MOS field effect transistor and chip including the same | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10930644 | Bi-directional snapback ESD protection circuit | MONOLITHIC POWER SYSTEMS, INC. |
10930645 | Semiconductor devices with package-level configurability | MICRON TECHNOLOGY, INC. |
10930646 | Circuit and method of forming the same | ZERO-ERROR SYSTEMS PTE LTD |
10930647 | Semiconductor device including trenches formed in transistor or diode portions | FUJI ELECTRIC CO., LTD. |
10930648 | Semiconductor devices having multi-level metallization structures | SAMSUNG ELECTRONICS CO., LTD. |
10930649 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
10930650 | Latch-up immunization techniques for integrated circuits | STMICROELECTRONICS INTERNATIONAL N.V. |
10930651 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930652 | Apparatuses including buried digit lines | MICRON TECHNOLOGY, INC. |
10930653 | Apparatuses comprising memory cells, and apparatuses comprising memory arrays | MICRON TECHNOLOGY, INC. |
10930654 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10930655 | Semiconductor device with air gap and method for fabricating the same | SK HYNIX INC. |
10930656 | Memory device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10930657 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10930658 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
10930659 | Methods of improving adhesion of photoresist in a staircase structure and methods of forming a staircase structure | MICRON TECHNOLOGY, INC. |
10930660 | Semiconductor memory device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
10930661 | Embedded pad structures of three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930662 | Method for forming staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930663 | Interconnect structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930664 | Semiconductor devices including channel structures | SAMSUNG ELECTRONICS CO., LTD. |
10930665 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10930666 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10930667 | Semiconductor device and manufacturing method of the same | SK HYNIX INC. |
10930668 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930669 | Three dimensional memory device and method for fabricating the same | -- |
10930670 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
10930671 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10930672 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10930673 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10930674 | Three-dimensional flat NAND memory device having curved memory elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
10930675 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930676 | Metal oxide thin film semiconductor device monolithically integrated with dissimilar device on the same wafer | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10930677 | Alternative designs for addressing contacts that enhance bend ability of TFT backplanes | PALO ALTO RESEARCH CENTER INCORPORATED |
10930678 | Curved array substrate and method of manufacturing thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930679 | Thin film transistors with a crystalline oxide semiconductor source/drain | INTEL CORPORATION |
10930680 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10930681 | Display panel with fingerprint recognition and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930682 | Array substrate, method for manufacturing the same and display apparatus | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930683 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930684 | Pixel sensing unit and image capturing device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10930685 | Image sensor including a shield structure | SAMSUNG ELECTRONICS CO., LTD. |
10930686 | Image sensing system and multi-function image sensor thereof | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10930687 | Image sensor having phase difference detection pixel | SK HYNIX INC. |
10930688 | Display substrate, display device, and display control method of display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10930689 | Photoelectric conversion apparatus and equipment | CANON KABUSHIKI KAISHA |
10930690 | Photoelectric conversion apparatus, photoelectric conversion system, and movable body | CANON KABUSHIKI KAISHA |
10930691 | Imaging element, method of manufacturing imaging element, imaging device, and method of manufacturing imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930692 | Increased optical path for long wavelength light by grating structure | -- |
10930693 | Semiconductor devices including support region and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10930694 | Semiconductor image sensor module, method for manufacturing the same as well as camera and method for manufacturing the same | SONY CORPORATION |
10930695 | Semiconductor device and method of manufacturing the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930696 | Image pickup unit, endoscope, and method for manufacturing image pickup unit | OLYMPUS CORPORATION |
10930697 | Semiconductor device, solid-state imaging device with tantalum oxide layer formed by diffusing a material of an electrode of necessity or a counter electrode | SONY CORPORATION |
10930698 | Pixel structure to improve BSI global shutter efficiency | -- |
10930699 | Method and apparatus for image sensor packaging | -- |
10930700 | Semiconductor light detection element | HAMAMATSU PHOTONICS K.K. |
10930701 | Light-emitting element having a plurality of light-emitting structures | -- |
10930702 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10930703 | High density MRAM integration | SPIN MEMORY, INC. |
10930704 | Magnetic memory cell | -- |
10930705 | Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930706 | Reducing RRAM relaxation in crossbar arrays for low current applications | -- |
10930707 | Memory device with a split pillar architecture | MICRON TECHNOLOGY, INC. |
10930708 | OLED display substrate and method for manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930709 | Stacked transparent pixel structures for image sensors | LOCKHEED MARTIN CORPORATION |
10930710 | Display with nanostructure angle-of-view adjustment structures | APPLE INC. |
10930711 | Array substrate and method for manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930712 | Irregularly-shaped flat-panel display having irregularly-shaped pixels | LG DISPLAY CO., LTD. |
10930713 | Display panel and display apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
10930714 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930715 | Flexible display device and method for manufacturing the device | LG DISPLAY CO., LTD. |
10930716 | Electroluminescent display device with flatness improvement of emission layer | LG DISPLAY CO., LTD. |
10930717 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930718 | Organic light emitting diode display having barrier layer on auxiliary electrode | LG DISPLAY CO., LTD. |
10930719 | Array substrate, method of making array substrate and display device having sub-pixels with transparent etching layer | BOE TECHNOLOGY GROUP CO., LTD. |
10930720 | Display device and manufacturing method thereof | JAPAN DISPLAY INC. |
10930721 | Organic light emitting display device and method of manufacturing organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10930722 | Display device | LG DISPLAY CO., LTD. |
10930723 | Display device | LG DISPLAY CO., LTD. |
10930724 | Pixel, stage circuit and organic light emitting display device having the pixel and the stage circuit | SAMSUNG DISPLAY CO., LTD. |
10930725 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10930726 | Display substrate and preparation method thereof, display panel, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930727 | Organic light-emitting diode display screen and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10930728 | Organic light-emitting diode display and method of manufacturing the same | LG DISPLAY CO., LTD. |
10930729 | Fin-based thin film resistor | INTEL CORPORATION |
10930730 | Enhanced active and passive devices for radio frequency (RF) process and design technology | QUALCOMM INCORPORATED |
10930731 | Integrated circuit device | MEDIATEK SINGAPORE PTE. LTD. |
10930735 | Gate all around device and method of formation using angled ions | APPLIED MATERIALS, INC. |
10930739 | Three-dimensional semiconductor memory devices and methods for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930740 | Multi-direction channel transistor and semiconductor device including the multi-direction channel transistor | SAMSUNG ELECTRONICS CO., LTD. |
10930743 | Layered structure, semiconductor device including layered structure, and semiconductor system including semiconductor device | FLOSFIA INC. |
10930746 | Differential type sensing circuit with differential input and output terminal pair | -- |
10930748 | Semiconductor device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10930749 | Semiconductor devices having a gate isolation layer and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930751 | Ferroelectric assemblies | MICRON TECHNOLOGY, INC. |
10930753 | Trench isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10930755 | Self-aligned inner spacer on gate-all-around structure and methods of forming the same | -- |
10930757 | Method of manufacturing MOS transistor spacers | STMICROELECTRONICS (ROUSSET) SAS |
10930759 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930760 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930761 | Pillar-shaped semiconductor device and method for producing the same | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10930762 | Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930763 | Method and device for forming metal gate electrodes for transistors | -- |
10930764 | Extension region for a semiconductor device | TOKYO ELECTRON LIMITED |
10930766 | Ge NANO wire transistor with GAAS as the sacrificial layer | INTEL CORPORATION |
10930767 | Fin-like field effect transistor patterning methods for achieving fin width uniformity | -- |
10930771 | Semiconductor device having an insulated gate bipolar transistor and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10930779 | Method of forming a vertical transistor pass gate device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930785 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10930786 | Thin film transistor, manufacturing method, array substrate, display panel, and device | BOE TECHNOLOGY GROUP CO., LTD. |
10930787 | Method for driving semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930788 | Display panel and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930789 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930790 | Thin film transistor, gate driver including the same, and display device including the gate driver | LG DISPLAY CO., LTD. |
10930792 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930795 | Nanowire stack GAA device with inner spacer and methods for producing the same | -- |
10930799 | Semiconductor die with buried capacitor, and method of manufacturing the semiconductor die | STMICROELECTRONICS S.R.L. |
10930802 | Semiconductor device package and method of manufacturing the same | -- |
10930810 | Solar cell and method of manufacturing solar cell | PANASONIC CORPORATION |
10930813 | Semiconductor light-emitting array and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930814 | Method of manufacturing multi-color light emitting pixel unit | JADE BIRD DISPLAY (SHANGHAI) LIMITED |
10930816 | Ultra-wideband light emitting diode and optical detector comprising aluminum indium gallium nitride and method of fabricating the same | LUMEOVA, INC. |
10930819 | Light emitting device and fluidic manufacture thereof | ELUX INC. |
10930823 | Light-emitting device, method for designing light-emitting device, method for driving light-emitting device, illumination method, and method for manufacturing light-emitting device | CITIZEN ELECTRONICS CO., LTD. |
10930824 | Light emitting device | SEOUL SEMICONDUCTOR CO., LTD. |
10930825 | Two step phosphor deposition to make a matrix array | LUMILEDS LLC |
10930839 | Magnetoresistive random access memory having a ring of magnetic tunneling junction region surrounding an array region | -- |
10930840 | Exchange-coupled composites | SEAGATE TECHNOLOGY LLC |
10930841 | Magnetic tunnel junction with perpendicular shape anisotropy and minimised variability, memory point and logic element including the magnetic tunnel junction, method for manufacturing the magnetic tunnel junction | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930842 | Multiferroic magnetic tunnel junction devices | MICRON TECHNOLOGY, INC. |
10930843 | Process for manufacturing scalable spin-orbit torque (SOT) magnetic memory | SPIN MEMORY, INC. |
10930845 | Memory device and method of manufacturing the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930846 | Methods of forming silicon-containing dielectric materials and methods of forming a semiconductor device comprising nitrogen radicals and oxygen-containing, silicon-containing, or carbon-containing precursors | MICRON TECHNOLOGY, INC. |
10930847 | Memory device | TOSHIBA MEMORY CORPORATION |
10930849 | Techniques for forming memory structures | MICRON TECHNOLOGY, INC. |
10930861 | Radiation detector and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10930867 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930870 | Separation method, display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930871 | Light emitting device and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930873 | Light-emitting element, display module, lighting module, light-emitting device, display device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930875 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930877 | Display apparatus including organic electroluminescence devices | JOLED, INC. |
10930880 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930881 | Foldable display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10930882 | Organic light emitting display device having a seal pattern corresponding to a concave part | LG DISPLAY CO., LTD. |
10930883 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
10930884 | Organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930885 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930886 | Method for manufacturing OLED display screen and OLED display screen | BOE TECHNOLOGY GROUP CO., LTD. |
10930887 | Flexible organic light emitting display device having a dam in a folding region | LG DISPLAY CO., LTD. |
10930891 | Organic device, display apparatus, image capturing apparatus, illumination apparatus, and moving body | CANON KABUSHIKI KAISHA |
10930892 | OLED display panel having phase-compensated liquid crystal layer and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930895 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930896 | Package method of OLED element and OLED package structure | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10931015 | Antenna unit and electronic device | MURATA MANUFACTURING CO., LTD. |
10931040 | Controlled-impedance circuit board connector assembly | ARDENT CONCEPTS, INC. |
10931062 | High-frequency electrical connector | AMPHENOL CORPORATION |
10931074 | Assembly of worldwide AC adapter supporting foldable prongs | DELL PRODUCTS L.P. |
10931103 | Single-gate-oxide power inverter and electrostatic discharge protection circuit | -- |
10931118 | Wireless connector transmitter module with an electrical connector | NUCURRENT, INC. |
10931130 | Power supply unit for aerosol inhaler | JAPAN TOBACCO INC. |
10931145 | Wireless power receiver | SAMSUNG ELECTRONICS CO., LTD. |
10931151 | Combination antenna module and portable electronic device including same | AMOTECH CO., LTD. |
10931192 | Discrete capacitor structure | TEXAS INSTRUMENTS INCORPORATED |
10931206 | Power supply for output of various specifications | FUJI ELECTRIC CO., LTD. |
10931244 | Common gate amplifier with high isolation from output to input | EFFICIENT POWER CONVERSION CORPORATION |
10931250 | Modular signal conversion apparatus and method | DREAMUS COMPANY |
10931276 | Combined IGBT and superjunction MOSFET device with tuned switching speed | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10931323 | Antenna and foldable electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10931496 | Uplink measurements for wireless systems | PARALLEL WIRELESS, INC. |
10931550 | Out-of-band management techniques for networking fabrics | INTEL CORPORATION |
10931884 | Pixel sensor having adaptive exposure time | FACEBOOK TECHNOLOGIES, LLC |
10931890 | Image sensor system | TELEDYNE DALSA B.V. |
10931900 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10931902 | Image sensors with non-rectilinear image pixel arrays | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10931906 | Sensor pixel operating in optical mode and capacitive mode and image sensor including the same | SILICON DISPLAY TECHNOLOGY |
10931907 | Image sensor and image capturing device | NIKON CORPORATION |
10931908 | Solid-state imaging device, and camera system using same | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10932331 | Methods and apparatus to provide asymmetrical magnetic fields, and induction heating using asymmetrical magnetic fields | ILLINOIS TOOL WORKS INC. |
10932332 | Methods and apparatus to provide asymmetrical magnetic fields, and induction heating using asymmetrical magnetic fields | ILLINOIS TOOL WORKS INC. |
10932356 | Electronic equipment | SONY INTERACTIVE ENTERTAINMENT INC. |
10932357 | Electronic apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
10932358 | Semiconductor devices and methods for enhancing signal integrity of an interface provided by a semiconductor device | -- |
10932359 | Circuit board and electrical connector with the same | OUPIIN ELECTRONIC (KUNSHAN) CO., LTD. |
10932360 | Flexible sensor technology | UT-BATTELLE, LLC |
10932361 | Circuit board and electronic device | -- |
10932362 | Circuit board | LG INNOTEK CO., LTD. |
10932363 | Glass fiber coatings for improved resistance to conductive anodic filament formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10932364 | Transparent conductive film | CANATU OY |
10932365 | Flexible wiring board and display device | JOLED INC. |
10932366 | Low profile packaging and assembly of a power conversion system in modular form | APPLE INC. |
10932367 | Chip resistor, method of producing chip resistor and chip resistor packaging structure | ROHM CO., LTD. |
10932368 | Substrate-embedded electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10932369 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932370 | Metal sublayer sensing in multi-layer workpiece hole drilling | DRILLIANT LTD |
10932371 | Bottom-up electrolytic via plating method | CORNING INCORPORATED |
10932372 | Fluid discharge device | SENJU METAL INDUSTRY CO., LTD. |
10932373 | Circuit board, electronic circuit device, and production method of circuit board | STANLEY ELECTRIC CO., LTD. |
10932374 | 3-D flex circuit forming | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10932376 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932384 | Cover window and display apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10932385 | Media wall | LG ELECTRONICS INC. |
10932386 | Electronic module and method for producing same | DYCONEX AG |
10932392 | Vehicle thermal management system | AAVID THERMAL CORP. |
10932582 | Multi-stage memory sensing | MICRON TECHNOLOGY, INC. |
10932722 | Flexible and stretchable electronic device based on biocompatible film and preparation method | TSINGHUA UNIVERSITY |
10932733 | Multiple-dimension imaging sensor with operation based on movement detection | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10933436 | Method and apparatus for controlling jet dispensing by displacement measurement | MYCRONIC AB |
10933490 | Metal sublayer sensing in multi-layer workpiece hole drilling | DRILLIANT LTD. |
10934163 | Classic-quantum injection interface device | EQUAL1.LABS INC. |
10934446 | Ink reinforcement for printed electronics | INDIANA UNIVERSITY RESEARCH AND TECHNOLOGY CORPORATION |
10934455 | Polysilsesquioxane resin composition for flexible substrate | LTC CO., LTD. |
10934507 | Compositions comprising trans-1,2-dichloroethylene and an organic compound, and methods of using the same | SHELLEF HOLDINGS INC. |
10934617 | Metal coating of objects using plasma polymerisation pretreatment | CUPTRONIC TECHNOLOGY LTD. |
10934640 | Glass cloth, prepreg, and glass fiber reinforced resin molded product | NITTO BOSEKI CO., LTD. |
10935057 | Adapter plate for HF structures | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10935195 | COB light has even brightness illumination | -- |
10935197 | Light module and method of manufacturing the same | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10935202 | LED lighting unit | LUMILEDS LLC |
10935214 | Light-emitting device and electronic apparatus | JOLED INC. |
10935221 | Flexible strip light with spring-loaded base | ORILI VENTURES LTD |
10935227 | Systems and methods for coupling a metal core PCB to a heat sink | FLURENCE BIOENGINEERING, INC. |
10935228 | Wireless controllable lighting device | LUTRON TECHNOLOGY COMPANY LLC |
10935658 | Lidar sensor for vehicles and method of operating the same | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
10935676 | Physical structure for a tunable sensor system for particle detection | RHOMBUS HOLDINGS LLC |
10935698 | High aspect ratio glass wafer | CORNING INCORPORATED |
10935708 | Retardation film and a display apparatus including the same | SAMSING DISPLAY CO., LTD |
10935722 | CMOS compatible material platform for photonic integrated circuits | -- |
10935815 | Eyewear having custom lighting | SNAP INC. |
10935828 | Display device, multi-screen display device using the same and method for manufacturing the same | LG DISPLAY CO., LTD. |
10935829 | Backplane substrate including in-cell type touch panel, liquid crystal display device using the same, and method of manufacturing the same | LG DISPLAY CO., LTD. |
10935834 | Color display device | JAPAN DISPLAY INC. |
10935836 | Organic electroluminescent display device, phase difference film, and circularly polarizing plate | FUJIFILM CORPORATION |
10935842 | Nanostructure based display devices | NANOSYS, INC. |
10935847 | Display panel and fabrication method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10935857 | Array substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10935876 | Light source device, projection display device, and method of cooling semiconductor light-emitting element | NEC DISPLAY SOLUTIONS, LTD. |
10935930 | Method, apparatus and system providing holographic layer as micro-lens and color filter array in an imager | MICRON TECHNOLOGY, INC. |
10936083 | Self-powered gesture recognition with ambient light | TRUSTEES OF DARTMOUTH COLLEGE |
10936111 | Display device | SAMSUNG DISPLAY CO., LTD. |
10936120 | Panel bootstraping architectures for in-cell self-capacitance | APPLE INC. |
10936127 | Touch sensor and display device with touch sensor | JAPAN DISPLAY INC. |
10936132 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10936221 | Reconfigurable memory architectures | MICRON TECHNOLOGY, INC. |
10936410 | Memory system and information processing system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10936416 | Redundant array of independent NAND for a three-dimensional memory array | MICRON TECHNOLOGY, INC. |
10936707 | Fingerprint circuit, force touch control method and device and computer-readable storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10936756 | Methodology for forming a resistive element in a superconducting structure | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10936785 | Inter-cell leakage-reducing method of generating layout diagram and system for same | -- |
10936840 | Optical sensor with angled reflectors | FINGERPRINT CARDS AB |
10936845 | OLED display panel and under-screen optical fingerprint recognition method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937355 | Display substrate with photoelectric sensor having regions connected with each other, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937361 | Sub-pixel for a display with controllable viewing angle | FACEBOOK TECHNOLOGIES, LLC |
10937363 | Pixel of an organic light emitting diode display device, and organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10937387 | Information terminal | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937466 | Semiconductor package with clock sharing and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10937478 | Systems and methods utilizing serial and parallel configurations of magnetic memory devices | SPIN MEMORY, INC. |
10937482 | Memory cells and arrays of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
10937494 | Increasing current to memory devices while controlling leakage current | ARM LIMITED |
10937500 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10937502 | Semiconductor memory device in which a conductive line connected to a word line selected for programming is charged to a voltage larger than the program voltage | TOSHIBA MEMORY CORPORATION |
10937507 | Bit line driver device including active region | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937513 | Semiconductor memory device and operating method thereof | SK HYNIX INC. |
10937574 | Vertically-constructed, temperature-sensing resistors and methods of making the same | TEXAS INSTRUMENTS INCORPORATED |
10937575 | Cascade varistor having improved energy handling capabilities | AVX CORPORATION |
10937583 | Laminated electronic component | MURATA MANUFACTURING CO., LTD. |
10937594 | Microelectronic devices designed with ultra-high-k dielectric capacitors integrated with package substrates | INTEL CORPORATION |
10937598 | Flexible electrode and sensor element | NEC CORPORATION |
10937647 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
10937648 | Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937651 | Laser annealing method | SAKAI DISPLAY PRODUCTS CORPORATION |
10937656 | Self-protective layer formed on high-k dielectric layer | -- |
10937667 | Semiconductor device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937668 | Semiconductor package manufacturing method | DISCO CORPORATION |
10937671 | Conductive heat spreader and heat sink assembly for optical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937674 | Method for transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10937682 | TCB bond tip design to mitigate top die warpage and solder stretching issue | MICRON TECHNOLOGY, INC. |
10937685 | Diffusion break structures in semiconductor devices | GLOBALFOUNDRIES INC. |
10937696 | Capacitor and method for producing the same | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10937699 | Method of forming a fin under a gate structure | -- |
10937700 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10937701 | Semiconductor device | -- |
10937703 | Field-effect transistor having dual channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937704 | Mixed workfunction metal for nanosheet device | -- |
10937707 | Wiring substrate, electronic device, and electronic module | KYOCERA CORPORATION |
10937708 | Power module and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10937719 | Package structure and method of fabricating the same | -- |
10937721 | Semiconductor structure | -- |
10937723 | Package carrier structure having integrated circuit design and manufacturing method thereof | -- |
10937724 | Package structure applied to driving apparatus of display | -- |
10937731 | Semiconductor module and method for manufacturing semiconductor module | HITACHI, LTD. |
10937736 | Hybrid integrated circuit package and method | -- |
10937737 | Wiring member and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10937738 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937739 | Electronic device module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937742 | Package and manufacturing method thereof | -- |
10937743 | Mixing organic materials into hybrid packages | -- |
10937746 | Integrated ultralong time constant time measurement device and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
10937747 | Power inverter module with reduced inductance | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10937748 | Fan-out transition structure for transmission of mm-Wave signals from IC to PCB via chip-scale packaging | HUAWEI TECHNOLOGIES CO., LTD. |
10937749 | Methods of forming microelectronic devices including dummy dice | MICRON TECHNOLOGY, INC. |
10937759 | Radio frequency transmission line | SKYWORKS SOLUTIONS, INC. |
10937761 | Semiconductor device package and method for manufacturing the same | -- |
10937762 | Logic drive based on multichip package using interconnection bridge | -- |
10937763 | Semiconductor leadframes and packages with solder dams and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10937764 | Three-dimensional microelectronic package with embedded cooling channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937765 | Semiconductor device with laminated semiconductor chips | ULTRAMEMORY INC. |
10937766 | Three-dimensional memory device with three-dimensional phase-change memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937767 | Chip packaging method and device with packaged chips | INNO-PACH TECHNOLOGY PTE LTD |
10937768 | Method of manufacturing display device | SEOUL SEMICONDUCTOR CO., LTD. |
10937769 | In-vehicle display device using semiconductor light-emitting device | ZKW GROUP GMBH |
10937770 | Light-emitting device | STANLEY ELECTRIC CO., LTD. |
10937771 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
10937772 | Semiconductor package and method for manufacturing the same | -- |
10937773 | Image module package having flat glass filter flush with transparent layer | -- |
10937774 | Micro LED display panel, method for fabricating the same and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937775 | Display device | -- |
10937776 | Solid state transducers with state detection, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10937777 | Opto-electronic device with light-emitting diodes | ALEDIA |
10937778 | Integrated circuit comprising macros and method of fabricating the same | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10937779 | Semiconductor device, display device, and electronic apparatus | 138 EAST LCD ADVANCEMENT LIMITED |
10937780 | Low capacitance bidirectional transient voltage suppressor | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10937781 | Electronic device including a protection circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10937782 | Electrostatic discharge protection structure | NXP B.V. |
10937783 | Semiconductor device and manufacturing method thereof | -- |
10937784 | Method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
10937785 | Semiconductor device | -- |
10937786 | Gate cut structures | GLOBALFOUNDRIES U.S. INC. |
10937787 | Semiconductor devices having different numbers of stacked channels in different regions and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937788 | Memory device having vertical structure | SAMSUNG ELECTRONICS CO., LTD. |
10937789 | Nanosheet eDRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937790 | Semiconductor device with air gap structure and method for preparing the same | -- |
10937791 | Method for fabricating and semiconductor device having the second bit line contact higher than the top surface of the first bit line | -- |
10937792 | Dense vertical field effect transistor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937793 | Vertical transistor contact for a memory cell with increased density | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937794 | Split gate non-volatile memory cells with FinFET structure and HKMG memory and logic gates, and method of making same | SILICON STORAGE TECHNOLOGY, INC. |
10937795 | Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology | -- |
10937796 | Methods for forming multi-division staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937797 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10937798 | Memory array and a method used in forming a memory array | MICRON TECHNOLOGY, INC. |
10937799 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10937800 | Three-dimensional memory device with on-axis self-aligned drain-select-level isolation structure and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
10937801 | Three-dimensional memory device containing a polygonal lattice of support pillar structures and contact via structures and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
10937802 | Void formation for charge trap structures | MICRON TECHNOLOGY, INC. |
10937803 | Semiconductor storage device and method of manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10937804 | Semiconductor memory device | SK HYNIX INC. |
10937805 | Semiconductor memory device | SK HYNIX INC. |
10937806 | Through array contact (TAC) for three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937807 | Ferroelectric field-effect transistor devices having a top gate and a bottom gate | INTEL CORPORATION |
10937808 | Vertical memory device and method of fabricating the same | SK HYNIX INC. |
10937809 | Three-dimensional memory device containing ferroelectric memory elements encapsulated by transition metal nitride materials and method of making thereof | SANDISK TECHNOLOGIES LLC |
10937810 | Sub-fin removal for SOI like isolation with uniform active fin height | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937811 | Integrated circuit devices and fabrication techniques | STMICROELECTRONICS, INC. |
10937812 | TFT substrate, scanning antenna provided with TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
10937813 | Active matrix substrate, liquid crystal display device, organic electroluminescent display device and method for producing active matrix substrate | SHARP KABUSHIKI KAISHA |
10937814 | Wiring board and display device including metal line with redundant structure and reduced wiring resistance | SHARP KABUSHIKI KAISHA |
10937815 | Light emitting diode display device | LG DISPLAY CO., LTD. |
10937816 | Switching element, manufacturing method thereof, array substrate and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937817 | Array substrate comprising curved capacitors | BOE TECHNOLOGY GROUP CO., LTD. |
10937818 | Solid state imaging device | OLYMPUS CORPORATION |
10937819 | Image sensor and manufacturing method therefore | -- |
10937820 | Solid-state imaging element, sensor apparatus, and electronic device | SONY CORPORATION |
10937821 | Image sensor having grid patterns embedded in anti-reflective layer | SK HYNIX INC. |
10937822 | Photoelectric conversion device and manufacturing method of the photoelectric conversion device | CANON KABUSHIKI KAISHA |
10937823 | Image-capturing element manufacturing method, image-capturing element and image-capturing device | NIKON CORPORATION |
10937824 | Method for manufacturing a thermoelectric-based infrared detector having a MEMS structure above a hybrid component | MERIDIAN INNOVATION PTE LTD |
10937825 | Method of producing an optoelectronic device with a frame projecting with circular receptacle section beyond a first side of a lens | OSRAM OLED GMBH |
10937826 | Micro semiconductor structure | -- |
10937827 | Pseudo-substrate for optoelectronic device and its manufacturing method | ALEDIA |
10937828 | Fabricating embedded magnetoresistive random access memory device with v-shaped magnetic tunnel junction profile | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937829 | Three dimensional memory arrays | MICRON TECHNOLOGY, INC. |
10937830 | Method of fabricating integrated circuit | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
10937831 | Correlated electron switch structures and applications | CERFE LABS, INC. |
10937832 | 3D memory with confined cell | -- |
10937833 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
10937834 | Shared three-dimensional vertical memory | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
10937835 | Low-noise integrated post-processed photodiode | BAE SYSTEMS IMAGING SOLUTIONS INC. |
10937836 | Pixel arrangement structure and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937837 | Display control method and apparatus, and display apparatus for determining target luminance data | BOE TECHNOLOGY GROUP CO., LTD. |
10937838 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10937839 | Display apparatus having level compensation layers and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10937840 | Display device | SAMSUNG DISPLAY CO., LTD. |
10937841 | Flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10937842 | Flexible organic light emitting display device including a connection structure disposed in a pad region | SAMSUNG DISPLAY CO., LTD. |
10937843 | Display panel and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937844 | Electroluminescent display device | LG DISPLAY CO., LTD. |
10937845 | Display substrate and method for manufacturing the same, display panel and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937847 | Display device, electronic apparatus, and method of fabricating the display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937848 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10937849 | Array substrate and method of manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937850 | OLED display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937852 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
10937853 | Display device | SAMSUNG DISPLAY CO., LTD. |
10937854 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10937855 | Display apparatus and fan-out portion | SAMSUNG DISPLAY CO., LTD. |
10937856 | Method of manufacturing a semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10937858 | Method for manufacturing semiconductor and structure thereof | -- |
10937861 | Semiconductor structures including middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack | TESSERA, INC. |
10937864 | Leakage-free implantation-free ETSOI transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937874 | Semiconductor device | NISSAN MOTOR CO., LTD. |
10937876 | Source/drain feature to contact interfaces | -- |
10937879 | Semiconductor device and manufacturing method thereof | -- |
10937882 | Semiconductor device including a field effect transistor | SAMSUNG ELECTRONICS CO., LTD. |
10937883 | Vertical transport FETs having a gradient threshold voltage | ELPIS TECHNOLOGIES INC. |
10937887 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10937890 | Vertical field-effect transistor late gate recess process with improved inter-layer dielectric protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937894 | Structure of a fin field effect transistor (FinFET) | -- |
10937896 | Device for compound semiconductor Fin structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10937897 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937904 | Programmable charge-storage transistor, an array of elevationally-extending strings of memory cells, and a method of forming an array of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
10937905 | Transistor having double isolation with one floating isolation | TEXAS INSTRUMENTS INCORPORATED |
10937908 | Thin-sheet FinFET device | -- |
10937910 | Semiconductor structure with source/drain multi-layer structure and method for forming the same | -- |
10937912 | Capacitor structure having vertical diffusion plates | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937913 | Imaging element, solid state imaging device, and electronic device | SONY CORPORATION |
10937918 | Flexible printed circuit, and concentrator photovoltaic module and concentrator photovoltaic panel using same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10937920 | Optical sensor | HAMAMATSU PHOTONICS K.K. |
10937923 | Semiconductor device and semiconductor device package including the same | LG INNOTEK CO., LTD. |
10937924 | Display device and electronics apparatus | GOERTEK. INC |
10937929 | Semiconductor unit, semiconductor device, light-emitting apparatus, display apparatus, and method of manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10937936 | LED display unit group and display panel | FOSHAN NATIONSTAR OPTOELECTRONICS CO., LTD. |
10937938 | Light emitting device | SEOUL VIOSYS CO., LTD. |
10937946 | Semiconductor structure and method for forming the same | -- |
10937947 | Magnetic memory device with a nonmagnet between two ferromagnets of a magnetoresistive effect element | TOSHIBA MEMORY CORPORATION |
10937948 | Magnetic memory using spin-orbit torque | EVERSPIN TECHNOLOGIES, INC. |
10937950 | Semiconductor structure | -- |
10937952 | Semiconductor devices including stress-inducing layers and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10937953 | Tunable tetragonal ferrimagnetic heusler compound with PMA and high TMR | SAMSUNG ELECTRONICS CO., LTD. |
10937954 | Magnetoresistive effect element | TDK CORPORATION |
10937956 | Magnetoresistive random access memory structure and method of forming the same | -- |
10937957 | Manufacturing techniques and corresponding devices for magnetic tunnel junction devices | -- |
10937958 | Magnetoresistive element having a novel cap multilayer | -- |
10937959 | Multiple silicon atom quantum dot and devices inclusive thereof | QUANTUM SILICON INC. |
10937961 | Structure and method to form bi-layer composite phase-change-memory cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937965 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937970 | Compound and photoelectric device, image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10937979 | Organic el element, and display device, imaging apparatus, lighting device, and movable body including the same | CANON KABUSHIKI KAISHA |
10937981 | Light-emitting element, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937983 | Organic electroluminescent device and display panel | -- |
10937984 | Organic compound and light emitting diode and organic light emitting diode display device using the same | LG DISPLAY CO., LTD. |
10937985 | Organic EL light-emitting element and manufacturing method thereof | SAKAI DISPLAY PRODUCTS CORPORATION |
10937986 | Display device | JAPAN DISPLAY INC. |
10937987 | Electronic devices having displays with tilted anodes | APPLE INC. |
10937988 | Organic EL display panel and method of manufacturing organic EL display panel | JOLED INC. |
10937989 | Display panel and preparation method thereof, method for determining failure of encapsulation part, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937991 | Display panel and method of packaging the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937992 | Light emitting device, manufacturing method thereof and display device using the same | -- |
10937993 | Organic light-emitting diode display panel having under-the-screen structure and display device thereof | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY CO., LTD. |
10937994 | Organic electroluminescence display device having a circular polarization plate and a high refractive index layer | FUJIFILM CORPORATION |
10937995 | OLED display and OLED display device with plurality of light-absorbing bodies in planarization layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937996 | Display apparatus and method of manufacturing the same | CANON KABUSHIKI KAISHA |
10937997 | Display device including electroluminescence element | MIKUNI ELECTRON CORPORATION |
10937998 | Display panel and method for preparing the same, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10938080 | Combiner and antenna apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
10938081 | Plug connection arrangement and system having such plug connection arrangement | TE CONNECTIVITY GERMANY GMBH |
10938092 | Antenna assembly | APPLE INC. |
10938135 | Electrical connector for printed circuit boards | 3M INNOVATIVE PROPERTIES COMPANY |
10938151 | Circuits and methods for wearable device charging and wired control | SNAP INC. |
10938157 | High speed electrical connector for compact electronic systems | AMPHENOL ASSEMBLETECH (XIAMEN) CO., LTD |
10938161 | Snap-on electromagnetic interference (EMI)-shielding without motherboard ground requirement | INTEL CORPORATION |
10938220 | Wireless connector system | NUCURRENT, INC. |
10938311 | Method and apparatus for delivering power to semiconductors | VICOR CORPORATION |
10938382 | Electronic circuit and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10938436 | Front-end module and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10939054 | Eliminating digital image artifacts caused by backlighting | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10939056 | Imaging apparatus, imaging method, imaging program | FUJIFILM CORPORATION |
10939527 | Light engine configured to be between a power source and another light engine | ARKALUMEN INC. |
10939536 | Secondary side heatsink techniques for optical and electrical modules | CIENA CORPORATION |
10939537 | Printed circuit board assembly embedded thermal management system using thin-film thermoelectric coolers | HONEYWELL INTERNATIONAL INC. |
10939538 | Circuit board structure | -- |
10939539 | Electronic device including antenna and heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
10939540 | Shielded folded circuit board | INTEL CORPORATION |
10939541 | Shield structure for a low crosstalk single ended clock distribution circuit | HUAWEI TECHNOLOGIES CO., LTD. |
10939542 | Partially molded substrate and partial molding device and method | LG CHEM, LTD. |
10939543 | Unified conductor to lower the resistance between a planar transformer and one or more inductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10939544 | Multiple resin over-mold for printed circuit board electronics and light guide | -- |
10939545 | Methods and apparatus for flex circuit and cable attachment system | HIGH SPEED INTERCONNECTS, LLC |
10939546 | Interconnect device | EAGLE TECHNOLOGY, LLC |
10939547 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10939548 | Component carrier with improved toughness factor | AT&S (CHINA) CO. LTD. |
10939549 | Self-transforming flexible film and method for preparing same | GWANGJU INSTITUTE OF SCIENCE AND TECHNOLOGY |
10939550 | System and method of forming electrical interconnects | THE BOEING COMPANY |
10939551 | Opening in the pad for bonding integrated passive device in InFO package | -- |
10939552 | Electronic device including interposer | SAMSUNG ELECTRONICS CO., LTD. |
10939553 | Vertical-side solder method and package for power GaN devices | -- |
10939554 | Circuit board assembly and electronic device | FU TAI HUA INDUSTRY (SHENZHEN) CO., LTD. |
10939555 | Grid array connector system | MOLEX, LLC |
10939556 | Electronic component embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10939557 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
10939558 | Rigid raft | ROLLS-ROYCE PIC |
10939559 | Removing unwanted flux from an integrated circuit package | SCHLAGE LOCK COMPANY LLC |
10939560 | Adjustable height data transmission contact | TYCO ELECTRONICS JAPAN G.K. |
10939561 | Wiring structure and method of manufacturing the same | -- |
10939562 | Multilayer board and manufacturing method of the same | TAIYO YUDEN CO., LTD. |
10939563 | Flame retardant structure for component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10939564 | Circuit board, display device and method for manufacturing the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10939565 | Transmission control device, in particular for a motor vehicle, and method for producing an electrical-connector housing | ROBERT BOSCH GMBH |
10939572 | Circuit board assembly | EMC IP HOLDING COMPANY LLC |
10939582 | Methodology for blindmating and cooling electronic modules | RAYTHEON COMPANY |
10939584 | Heat dissipation module and assembly method thereof | -- |
10939599 | Component-mounting device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10939600 | Flux residue detection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10940533 | System and method for controlling powder bed density for 3D printing | DESKTOP METAL, INC. |
10940534 | Metal paste having excellent low-temperature sinterability and method for producing the metal paste | TANAKA KIKINZOKU KOGYO K.K. |
10940627 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10940671 | Substrate for electrical circuits and method for producing a substrate of this type | ROGERS GERMANY GMBH |
10940674 | Resin varnish, prepreg, laminate, and printed wiring board | SHOWA DENKO MATERIALS CO., LTD. |
10940676 | Anisotropic conductive film, display device and reworking method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10941035 | Method for producing structured surfaces | LEIBNIZ-INSTITUT FüR NEUE MATERIALIEN GEMEINNüTZIGE GMBH |
10941301 | Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate | TOKYO OHKA KOGYO CO., LTD. |
10941324 | Adhesive composition, and coverlay film, flexible copper clad laminate, and adhesive sheet using adhesive composition | TOAGOSEI CO., LTD. |
10942070 | Sensor unit, temperature sensor including the same, method of manufacturing the sensor unit, and method of manufacturing the temperature sensor | HAESUNG DS CO., LTD. |
10942217 | Calibration of digital isolators | SILICON LABORATORIES INC. |
10942226 | Cable with resin compact | HITACHI METALS, LTD. |
10942228 | Temperature compensation circuit, corresponding device and method | STMICROELECTRONICS S.R.L. |
10942255 | Apparatus and method for integrating self-test oscillator with injection locked buffer | GLOBALFOUNDRIES U.S. INC. |
10942275 | System and method for improving signal-to-noise ratio in a laser imaging system | HAAS LASER TECHNOLOGIES, INC. |
10942284 | Radiation detector panel assembly structure | DETECTION TECHNOLOGY OY |
10942304 | Solid-state imaging element, manufacturing method of the same, and electronic device | SONY CORPORATION |
10942384 | Display device | JAPAN DISPLAY INC. |
10942385 | Display device | SAMSUNG DISPLAY CO., LTD. |
10942389 | Color conversion element and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10942392 | Display device, input/output device, and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10942404 | Display device including a color filter having an opening aligned with a virtual center line of a pixel | SAMSUNG DISPLAY CO., LTD. |
10942405 | Display device | LG DISPLAY CO., LTD. |
10942406 | Thin film transistor substrate and display panel | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10942410 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10942450 | Inorganic nanoparticle structure, film, optical member, light-emitting device, and liquid crystal display apparatus having the same | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10942451 | Coloring photosensitive composition, cured film, pattern forming method, infrared cut filter with light-shielding film, solid-state imaging device, image display device, and infrared sensor | FUJIFILM CORPORATION |
10942594 | Integrated electroactive and capacitive touch panel and display device including the same | LG DISPLAY CO., LTD. |
10942599 | Electrode connection and electric device comprising the same | DONGWOO FINE-CHEM CO., LTD. |
10942600 | Sensor pixel, ultrasonic sensor, OLED display panel, and OLED display device | BOE TECHNOLOGY GROUP CO., LTD. |
10943046 | Semiconductor apparatus including uncrowned and crowned cells and method of making | -- |
10943077 | Tag board, RFID tag, and RFID system | KYOCERA CORPORATION |
10943080 | Fingerprint sensing device with ESD protection | NXP B.V. |
10943082 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943215 | Equipment element repair management system and equipment element repair management method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10943326 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
10943515 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943529 | Electronic devices with flexible displays | APPLE INC. |
10943534 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10943538 | Driving circuit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10943540 | Display system with controllable connection | IGNIS INNOVATION INC. |
10943544 | Organic light emitting display device and driving method thereof | LG DISPLAY CO., LTD. |
10943545 | Pixel circuit and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10943546 | Thin-film transistor array substrate and electronic device including the same | LG DISPLAY CO., LTD. |
10943564 | Stretchable display with fixed pixel density | INTEL CORPORATION |
10943617 | Shared disk drive component system | SPECTRA LOGIC CORPORATION |
10943622 | High bandwidth memory having plural channels | MICRON TECHNOLOGY, INC. |
10943632 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10943642 | Integrated memory assemblies comprising multiple memory array decks | MICRON TECHNOLOGY, INC. |
10943645 | Memory device with a booster word line | -- |
10943646 | Memory device, driving method thereof, semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10943661 | Current compensation block and method for programming analog neural memory in deep learning artificial neural network | SILICON STORAGE TECHNOLOGY, INC. |
10943662 | Different word line programming orders in non-volatile memory for error recovery | WESTERN DIGITAL TECHNOLOGIES, INC. |
10943666 | Power switch circuit and method providing power supply to memory device | MAGNACHIP SEMICONDUCTOR, LTD. |
10943668 | Storage device for storing data using a resistive random access storage element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10943729 | Entangled inductor structures | -- |
10943740 | Electrical connection contact for a ceramic component, a ceramic component, and a component arrangement | EPCOS AG |
10943748 | Electronic devices with component mounting structures | APPLE INC. |
10943781 | Manufacturing method for light emitting device, light emitting device, and hybrid light emitting device | NAJING TECHNOLOGY CORPORATION LIMITED |
10943782 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10943784 | Method for optimizing a critical dimension for double patterning for NAND flash | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
10943785 | Laser irradiation apparatus and method for manufacturing semiconductor device | THE JAPAN STEEL WORKS, LTD. |
10943792 | 3D stacked-in-recess system in package | INTEL CORPORATION |
10943794 | Semiconductor device assembly with pillar array and test ability | MICRON TECHNOLOGY, INC. |
10943798 | Fan-out structure and method of fabricating the same | -- |
10943812 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10943813 | Radio frequency silicon on insulator wafer platform with superior performance, stability, and manufacturability | -- |
10943815 | Structure for radiofrequency applications | SOITEC |
10943817 | Etch-stop layer topography for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10943818 | Semiconductor device and method | -- |
10943820 | Gap-fill method having improved gap-fill capability | -- |
10943827 | Semiconductor device with fin structures | -- |
10943828 | Residue-free metal gate cutting for fin-like field effect transistor | -- |
10943829 | Slot contacts and method forming same | -- |
10943830 | Self-aligned structure for semiconductor devices | -- |
10943831 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943832 | Semiconductor device and manufacturing method thereof | -- |
10943833 | Silicon and silicon germanium nanowire formation | -- |
10943834 | Replacement contact process | APPLIED MATERIALS, INC. |
10943835 | Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943836 | Gallium nitride NMOS on Si (111) co-integrated with a silicon PMOS | INTEL CORPORATION |
10943837 | Device having overlapping semiconductor fins oriented in different directions | STMICROELECTRONICS, INC. |
10943839 | Imager system comprising lens composed of a plurality of material layers | JABIL INC. |
10943840 | Display device including an adhesive layer | SAMSUNG DISPLAY CO., LTD. |
10943842 | Semiconductor device with a protection mechanism and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
10943843 | Semiconductor package structure | -- |
10943844 | Semiconductor device including multiple chips | TOSHIBA MEMORY CORPORATION |
10943845 | Three-dimensional packaging structure and packaging method of power devices | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10943847 | Semiconductor chip and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10943851 | Reconstituted wafer assembly | INTEL CORPORATION |
10943858 | Semiconductor package and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943862 | Integrated filler capacitor cell device and corresponding manufacturing method | STMICROELECTRONICS (ROUSSET) SAS |
10943864 | Programmable redistribution die | INTEL CORPORATION |
10943865 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10943869 | High density interconnection using fanout interposer chiplet | APPLE INC. |
10943872 | Fabrication method of semiconductor package including shielding wall and cover | SAMSUNG ELECTRONICS CO., LTD. |
10943873 | Semiconductor device structure comprising a plurality of metal oxide fibers and method for forming the same | -- |
10943875 | Fragmenting computer chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943876 | Method for detecting an attack by means of a beam of electrically charged particles on an integrated circuit, and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10943877 | Semiconductor device | DENSO CORPORATION |
10943883 | Planar wafer level fan-out of multi-chip modules having different size chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943887 | Staggered die stacking across heterogeneous modules | INTEL CORPORATION |
10943888 | Interconnect structure with redundant electrical connectors and associated systems and methods | MICRON TECHNOLOGY, INC. |
10943889 | Semiconductor device and method of manufacture | -- |
10943890 | Display module including cabinet and display panel detachably coupled to cabinet by locking mechanism using magnetic force | SAMSUNG ELECTRONICS CO., LTD. |
10943891 | Semiconductor module and power conversion apparatus | FUJI ELECTRIC CO., LTD. |
10943892 | Light-emitting semiconductor chip, light-emitting component and method for producing a light-emitting component | OSRAM OLED GMBH |
10943893 | Stretchable display device | LG DISPLAY CO., LTD. |
10943894 | Optical device having lens block having recessed portion covering photoelectric conversion block | ASAHI KASEI MICRODEVICES CORPORATION |
10943895 | Method of fabricating a plurality of linear arrays with submicron y-axis alignment | XEROX CORPORATION |
10943896 | Power MOS device having an integrated current sensor and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
10943897 | Method of forming three-dimensional integrated circuit having ESD protection circuit | -- |
10943898 | High switching frequency, low loss and small form factor fully integrated power stage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943899 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10943900 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10943901 | Semiconductor device and method | -- |
10943902 | Forming strained channels for CMOS device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943903 | Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943904 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
10943906 | Fingerprint sensing chip and terminal device | FOCALTECH ELECTRONICS, LTD. |
10943907 | Integrated circuitry comprising an array, method of forming an array, method of forming DRAM circuitry, and method used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
10943908 | Method of forming semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10943909 | Method of forming semiconductor memory device | -- |
10943910 | Method for forming semiconductor integrated circuit structure | -- |
10943911 | Vertical transport devices with greater density through modified well shapes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943912 | Method for fabricating semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10943913 | Strap-cell architecture for embedded memory | -- |
10943914 | Semiconductor memory device including a substrate, various interconnections, semiconductor member, charge storage member and a conductive member | TOSHIBA MEMORY CORPORATION |
10943915 | Integrated memory having the body region comprising a different semiconductor composition than the source/drain region | MICRON TECHNOLOGY, INC. |
10943916 | Method for manufacturing three-dimensional memory structure | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10943917 | Three-dimensional memory device with drain-select-level isolation structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10943918 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10943919 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10943920 | Methods of fabricating integrated structures | MICRON TECHNOLOGY, INC. |
10943921 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10943922 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10943923 | Integrated circuits and semiconductor device including standard cell | SAMSUNG ELECTRONICS CO., LTD. |
10943924 | Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943925 | Method of forming FinFET channel and structures thereof | -- |
10943926 | Thin-film transistor, array substrate, display panel and display device and fabrication method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10943927 | Array substrate, fabrication method thereof, driving transistor and display panel | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10943928 | Display substrate, method for manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10943929 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
10943930 | Display device having a multi-path structure in a bending region | SAMSUNG DISPLAY CO., LTD. |
10943931 | Wafers with etchable sacrificial patterns, anchors, tethers, and printable devices | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10943932 | Light-receiving element, method of manufacturing light-receiving element, imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10943933 | Imaging device and imaging unit | NIKON CORPORATION |
10943934 | Multilevel semiconductor device and structure | MONOLITHIC 3D INC. |
10943935 | Methods for transferring charge in an image sensor | APPLE INC. |
10943936 | Method of producing an optical sensor at wafer-level and optical sensor | AMS AG |
10943937 | Image sensors with light channeling reflective layers therein | SAMSUNG ELECTRONICS CO., LTD. |
10943938 | Image sensor and manufacturing method thereof | -- |
10943939 | Via structures including etch-delay structures and semiconductor devices having via plugs | SAMSUNG ELECTRONICS CO., LTD. |
10943940 | Image sensor comprising reflective guide layer and method of forming the same | -- |
10943941 | Pixel structure, image sensor, image capturing apparatus, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10943942 | Image sensor device and method of forming the same | -- |
10943943 | Dual band gas imager | -- |
10943944 | Flat panel display having embedded optical imaging sensor located at rear surface of display | LG DISPLAY CO., LTD. |
10943945 | Light fixture with dynamically controllable light distribution | LUMILEDS LLC |
10943946 | iLED displays with substrate holes | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10943947 | Display device and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10943948 | Magnetic tunnel junction (MTJ) device and forming method thereof | -- |
10943949 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10943950 | Magnetic memory devices with enhanced tunnel magnetoresistance ratio (TMR) and methods of fabrication | INTEL CORPORATION |
10943951 | Spin orbit torque magnetic random access memory structures and methods for fabrication | NATIONAL UNIVERSITY OF SINGAPORE |
10943952 | Threshold switch for memory | SANDISK TECHNOLOGIES LLC |
10943953 | Semiconductor devices, hybrid transistors, and related methods | MICRON TECHNOLOGY, INC. |
10943954 | Panel, display device, and vehicle-mounted display device | LG DISPLAY CO., LTD. |
10943955 | Pixel arrangement structure, display substrate, display device and mask plate group | BOE TECHNOLOGY GROUP CO., LTD. |
10943956 | Display panel and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
10943957 | Substrate and manufacturing method therefor, and electronic apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10943958 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10943959 | Display device with a light modulating layer | APPLE INC. |
10943960 | Optical filter for anti-reflection and organic light-emitting device | LG CHEM, LTD. |
10943961 | Display device having a reinforcing layer | SAMSUNG DISPLAY CO., LTD. |
10943962 | Electroluminescence display apparatus | LG DISPLAY CO., LTD. |
10943963 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943964 | Display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10943965 | Pixel and organic light emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
10943966 | Display device | JAPAN DISPLAY INC. |
10943967 | Display device having conductive patterns with reduced display element overlap | SAMSUNG DISPLAY CO., LTD. |
10943968 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10943969 | Multi-panel organic light emitting display device | LG DISPLAY CO., LTD. |
10943970 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943971 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943973 | Integrated circuit comprising low voltage capacitive elements | STMICROELECTRONICS (ROUSSET) SAS |
10943976 | Metal-oxide semiconductor (MOS) device structure based on a poly-filled trench isolation region | ALLEGRO MICROSYSTEMS, LLC |
10943984 | Thin film transistor and manufacturing method thereof, display substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10943985 | Cover member for a robot used in a painting process having absorptive properties | TD INDUSTRIAL COVERINGS, INC. |
10943986 | Transistors, memory cells and semiconductor constructions comprising ferroelectric gate dielectric | MICRON TECHNOLOGY, INC. |
10943996 | Method of manufacturing semiconductor device including non-volatile memories and logic devices | -- |
10944000 | Contacts for semiconductor devices | CYPRESS SEMICONDUCTOR CORPORATION |
10944006 | Geometry tuning of fin based transistor | INTEL CORPORATION |
10944007 | Silicon on insulator semiconductor device with mixed doped regions | -- |
10944008 | Low noise amplifier transistors with decreased noise figure and leakage in silicon-on-insulator technology | SKYWORKS SOLUTIONS, INC. |
10944011 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10944012 | Area-efficient inverter using stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944016 | Optical detection unit, optical detection device, and method for manufacturing optical detection unit | HAMAMATSU PHOTONICS K.K. |
10944021 | Virtual negative bevel and methods of isolating adjacent devices | LIGHTSPIN TECHNOLOGIES INC. |
10944023 | Micro-LED transfer method and manufacturing method | GOERTEK. INC |
10944027 | Pixel modules with controllers and light emitters | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10944028 | LED light bulb having filament with conductive section providing with rivet structure | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10944029 | Light emitting device having a dam surrounding a light emitting region | SAMSUNG DISPLAY CO., LTD. |
10944030 | Light emitting device | NICHIA CORPORATION |
10944031 | Solid state lighting component package with conformal reflective coating | CREE, INC. |
10944035 | Resin package and light-emitting device | NICHIA CORPORATION |
10944039 | Fabricating transmon qubit flip-chip structures for quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944044 | MRAM structure with T-shaped bottom electrode to overcome galvanic effect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944045 | Magnetic memory | TDK CORPORATION |
10944048 | Semiconductor device with magnetic tunnel junction | -- |
10944050 | Magnetic tunnel junction structures and methods of manufacture thereof | APPLIED MATERIALS, INC. |
10944051 | Method of cleaning a substrate processing apparatus and the substrate processing apparatus performing the method | TOKYO ELECTRON LIMITED |
10944053 | Systems and methods for gated-insulator reconfigurable non-volatile memory devices | UNIVERSITY OF CINCINNATI |
10944055 | Compound and organic photoelectric device, image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944065 | Mid and far-infrared nanocrystals based photodetectors with enhanced performances | NEXDOT |
10944068 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10944069 | Organic electroluminescence device, method for manufacturing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10944070 | Display device having curved shape | SAMSUNG DISPLAY CO., LTD. |
10944071 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10944072 | Stretchable electroluminescent devices | CORNELL UNIVERSITY |
10944073 | Display panel having a crack barrier layer and display apparatus thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10944074 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
10944075 | Display panels, methods of manufacturing the same, and display terminals | -- |
10944078 | Organic light emitting diode and method of manufacturing the same | LG DISPLAY CO., LTD. |
10944079 | Flexible display panel and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10944083 | Array substrate, method for manufacturing array substrate, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10944143 | Non-reciprocal circuit element and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10944145 | Electronic apparatus and electrical element | MURATA MANUFACTURING CO., LTD. |
10944147 | Thin film surface mountable high frequency coupler | AVX CORPORATION |
10944148 | Plating methods for modular and/or ganged waveguides for automatic test equipment for semiconductor testing | ADVANTEST CORPORATION |
10944158 | Wrist-worn electronic device with a side wall loop antenna | GARMIN SWITZERLAND GMBH |
10944189 | High speed electrical connector and printed circuit board thereof | AMPHENOL EAST ASIA ELECTRONIC TECHNOLOGY (SHENZHEN) CO., LTD. |
10944190 | Methods for the acylation of maytansinol | IMMUNOGEN, INC. |
10944202 | Adapter module | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10944214 | Cable connector for high speed interconnects | AMPHENOL CORPORATION |
10944230 | Circuit board connector | SUMITOMO WIRING SYSTEMS, LTD. |
10944251 | Protective circuit and method for protecting a circuit | AMS AG |
10944256 | On-die circuitry for electrostatic discharge protection (ESD) analysis | INTEL CORPORATION |
10944257 | Integrated silicon controlled rectifier (SCR) and a low leakage SCR supply clamp for electrostatic discharge (ESP) protection | STMICROELECTRONICS INTERNATIONAL N.V. |
10944258 | RC circuit triggered electrostatic discharge circuit | -- |
10944270 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10944391 | Overvoltage protection circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944394 | Methods and apparatus to reduce leakage current | TEXAS INSTRUMENTS INCORPORATED |
10944656 | Technologies for adaptive processing of multiple buffers | INTEL CORPORATION |
10944924 | Image sensor and electronic camera | NIKON CORPORATION |
10944926 | Solid-state imaging element, method for driving solid-state imaging element, and electronic apparatus | SONY CORPORATION |
10944927 | Semiconductor structure and operating method for improving charge transfer of image sensor device | -- |
10944930 | Solid-state image sensor with high-permittivity material film and a light shielding section, method for producing solid-state image sensor, and electronic apparatus | SONY CORPORATION |
10944931 | Solid state imaging device and imaging system | CANON KABUSHIKI KAISHA |
10944932 | Comparator, AD converter, solid-state imaging device, electronic apparatus, and method of controlling comparator | SONY CORPORATION |
10944933 | Photoelectric conversion apparatus, imaging system, and moving body | CANON KABUSHIKI KAISHA |
10944953 | Method and apparatus for colour imaging a three-dimensional structure | ALIGN TECHNOLOGY, INC. |
10945064 | Inner module used in a wireless earphone | CONCRAFT HOLDING CO., LTD. |
10945331 | Mobile display device | BOE TECHNOLOGY GROUP CO., LTD. |
10945332 | Heat capacitive component carrier and method to produce said component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10945333 | Thermal management assemblies having cooling channels within electrically insulated posts for cooling electronic assemblies | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10945334 | Wiring substrate | IBIDEN CO., LTD. |
10945335 | Assembling and handling edge interconnect packaging system | INDIANA INTEGRATED CIRCUITS, LLC |
10945336 | Electronic device with relay mounted to substrate | TE CONNECTIVITY CORPORATION |
10945337 | Stem | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10945338 | Wiring substrate | KYOCERA CORPORATION |
10945339 | High-density soft-matter electronics | CARNEGIE MELLON UNIVERSITY |
10945340 | Method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10945343 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
10945347 | Techniques for making hermetic feedthroughs for enclosures | LONQ, INC. |
10945353 | Mechanism with folded wrapping to seal components immersed in coolant | INTEL CORPORATION |
10945358 | Flexible electromagnetic wave shielding material, electromagnetic wave shielding type circuit module comprising same and electronic device furnished with same | AMOGREENTECH CO., LTD. |
10946617 | Graphite laminated body | DU PONT-TORAY CO., LTD. |
10946797 | Smart functional leather for steering wheel and dash board | HONDA MOTOR CO., LTD. |
10946961 | Unmanned aerial vehicle | SZ DJI TECHNOLOGY CO., LTD. |
10947150 | Decoy security based on stress-engineered substrates | PALO ALTO RESEARCH CENTER INCORPORATED |
10947212 | Photoelectric conversion element, two-dimensional sensor, image sensor, and image pickup device | CANON KABUSHIKI KAISHA |
10947326 | Adhesive composition, cured article, semiconductor device, and production method for same | SHOWA DENKO MATERIALS CO., LTD. |
10947389 | Composition, film, near infrared cut filter, laminate, pattern forming method, solid image pickup element, image display device, infrared sensor, and color filter | FUJIFILM CORPORATION |
10947402 | Solder mask inkjet inks for manufacturing printed circuit boards | AFGA-GEVAERT NV |
10948140 | LED strips with conical structure for collimated light emission | SIGNIFY HOLDING B.V. |
10948141 | Diagonally cuttable led strip and method of use | -- |
10948163 | Backlight unit | SEOUL SEMICONDUCTOR CO., LTD. |
10948367 | Input interface device with semiconductor strain gage | -- |
10948535 | Display device and detection method for the display device | BOE TECHNOLOGY GROUP CO., LTD. |
10948635 | Light direction control film, method for manufacturing the same and fingerprint recognition panel | BOE TECHNOLOGY GROUP CO., LTD. |
10948641 | Interference filter | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10948643 | Optical film | -- |
10948658 | Optical interconnection assemblies, glass interconnection substrates, and methods of making an optical connection | CORNING OPTICAL COMMUNICATIONS LLC |
10948668 | Package structure for optical fiber and method for forming the same | -- |
10948753 | Flexible substrate and flexible display device including the same | LG DISPLAY CO., LTD. |
10948754 | Curved display module and display apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10948784 | Light emitting apparatus and window | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10948789 | Display apparatus comprising a bridge portion formed of a same material as a second color filter and connecting adjacent second color filters of adjacent pixel areas | SAMSUNG DISPLAY CO., LTD. |
10948790 | Display panel manufacturing method and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10948794 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10948940 | Adjustable and pluggable control interface device with sensor thereof | SHENZHEN GUANKE TECHNOLOGIES CO., LTD |
10949002 | Conductive film and touch panel including the same | LG ELECTRONICS INC. |
10949008 | Display device | SAMSUNG DISPLAY CO., LTD. |
10949018 | Display module and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10949025 | Optical touch device, display and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
10949026 | Optoelectronic device and methods of use | DOW GLOBAL TECHNOLOGIES LLC |
10949038 | Organic light-emitting display panel and organic light-emitting display device having built-in touchscreen | LG DISPLAY CO., LTD. |
10949047 | Display devices | SAMSUNG DISPLAY CO., LTD. |
10949132 | Semiconductor storage device | KIOXIA CORPORATION |
10949284 | Techniques using nonvolatile memory and volatile memory | MICRON TECHNOLOGY, INC. |
10950148 | Device including stretchable display and method of controlling the device | SAMSUNG DISPLAY CO., LTD. |
10950154 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950158 | Display apparatus and display method therefor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950167 | LED display with electroluminescent components | SOLOMON SYSTECH (SHENZHEN) LIMITED |
10950168 | Display, method for driving display, and electronic apparatus including a holding capacitor formed of parallel connected capacitors | SONY CORPORATION |
10950169 | Organic light emitting diode display with transparent pixel portion and corresponding devices | MOTOROLA MOBILITY LLC |
10950171 | Display device and method of controlling the same | TIANMA JAPAN, LTD. |
10950174 | Display device compensating for deterioration of a pixel using an external compensation technology | SAMSUNG DISPLAY CO., LTD. |
10950175 | Pixel arrangement and reflector structure of LED display and method of forming same | -- |
10950177 | Display device including a sub-gate electrode | SAMSUNG DISPLAY CO., LTD. |
10950178 | Microdisplay with reduced pixel size and method of forming same | EMAGIN CORPORATION |
10950179 | Pixel circuit, display panel, display device, and driving method | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10950180 | Pixel and organic light emitting display device having the same | SAMSUNG DISPLAY CO., LTD. |
10950286 | Periphery fill and localized capacitance | MICRON TECHNOLOGY, INC. |
10950297 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950299 | System and method for cryogenic hybrid technology computing and memory | SEEQC, INC. |
10950301 | Two transistor, one resistor non-volatile gain cell memory and storage element | INTEL CORPORATION |
10950302 | Resistive memory device | -- |
10950310 | Secure erase for data corruption | MICRON TECHNOLOGY, INC. |
10950311 | Boosting read scheme with back-gate bias | SANDISK TECHNOLOGIES LLC |
10950381 | Surface-mounted LC device | MURATA MANUFACTURING CO., LTD. |
10950384 | Method used in forming an electronic device comprising conductive material and ferroelectric material | MICRON TECHNOLOGY, INC. |
10950431 | Low-k feature formation processes and structures formed thereby | -- |
10950436 | Array substrate manufacturing using fluorine and hydrogenation processes | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950437 | Laser annealing method, laser annealing apparatus, and thin film transistor substrate | V TECHNOLOGY CO., LTD. |
10950446 | Manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10950463 | Manufacturing trapezoidal through-hole in component carrier material | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10950478 | Info structure with copper pillar having reversed profile | -- |
10950479 | Method for manufacturing light emitting device | -- |
10950481 | Method for manufacturing thin substrate | SHIN-ETSU CHEMICAL CO., LTD. |
10950488 | Integration of finFET device | TEXAS INSTRUMENTS INCORPORATED |
10950489 | Devices and methods for radiation hardening integrated circuits using shallow trench isolation | TALLANNQUEST LLC |
10950490 | Semiconductor device having isolation structures with different thicknesses | -- |
10950492 | Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950498 | Selective and self-limiting tungsten etch process | APPLIED MATERIALS, INC. |
10950506 | Forming single and double diffusion breaks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950509 | Semiconductor device with integrated shunt resistor | INFINEON TECHNOLOGIES AG |
10950514 | Packaged semiconductor devices and methods of packaging semiconductor devices | -- |
10950515 | Semiconductor device, manufacturing method of semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950516 | Resin encapsulated power semiconductor module with exposed terminal areas | ABB SCHWEIZ AG |
10950521 | Thermal interface material layer and package-on-package device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950522 | Electronic device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10950526 | Semiconductor device | DENSO CORPORATION |
10950529 | Semiconductor device package | ADVANCED SEMICONDUCTOR ENGINEERING KOREA, INC. |
10950533 | Through electrode substrate and semiconductor device | DAI NIPPON PRINTING CO., LTD. |
10950534 | Through-substrate via structure and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950535 | Package structure and method of manufacturing the same | -- |
10950537 | Land side and die side cavities to reduce package z-height | INTEL CORPORATION |
10950540 | Enhancing integrated circuit density with active atomic reservoir | -- |
10950543 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950544 | Semiconductor device including gate pattern having pad region | SAMSUNG ELECTRONICS CO., LTD. |
10950545 | Circuit wiring techniques for stacked transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950546 | Semiconductor device including back side power supply circuit | -- |
10950547 | Stacked IC structure with system level wiring on multiple sides of the IC die | XCELSIS CORPORATION |
10950549 | ILD gap fill for memory device stack array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950550 | Semiconductor package with through bridge die connections | INTEL CORPORATION |
10950551 | Embedded component package structure and manufacturing method thereof | -- |
10950552 | Ring-in-ring configurable-capacitance stiffeners and methods of assembling same | INTEL CORPORATION |
10950553 | System on integrated chips and methods of forming the same | -- |
10950554 | Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same | -- |
10950555 | Ultra-low profile package shielding technique using magnetic and conductive layers for integrated switching voltage regulator | INTEL CORPORATION |
10950556 | EMI shielding structure in InFO package | -- |
10950557 | Stacked chip package structure and manufacturing method thereof | -- |
10950563 | Chip packages and methods for forming the same | SHUNSIN TECHNOLOGY (ZHONG SHAN) LIMITED |
10950568 | Semiconductor device assembly with surface-mount die support structures | MICRON TECHNOLOGY, INC. |
10950574 | Sensor having system-in-package module, method for producing the same, and sensor arrangement | CONTINENTAL TEVES AG & CO. OHG |
10950575 | Package structure and method of forming the same | -- |
10950576 | Package structure | -- |
10950577 | Redistribution layers in semiconductor packages and methods of forming same | -- |
10950578 | Semiconductor device, semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10950579 | Integrated circuit package and method of forming same | -- |
10950580 | Semiconductor device assemblies with lids including circuit elements | MICRON TECHNOLOGY, INC. |
10950581 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10950582 | Semiconductor power module | ROHM CO., LTD. |
10950583 | Transfer head and transfer system for semiconductor light-emitting device and method for transferring semiconductor light-emitting device | LG ELECTRONICS INC. |
10950584 | White light emitting devices having high luminous efficiency and improved color rendering that include pass-through violet emissions | IDEAL INDUSTRIES LIGHTING LLC |
10950585 | Tunable LED-filaments and tunable LED-filament lamps | INTEMATIX CORPORATION |
10950586 | Semiconductor devices having upper and lower solder portions and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950587 | Printed circuit board and package structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950588 | Chip package structure and manufacturing method thereof | -- |
10950589 | Optoelectronic apparatus, carrier device, optoelectronic transmitter and optoelectronic receiver | VISHAY SEMICONDUCTOR GMBH |
10950590 | Light emitting device | NICHIA CORPORATION |
10950591 | Display with embedded pixel driver chips | APPLE INC. |
10950592 | Display panel and method of fabricating the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950593 | Package structure including at least one connecting module and manufacturing method thereof | -- |
10950594 | Integrated circuit and method of fabricating the same | -- |
10950595 | Memory cell array and method of manufacturing same | -- |
10950596 | Diode with current sensor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950597 | Electrostatic protection circuit and a semiconductor structure | -- |
10950598 | Heterolithic microwave integrated circuits including gallium-nitride devices formed on highly doped semiconductor | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
10950599 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10950600 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950601 | Current source using emitter region as base region isolation structure | NEXCHIP SEMICONDUCTOR CORPORATION |
10950602 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10950603 | Semiconductor device and method | -- |
10950604 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950605 | Semiconductor device | -- |
10950606 | Dual fin endcap for self-aligned gate edge (SAGE) architectures | INTEL CORPORATION |
10950608 | Semiconductor device having junctionless vertical gate transistor and method of manufacturing the same | SK HYNIX INC. |
10950609 | Gate-all-around (GAA) and fin field-effect transistor (FinFet) hybrid static random-access memory (SRAM) | QUALCOMM INCORPORATED |
10950610 | Asymmetric gate cut isolation for SRAM | GLOBALFOUNDRIES U.S. INC. |
10950611 | Semiconductor device and manufacturing method thereof | -- |
10950612 | Three dimensional semiconductor memory with residual memory layer | SAMSUNG ELECTRONICS CO., LTD. |
10950613 | Semiconductor device and a method of manufacturing a semiconductor device | SK HYNIX INC. |
10950614 | Single poly non-volatile memory device, method of manufacturing the same and single poly non-volatile memory device array | KEY FOUNDRY CO., LTD. |
10950615 | Semiconductor memory device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10950616 | 3-dimensional NOR strings with segmented shared source regions | SUNRISE MEMORY CORPORATION |
10950617 | Memory device with multiple layers | TOSHIBA MEMORY CORPORATION |
10950618 | Memory arrays | MICRON TECHNOLOGY, INC. |
10950619 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10950620 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
10950621 | Semiconductor substrate and semiconductor device | TOSHIBA MEMORY CORPORATION |
10950622 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10950623 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10950624 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10950625 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
10950626 | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes | SANDISK TECHNOLOGIES LLC |
10950627 | Three-dimensional memory device including split memory cells and methods of forming the same | SANDISK TECHNOLOGIES LLC |
10950628 | Vertical memory device and method of fabrication the same | SAMSUNG ELECTRONICS CO., LTD. |
10950629 | Three-dimensional flat NAND memory device having high mobility channels and methods of making the same | SANDISK TECHNOLOGIES LLC |
10950630 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10950631 | Semiconductor-on-insulator wafer having a composite insulator layer | -- |
10950632 | Array substrate, method for fabricating the same and display panel | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10950633 | Semiconductor device, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950634 | Metal oxide and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950635 | Orthogonal transistor layouts | SKYWORKS SOLUTIONS, INC. |
10950636 | Array substrate with openings in insulation layer for auxiliary electrode and method for fabricating thereof | -- |
10950637 | Semiconductor device, manufacturing method, solid state image sensor, and electronic equipment | SONY CORPORATION |
10950638 | Tunable imaging systems and methods thereof | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10950639 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10950640 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10950641 | Image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950642 | Image sensor including partition patterns | SK HYNIX INC. |
10950643 | Imaging device, method for manufacturing imaging device, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950644 | Image pickup element, method of manufacturing image pickup element, and electronic apparatus | SONY CORPORATION |
10950645 | Semiconductor device with a radiation sensing region and method for forming the same | -- |
10950646 | Solid-state imaging device, method of manufacturing the same, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950647 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10950648 | Semiconductor element, manufacturing method of semiconductor element, and electronic apparatus | SONY CORPORATION |
10950649 | Backside illuminated image sensor and method of manufacturing the same | DB HITEK CO., LTD. |
10950650 | Complementary metal-oxide-semiconductor image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10950651 | Photodiode (PD) array with integrated back-side lenses and a multi-channel transceiver module implementing same | APPLIED OPTOELECTRONICS, INC. |
10950652 | Light-emitting structure having a plurality of light-emitting structure units | -- |
10950654 | Integrating circuit elements in a stacked quantum computing device | GOOGLE LLC |
10950655 | Transducer and inspection device | KABUSHIKI KAISHA TOSHIBA |
10950656 | Semiconductor memory device and method for fabricating the same | -- |
10950657 | Apparatus and methods for integrating magnetoresistive devices | EVERSPIN TECHNOLOGIES. INC. |
10950658 | Circuit and method to enhance efficiency of memory | -- |
10950659 | Multilayered seed for perpendicular magnetic structure | AVALANCHE TECHNOLOGY, INC. |
10950660 | Perpendicular STTM free layer including protective cap | INTEL CORPORATION |
10950661 | Integrated circuits with resistive non-volatile memory cells and methods for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10950662 | Resistive memory device with meshed electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950663 | Cross-point memory array and related fabrication techniques | MICRON TECHNOLOGY, INC. |
10950664 | Semiconductor memory device including phase change material layers and method for manufacturing thereof | -- |
10950665 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10950666 | Pixel structure, OLED display screen and evaporation mask | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10950667 | Display panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950668 | Display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10950669 | Display device | SAMSUNG DISPLAY CO., LTD. |
10950670 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950671 | Flexible touch panel, method for manufacturing the same and flexible touch device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950672 | Flexible display device with hardened layer, display apparatus, and method for manufacturing the flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950673 | Display device structure for reducing defects | SAMSUNG DISPLAY CO., LTD. |
10950674 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950675 | Signal control unit for an organic light emitting diode display device, method of manufacturing the same, and organic light emitting diode display device including the same | DB HITEK CO., LTD. |
10950676 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950677 | Array substrate, manufacturing method thereof, and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950678 | Thin film transistor substrate and organic light-emitting display using the same | SAMSUNG DISPLAY CO., LTD. |
10950679 | Display having vertically driven gate and data paths | APPLE INC. |
10950680 | Light-emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10950681 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950682 | Method for manufacturing organic electroluminescent device | SAKAI DISPLAY PRODUCTS CORPORATION |
10950683 | Organic light-emitting diode display and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950684 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10950685 | Tiled electronic device | -- |
10950686 | Semiconductor device including a chip capacitor mounted on a wiring substrate | RENESAS ELECTRONICS CORPORATION |
10950689 | Semiconductor device with a through-substrate via hole having therein a capacitor and a through-substrate via conductor | NANYANG TECHNOLOGICAL UNIVERSITY |
10950690 | Power electronic arrangement | INFINEON TECHNOLOGIES AG |
10950692 | Methods of forming air gaps between source/drain contacts and the resulting devices | GLOBALFOUNDRIES U.S. INC. |
10950698 | Method and apparatus for selective nitridation process | APPLIED MATERIALS, INC. |
10950700 | Semiconductor device and manufacturing method of semiconductor device | SK HYNIX INC. |
10950703 | Semiconductor structure for memory device and method for forming the same | -- |
10950704 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10950705 | Active matrix substrate | SHARP KABUSHIKI KAISHA |
10950708 | Dishing prevention dummy structures for semiconductor devices | -- |
10950709 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950711 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950713 | Method and device for forming cut-metal-gate feature | -- |
10950714 | Semiconductor device and manufacturing method thereof | -- |
10950715 | Method of manufacturing semiconductor device including non-volatile memories and logic devices | -- |
10950716 | Metal oxide TFT, manufacturing method thereof, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950717 | Semiconductor device having semiconductor regions with an impurity concentration distribution which decreases from a respective peak toward different semiconductor layers | FUJI ELECTRIC CO., LTD. |
10950720 | Electrostatic discharge guard ring with complementary drain extended devices | TEXAS INSTRUMENTS INCORPORATED |
10950722 | Vertical gate all-around transistor | STMICROELECTRONICS, INC. |
10950723 | Semiconductor device and circuit having the same | DENSO CORPORATION |
10950724 | Method of fabricating a semiconductor device including vertical-type field effect transistors | SAMSUNG ELECTRONICS CO., LTD. |
10950726 | Semiconductor device, CMOS circuit, and electronic apparatus with stress in channel region | SONY CORPORATION |
10950727 | Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer | ACORN SEMI, LLC |
10950730 | Merged source/drain features | -- |
10950734 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950736 | Substrates and transistors with 2D material channels on 3D geometries | SYNOPSYS, INC. |
10950744 | Light receiving element and method of manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10950764 | Light-emitting device | NICHIA CORPORATION |
10950769 | Light emitting diode (LED) components including multiple LED dies that are attached to lead frames | CREE, INC. |
10950770 | Method for producing an electronic device | NICHIA CORPORATION |
10950778 | Superconducting bump bond electrical characterization | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10950784 | RRAM with a barrier layer | -- |
10950787 | Method having resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950788 | Resistive memory device having an oxide barrier layer | 4DS MEMORY, LIMITED |
10950789 | Resisitive random access memory structure and method for forming the same | -- |
10950791 | Apparatuses including electrodes having a conductive barrier material and methods of forming same | MICRON TECHNOLOGY, INC. |
10950793 | Display panel having cathode connected to auxiliary electrode through conductive spacers and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950800 | Compounds for infrared light sensing devices, infrared light sensing devices, image sensors, and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950802 | Organic light-emitting diode with high efficiency | SFC CO., LTD. |
10950805 | Electronic device, light-emitting device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950809 | Flexible display panel having a photoresist supporting element | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950812 | Organic light emitting display device (OLED) having p-type charge generation layer (CGL) formed between emissions stack | LG DISPLAY CO., LTD. |
10950813 | Optical modifier and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10950816 | Display device for suppressing light emission in adjacent pixel due to current leakage | JAPAN DISPLAY INC. |
10950819 | Electroluminescence display device | LG DISPLAY CO., LTD. |
10950822 | Display device capable of improving light extraction efficiency | LG DISPLAY CO., LTD. |
10950823 | Light emitting device and display apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950824 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10950913 | Impact absorbing member for a conformal wearable battery | INVENTUS POWER, INC. |
10950958 | Memory module connector, memory module, and pivotable latch | INTEL CORPORATION |
10950959 | Apparatus, system, and method for achieving PCB-compatible power sockets | JUNIPER NETWORKS, INC. |
10950962 | Electrical connector and electrical cable arrangement connected thereto | LISA DRAEXLMAIER GMBH |
10950975 | Progressive latch for electrical module | ARISTA NETWORKS, INC. |
10950985 | Shield connection element for a printed circuit board | AMPHENOL-TUCHEL ELECTRONICS GMBH |
10951106 | Semiconductor device | DENSO CORPORATION |
10951128 | Main circuit wiring member and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10951211 | FPC integrated capacitance switch and method of manufacturing the same | NISSHA CO., LTD. |
10951213 | Majority logic gate fabrication | KEPLER COMPUTING, INC. |
10951794 | Camera assembly | RAKUTEN, INC. |
10951796 | Image pickup apparatus including thermally isolated radio antenna and thermally isolated electronic viewfinder | CANON KABUSHIKI KAISHA |
10951799 | Lens moving apparatus, and camera module and optical device comprising same | LG INNOTEK CO., LTD. |
10951839 | Imaging apparatus including unit pixel, counter electrode, photoelectric conversion layer, and computing circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10951840 | Versatile image sensor circuit | OMNIVISION TECHNOLOGIES, INC. |
10951842 | Imaging device, camera, and imaging method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10951844 | Time-of-flight detection pixel | STMICROELECTRONICS (CROLLES 2) SAS |
10951845 | Photoelectric conversion apparatus and equipment having photodiode and semiconductor regions | CANON KABUSHIKI KAISHA |
10951850 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10951883 | Distributed multi-screen array for high density display | LOCKHEED MARTIN CORPORATION |
10952310 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
10952311 | Electronic control device, vehicle, and method for manufacturing electronic control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10952312 | Communication module packaging | II-VI DELAWARE, INC. |
10952313 | Via impedance matching | ARISTA NETWORKS, INC. |
10952314 | Removal of high stress zones in electronic assemblies | SAFRAN ELECTRONICS & DEFENSE |
10952315 | Light blocking features for indicator lights in an appliance | HAIER US APPLIANCE SOLUTIONS, INC. |
10952316 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10952317 | Ceramic circuit board and semiconductor module | KABUSHIKI KAISHA TOSHIBA |
10952318 | Method for manufacturing electronic device | -- |
10952319 | Electronic component embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10952320 | Printed wiring board and method for manufacturing same | KYOCERA CORPORATION |
10952321 | Printed circuit board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10952322 | Integrated electronic control unit for a steering wheel assembly | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
10952323 | Cable assembly for in-molded electronics assembly | DUS OPERATING INC. |
10952324 | Spacer for surface mountable electronic components | FACEBOOK TECHNOLOGIES, LLC |
10952325 | Printed circuit board stack structure and method of forming the same | -- |
10952326 | Printed wiring board and switching regulator | MURATA MANUFACTURING CO., LTD. |
10952327 | Semiconductor module | SAMSUNG ELECTRONICS CO., LTD. |
10952328 | System and method for circuit board design and manufacturing | PATCHR, INC. |
10952329 | Copper clad laminates and method for manufacturing a printed circuit board using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10952330 | Repairing defective through-holes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10952331 | Wire soldered structure | -- |
10952332 | Method for providing hermetic electrical feedthrough | SECOND SIGHT MEDICAL PRODUCTS, INC. |
10952333 | Method for stress reduction in semiconductor package via carrier | MICRON TECHNOLOGY, INC. |
10952336 | Housing for accommodating a single- or multi-part printed circuit board | CONTINENTAL AUTOMOTIVE GMBH |
10952340 | Multifunction stand | -- |
10952342 | Window panel, display device including the window panel, and manufacturing method of the window panel | SAMSUNG DISPLAY CO., LTD. |
10952344 | Rack power distribution unit having interchangeable outlets | SCHNEIDER ELECTRIC IT CORPORATION |
10952351 | Display device | LG DISPLAY CO., LTD. |
10952352 | Assemblies including heat dispersing elements and related systems and methods | MICRON TECHNOLOGY, INC. |
10952356 | Shielding portions of an electronic device | GOOGLE LLC |
10952642 | Strain sensor unit and skin sensor module comprising the same | AMOREPACIFIC CORPORATION |
10952647 | Sensor device, sensing method, and information processing device | SONY CORPORATION |
10953319 | Spin transfer MRAM element having a voltage bias control | -- |
10953444 | Process for the recycling of waste batteries and waste printed circuit boards in molten salts or molten metals | -- |
10953487 | Ultrasonic vibration bonding apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10953641 | Thermally conductive type polyimide substrate | -- |
10953793 | Haptic function leather component and method of making the same | HONDA MOTOR CO., LTD. |
10954406 | Preparation of high conductivity copper films | -- |
10954407 | Ink formulations based on (semi)conducting nanoparticles | GENES'INK SA |
10954416 | Method for manufacturing connection structure | DEXERIALS CORPORATION |
10954446 | Sorbitol, glucaric acid, and gluconic acid based flame-retardants | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955113 | Light-emitting module | LG INNOTEK CO., LTD. |
10955117 | Sealed and sealable scalable lighting systems incorporating flexible light sheets and related methods | COOLEDGE LIGHTING INC. |
10955174 | Thermoelectric module | -- |
10955343 | Light detection devices with protective liner and methods related to same | ILLUMINA, INC. |
10955363 | Soldering quality detection platform | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10955442 | Elastic sleeve for a power conductor | 3M INNOVATIVE PROPERTIES COMPANY |
10955449 | Sensor and method of manufacturing same | WESTON AEROSPACE LIMITED |
10955495 | Circuit board integrated atomic magnetometer and gyroscope | TWINLEAF LLC |
10955568 | X-ray sensitive device to detect an inspection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955600 | Swinging nematic liquid crystals and method for making | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10955700 | Transparent panel provided with light emitting function | STANLEY ELECTRIC CO., LTD. |
10955712 | Electro-optical apparatus | MITSUBISHI ELECTRIC CORPORATION |
10955713 | Display device having an electrostatic protecting component overlapped by a shielding layer | -- |
10955735 | Colour projector with two emissive displays | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10955920 | Stacked, very high-density PCB configuration in a mobile device which allows for significantly reducing the size of the main board so as to make space for other components | -- |
10955948 | Touch display panel and touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10955950 | Display device, display module, electronic device, and method for manufacturing the display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10955952 | Display panel having built-in touchscreen, display device having built-in touch screen, integrated driving circuit, and driving method | LG DISPLAY CO., LTD. |
10955954 | Flexible substrate and flexible display panel, flexible display device thereof and method of using flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
10955965 | Display device including input detection unit | SAMSUNG DISPLAY CO., LTD. |
10955976 | Flexible display | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10955979 | Array substrate and display panel | HUAWEI TECHNOLOGIES CO., LTD. |
10955982 | Display device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
10956646 | Customizing circuit layout design rules for fabrication facilities | TEXAS INSTRUMENTS INCORPORATED |
10956705 | Fingerprint recognition device and display device and mobile terminal using fingerprint recognition device | LG DISPLAY CO., LTD. |
10957237 | Circuit, semiconductor device, display device, electronic device, and driving method of circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957241 | Display, LED chip therefor, pixel therefor, controlling method therefor, computer program therefor | FACEBOOK TECHNOLOGIES, LLC |
10957245 | Display apparatus having opposing display modules of micro LEDs | SAMSUNG ELECTRONICS CO., LTD. |
10957252 | Active matrix OLED display with normally-on thin-film transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957253 | Pixel and organic light emitting display device having the pixel | SAMSUNG DISPLAY CO., LTD. |
10957254 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
10957255 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
10957268 | Active-matrix substrate and display device | SHARP KABUSHIKI KAISHA |
10957366 | Circuits and methods for compensating a mismatch in a sense amplifier | -- |
10957368 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10957373 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10957374 | Memory cells and arrays of memory cells | MICRON TECHNOLOGY, INC. |
10957386 | Row based memory write assist and active sleep bias | INTEL CORPORATION |
10957387 | Multi-level cell (MLC) techniques and circuits for cross-point memory | INTEL CORPORATION |
10957389 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. |
10957392 | 2D and 3D sum-of-products array for neuromorphic computing system | -- |
10957394 | NAND string pre-charge during programming by injecting holes via substrate | SANDISK TECHNOLOGIES LLC |
10957399 | Memory and operation method thereof | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10957401 | Boosting read scheme with back-gate bias | SANDISK TECHNOLOGIES LLC |
10957404 | Memory device which generates operation voltages in parallel with reception of an address | TOSHIBA MEMORY CORPORATION |
10957462 | Anisotropic conductive film | DEXERIALS CORPORATION |
10957477 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957483 | Induction coil assembly and method for manufacturing same | CATERPILLAR INC. |
10957498 | Intelligent lighting control system deployment with scalable wallplate | RACEPOINT ENERGY, LLC |
10957534 | Manufacturing method of semiconductor device | SK HYNIX INC. |
10957544 | Gate cut with high selectivity to preserve interlevel dielectric layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957549 | Methods of forming semiconductor devices using mask materials, and related semiconductor devices and systems | MICRON TECHNOLOGY, INC. |
10957556 | Nonvolatile semiconductor memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10957559 | Thermally conductive structure for heat dissipation in semiconductor packages | -- |
10957560 | Pressure sintering procedure in which power semiconductor components with a substrate are connected to each other via a sintered connection | SEMIKRON ELEKTRONIK GMBH & CO. KG |
10957568 | Phase filter for enhanced defect detection in multilayer structure | KLA-TENCOR CORPORATION |
10957576 | Dynamic random access memory and method of fabricating the same | -- |
10957578 | Single diffusion break device for FDSOI | GLOBALFOUNDRIES U.S. INC. |
10957586 | FDSOI with on-chip physically unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957589 | Self-aligned contact and method for forming the same | -- |
10957592 | Through electrode substrate, method of manufacturing through electrode substrate, and mounting substrate | DAI NIPPON PRINTING CO., LTD. |
10957599 | Integrating extra gate VFET with single gate VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957600 | Methods for forming Fin field-effect transistors | -- |
10957601 | Self-aligned fin recesses in nanosheet field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957603 | Vertical FET devices with multiple channel lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957605 | VFET device design for top contact resistance measurement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957607 | Semiconductor device and method for manufacturing the same | -- |
10957611 | Semiconductor package including lid structure with opening and recess | -- |
10957618 | Thermally conductive electronic packaging | APEX MICROTECHNOLOGY, INC. |
10957620 | Electronic device including cooling structure | SAMSUNG ELECTRONICS CO., LTD. |
10957625 | Pillar-last methods for forming semiconductor devices | MICRON TECHNOLOGY, INC. |
10957635 | Multi-chip package with high thermal conductivity die attach | TEXAS INSTRUMENTS INCORPORATED |
10957636 | Semiconductor device | ROHM CO., LTD. |
10957637 | Quad flat no-lead package with wettable flanges | TEXAS INSTRUMENTS INCORPORATED |
10957639 | Electronic component having a transistor and interdigitated fingers to form at least a portion of a capacitive component within the electronic component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957640 | Method for manufacturing a semiconductor structure | -- |
10957647 | Integrated circuit devices including a boron-containing insulating pattern | SAMSUNG ELECTRONICS CO., LTD. |
10957648 | Three-dimensional memory device containing contact via structure extending through source contact layer and dielectric spacer assembly | SANDISK TECHNOLOGIES LLC |
10957649 | Overpass dice stacks and methods of using same | INTEL CORPORATION |
10957650 | Bridge support structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957651 | Package level power gating | NVIDIA CORP. |
10957652 | Circuit board | TAIYO YUDENCO., LTD. |
10957655 | Integrated circuit with inductors having electrically split scribe seal | TEXAS INSTRUMENTS INCORPORATED |
10957659 | Monolithic integration of III-V cells for powering memory erasure devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957661 | Interconnections for a substrate associated with a backside reveal | INVENSAS CORPORATION |
10957662 | Semiconductor package | -- |
10957663 | Spoked solder pad to improve solderability and self-alignment of integrated circuit packages | -- |
10957665 | Direct C4 to C4 bonding without substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957669 | Back side metallization | ADVANCED MICRO DEVICES, INC. |
10957672 | Package structure and method of manufacturing the same | -- |
10957673 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10957674 | Manufacturing method | -- |
10957675 | Lighting-emitting device filament | SEOUL SEMICONDUCTOR CO., LTD. |
10957676 | LED package | ROHM CO., LTD. |
10957677 | Modules configured to emit and receive light in adjacent directions | FANUC CORPORATION |
10957678 | Display module and system applications | APPLE INC. |
10957679 | Logic drive based on standardized commodity programmable logic semiconductor IC chips | -- |
10957680 | Semiconductor die stacking using vertical interconnection by through-dielectric via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957681 | Integrated assemblies comprising sense-amplifier-circuitry and wordline-driver-circuitry under memory cells of a memory array | MICRON TECHNOLOGY, INC. |
10957682 | LED display modules and methods for making the same | LUMENS CO., LTD. |
10957683 | Integrated circuit including multi-height standard cell and method of designing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957684 | RC-snubber element with high dielectric strength | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10957685 | Multi-trench semiconductor device and method of manufacture thereof | NEXPERIA B.V. |
10957686 | Semiconductor device including an integrated resistor and method of producing thereof | INFINEON TECHNOLOGIES AG |
10957687 | ESD hard backend structures in nanometer dimension | -- |
10957688 | Monolithic single chip integrated radio frequency front end module configured with single crystal acoustic filter devices | AKOUSTIS, INC. |
10957689 | Semiconductor apparatus and module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10957690 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10957691 | Semiconductor device, semiconductor device manufacturing method, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10957692 | Asymmetric transient voltage suppressor device and methods for formation | LITTELFUSE, INC. |
10957693 | Vertical transistors with different gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957694 | Epitaxial oxide fin segments to prevent strained semiconductor fin end relaxation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957695 | Asymmetric gate pitch | -- |
10957696 | Self-aligned metal gate with poly silicide for vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957697 | Polysilicon structure including protective layer | -- |
10957698 | Reduction of multi-threshold voltage patterning damage in nanosheet device structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957699 | Integrated assemblies which include two different types of silicon nitride, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10957700 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10957701 | Fin-based anti-fuse device for integrated circuit (IC) products, methods of making such an anti-fuse device and IC products comprising such an anti-fuse device | GLOBALFOUNDRIES U.S. INC. |
10957702 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10957703 | Method of reducing charge loss in non-volatile memories | CYPRESS SEMICONDUCTOR CORPORATION |
10957704 | High voltage CMOS with co-planar upper gate surfaces for embedded non-volatile memory | -- |
10957705 | Three-dimensional memory devices having a multi-stack bonded structure using a logic die and multiple three-dimensional memory dies and method of making the same | SANDISK TECHNOLOGIES LLC |
10957706 | Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957707 | Vertical transistor based radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957708 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10957709 | Systems including memory cells on opposing sides of a pillar | MICRON TECHNOLOGY, INC. |
10957710 | Three dimensional semiconductor memory including pillars having joint portions between columnar sections | TOSHIBA MEMORY CORPORATION |
10957711 | Ferroelectric device with multiple polarization states and method of making the same | SANDISK TECHNOLOGIES LLC |
10957712 | Substrate and method for producing substrate | SHARP KABUSHIKI KAISHA |
10957713 | LTPS TFT substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10957714 | Analog circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957715 | Display device | -- |
10957716 | Array substrate, liquid crystal display panel, and organic electroluminescence display panel | SHARP KABUSHIKI KAISHA |
10957717 | Pixel array | -- |
10957718 | Pixel structure | -- |
10957719 | Semiconductor device and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10957720 | Semiconductor device, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957721 | Manufacturing method for CMOS LTPS TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10957722 | Method of manufacturing flexible device using multidirectional oblique irradiation of an interface between a support substrate and a flexible substrate | JOLED INC. |
10957723 | Vehicle, display device and manufacturing method for a semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957724 | Single-photon avalanche diode image sensor with photon counting and time-of-flight detection capabilities | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10957725 | Imaging device and camera system, and driving method of imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10957726 | Image sensors having a reduced settling time | SAMSUNG ELECTRONICS CO., LTD. |
10957727 | Phase detection pixels with diffractive lenses | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10957728 | CMOS image sensor structure with crosstalk improvement | -- |
10957729 | Image sensor with embedded light-measuring pixels and method of automatic exposure control using the same | -- |
10957730 | Image sensors with multipart diffractive lenses | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10957731 | Sensor device and method for manufacturing the same | -- |
10957732 | Semiconductor device and method of manufacturing semiconductor device | CANON KABUSHIKI KAISHA |
10957733 | Interconnect bump structures for photo detectors | SENSORS UNLIMITED, INC. |
10957734 | Backside illuminated image sensor and method of manufacturing the same | DB HITEK CO., LTD. |
10957735 | LED display | -- |
10957736 | Light emitting diode (LED) components and methods | CREE, INC. |
10957737 | Symmetrical qubits with reduced far-field radiation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957738 | Magnetic random access memory (MRAM) structure with small bottom electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957739 | Resistance variation element, semiconductor device, and manufacturing method | NEC CORPORATION |
10957740 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957741 | Multitier arrangements of integrated devices, and methods of forming sense/access lines | MICRON TECHNOLOGY, INC. |
10957742 | Resistive random-access memory array with reduced switching resistance variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957743 | Optoelectronic array device having an upper transparent electrode | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957744 | Display device including process key | LG DISPLAY CO., LTD. |
10957745 | Touch display device | SAMSUNG DISPLAY CO., LTD. |
10957746 | Touch substrate and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10957747 | Electronic panel and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10957748 | Semiconductor device having detection electrodes | JAPAN DISPLAY INC. |
10957749 | Display device including photo pixel with improved sensing sensitivity | SAMSUNG DISPLAY CO., LTD. |
10957750 | Electroluminescent display device | LG DISPLAY CO., LTD. |
10957751 | Pixel defining layer and manufacturing method thereof, display substrate, display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10957752 | Transparent display device and method of manufacturing a transparent display device | SAMSUNG DISPLAY CO., LTD. |
10957753 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957754 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957755 | Display panel having a gate driving circuit arranged distributively in a display region of the display panel and organic light-emitting diode display device using the same | LG DISPLAY CO., LTD. |
10957756 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957757 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957765 | Semiconductor devices having power rails | SAMSUNG ELECTRONICS CO., LTD. |
10957766 | Fin-based strap cell structure | -- |
10957769 | High-mobility field effect transistors with wide bandgap fin cladding | INTEL CORPORATION |
10957772 | Semiconductor device having multiple wells | -- |
10957775 | Assemblies having conductive structures with three or more different materials | MICRON TECHNOLOGY, INC. |
10957779 | Gate etch back with reduced loading effect | -- |
10957781 | Uniform horizontal spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957786 | FinFET with reduced extension resistance and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957791 | Power device with low gate charge and low figure of merit | INFINEON TECHNOLOGIES AMERICAS CORP. |
10957794 | Vertical transistor contact for cross-coupling in a memory cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957795 | Vertical field effect transistor having two-dimensional channel structure | SAMSUNG ELECTRONICS CO., LTD. |
10957797 | Series connected stacked vertical transistors for high voltage applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957798 | Nanosheet transistors with transverse strained channel regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957799 | Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957804 | Photodetector using resonance and related method | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
10957810 | Electromagnetic wave detector, electromagnetic wave detector array, and electromagnetic wave detection method | MITSUBISHI ELECTRIC CORPORATION |
10957812 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10957815 | Light-emitting device | SHARP KABUSHIKI KAISHA |
10957817 | Polarization field assisted heterostructure design for efficient deep ultra-violet light emitting diodes | CORNELL UNIVERSITY |
10957818 | High performance light emitting diode and monolithic multi-color pixel | INTEL CORPORATION |
10957820 | Monolithic, segmented light emitting diode array | LUMILEDS LLC |
10957821 | Wavelength converted semiconductor light emitting device | LUMILEDS LLC |
10957822 | Light emitting device and method of manufacturing same | NICHIA CORPORATION |
10957824 | High luminance crisp white LED light source | LUMILEDS LLC |
10957825 | Lighting module and lighting apparatus having thereof | LG INNOTEK CO., LTD. |
10957826 | White light source including LED and phosphors | KABUSHIKI KAISHA TOSHIBA |
10957828 | Light source apparatus and projector | SEIKO EPSON CORPORATION |
10957830 | High voltage monolithic LED chip with improved reliability | CREE, INC. |
10957832 | Electronics package for light emitting semiconductor devices and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
10957833 | Light emitting diode display device | SAMSUNG ELECTRONICS CO., LTD. |
10957836 | Printed board and light emitting device | NICHIA CORPORATION |
10957845 | Magnetic memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10957847 | Multilayered spacer structure for a magnetic tunneling junction and method of manufacturing | -- |
10957849 | Magnetic tunnel junctions with coupling-pinning layer lattice matching | APPLIED MATERIALS, INC. |
10957850 | Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957851 | Magnetic layer for magnetic random access memory (MRAM) by moment enhancement | -- |
10957855 | Apparatuses including electrodes having a conductive barrier material and methods of forming same | MICRON TECHNOLOGY, INC. |
10957856 | Method and apparatus for manufacturing organic el display panel | JOLED INC. |
10957867 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957868 | Electron injection based vertical light emitting transistors and methods of making | ATOM H2O, LLC |
10957871 | Organic light emitting device | LG DISPLAY CO., LTD. |
10957874 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
10957875 | Display apparatus | LG DISPLAY CO., LTD. |
10957876 | Light-emitting device and electronic apparatus | SEIKO EPSON CORPORATION |
10957877 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
10957878 | Structured lamination transfer films and methods | 3M INNOVATIVE PROPERTIES COMPANY |
10957879 | OLED substrate, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10957881 | Method and apparatus for producing flexible OLED device | SAKAI DISPLAY PRODUCTS CORPORATION |
10957964 | Multilayer transmission line plate | SHOWA DENKO MATERIALS CO., LTD. |
10957974 | Antenna base for fixing an antenna body on a casing, antenna structure having the antenna base, and electronic device having the antenna structure | -- |
10957990 | Scanning antenna | SHARP KABUSHIKI KAISHA |
10957998 | Press-fit terminal, connector for board, and board-equipped connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10958001 | Connectors for low cost, high speed printed circuit boards | FCI USA LLC |
10958019 | Smart and robust wall socket with integrated universal serial bus (USB) | COMPUTIME LTD. |
10958027 | Electrical connection box | SUMITOMO WIRING SYSTEMS, LTD. |
10958055 | Circuit assembly and electrical junction box | AUTONETWORKS TECHNOLOGIES, LTD. |
10958063 | Ground fault modules and related circuit interrupters and methods | EATON INTELLIGENT POWER LIMITED |
10958218 | Apparatus and methods for bias switching of power amplifiers | SKYWORKS SOLUTIONS, INC. |
10958250 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10958265 | Winch motor protection circuit | INPOWER LLC |
10958270 | Physical unclonable device and method of maximizing existing process variation for a physically unclonable device | -- |
10958813 | Camera module having a soldering portion coupling a driving device and a circuit board | LG INNOTEK CO., LTD. |
10958815 | Folded flex circuit board for camera ESD protection | APPLE INC. |
10958816 | Camera module and manufacturing method thereof | NINGBO SUNNY OPOTECH CO., LTD. |
10958856 | Solid-state image sensor and image-capturing device | NIKON CORPORATION |
10958857 | Imaging device and imaging system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10958859 | Systems and methods for implementing time delay integration imaging techniques in conjunction with distinct imaging regions on a monolithic charge-coupled device image sensor | PLANET LABS INC. |
10958860 | Module and method of manufacturing module | CANON KABUSHIKI KAISHA |
10958862 | Image sensor and method of controlling the same, and image capturing apparatus | CANON KABUSHIKI KAISHA |
10958997 | Portable speaker system | AMAZON TECHNOLOGIES, INC. |
10959006 | In-ear wireless listening device | APPLE INC. |
10959319 | Cooling package and power module | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10959320 | Circuit board and electronic device including circuit board | KYOCERA CORPORATION |
10959321 | Air conditioner | MITSUBISHI ELECTRIC CORPORATION |
10959322 | Printed circuit board | TYCO ELECTRONICS JAPAN G.K. |
10959323 | Over-torque protection features for mounting an electronic device to a heat dissipation object | PERFORMANCE MOTION DEVICES, INC. |
10959324 | Busbar module | YAZAKI CORPORATION |
10959325 | Bending fixture and support components for a semi-flexible printed circuit board assembly | -- |
10959326 | Stretchable circuit substrate and article | DAI NIPPON PRINTING CO., LTD. |
10959327 | Multilayer wiring substrate | MURATA MANUFACTURING CO., LTD. |
10959328 | Wiring substrate, stacked wiring substrate, and manufacturing method of wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10959329 | Circuit board using non-catalytic laminate with catalytic adhesive overlay | CATLAM, LLC |
10959330 | Metal-clad laminate, circuit board, and multilayer circuit board | MURATA MANUFACTURING CO., LTD. |
10959331 | Electrical components attached to fabric | APPLE INC. |
10959332 | Assembly having an electrotechnical component on a carrier | PHOENIX CONTACT GMBH & CO KG |
10959333 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10959334 | Multiple parts clamped together | APPLE INC. |
10959335 | Method for manufacturing a transparent conductive film | IVTOUCH CO., LTD. |
10959336 | Method of liquid assisted binding | MIKRO MESA TECHNOLOGY CO., LTD. |
10959337 | Connection structure | MIKUNI ELECTRON CORPORATION |
10959338 | Attaching an SMD to an insulating layer with a solder joint in a cavity formed in an insulating layer | SAFRAN ELECTRONICS & DEFENSE |
10959339 | Manufacturing a product using a soldering process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10959343 | Integrated stand-offs for printed circuit boards | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10959357 | Circuit block assembly | MURATA MANUFACTURING CO., LTD. |
10959358 | Electronic component module | TAIYO YUDEN CO., LTD. |
10959467 | Wearable smart device | TOYOBO CO., LTD. |
10959545 | Image display device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10960496 | Solder alloy and package structure using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10961381 | Composition, film, near infrared cut filter, solid image pickup element, image display device, and infrared sensor | FUJIFILM CORPORATION |
10961398 | High refractive index silicone nanocomposites | PIXELLIGENT TECHNOLOGIES, LLC |
10961446 | Quantum dots, production methods thereof, and light emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10962177 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
10962356 | Method for estimating the simulated contour of a material composed of longitudinal and transverse line elements | -- |
10962422 | Differential and high rate of change temperature sensing circuit | HAMILTON SUNDSTRAND CORPORATION |
10962466 | Particulate matters sensor device and manufacturing method of sensor unit provided in this | HYUNDAI MOTOR COMPANY |
10962610 | On-chip detection of spin states in color centers for metrology and information processing | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10962627 | Ultra-small vertical cavity surface emitting laser (VCSEL) and arrays incorporating the same | SENSE PHOTONICS, INC. |
10962660 | Active matrix substrate, and x-ray imaging panel | SHARP KABUSHIKI KAISHA |
10962694 | Stepped structure optical filter | VIAVI SOLUTIONS INC. |
10962696 | Coatable grey polarizer | LIGHT POLYMERS HOLDING |
10962728 | Co-packaged optics and transceiver | ROCKLEY PHOTONICS LIMITED |
10962814 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10962815 | Manufacturing method for liquid crystal display device | JAPAN DISPLAY INC. |
10962820 | Display substrate and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10962837 | Display device | SAMSUNG DISPLAY CO., LTD. |
10962847 | Display device having an inclined side surface | SAMSUNG DISPLAY CO., LTD. |
10962852 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
10962854 | Display device | -- |
10962882 | Circuit pattern forming sheet, circuit pattern manufacturing apparatus, circuit pattern manufacturing method, and circuit pattern manufacturing program | KANTATSU CO., LTD. |
10963019 | Electronic device with circuit board and hinge therein | -- |
10963022 | Layered super-reticle computing : architectures and methods | INTEL CORPORATION |
10963024 | Combination parallel path heatsink and EMI shield | APPLE INC. |
10963072 | Key structure and keyboard module | LITE-ON ELECTRONICS (GUANGZHOU) LIMITED |
10963076 | Display device | SAMSUNG DISPLAY CO., LTD. |
10963079 | Display device | JAPAN DISPLAY INC. |
10963080 | Display device having pressure sensors on side edges | SAMSUNG DISPLAY CO., LTD. |
10963081 | Drive method and drive circuit for driving organic light-emitting diode panel and display device | MIANYANG BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10963083 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10963086 | Display device including a touch sensor and a method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10963105 | In-cell touch display panel, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10963111 | Touch substrate and method for preparing the same, and touch device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10963191 | 3D NAND flash memory device and integration method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10963776 | Artificial neuron based on ferroelectric circuit element | NAMLAB GGMBH |
10964037 | Optical projector module, three-dimensional image sensing apparatus, and method of sensing | -- |
10964039 | Depth sensing systems and methods | MAGIC LEAP, INC. |
10964178 | Systems, methods and apparatuses for implementing increased human perception of haptic feedback systems | INTEL CORPORATION |
10964237 | Display device, method for producing display device, and method for inspecting display device | SHARP KABUSHIKI KAISHA |
10964246 | Foldable display device and method of driving the same | LG DISPLAY CO., LTD. |
10964251 | Pixel array substrate and driving method thereof | -- |
10964252 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964258 | Display device and driving method for display device | JAPAN DISPLAY INC. |
10964261 | Pixel circuitry, driving method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964264 | Electroluminescent display panel having pixel driving circuit | LG DISPLAY CO., LTD. |
10964272 | Display module | SAMSUNG DISPLAY CO., LTD. |
10964282 | Power supply circuit and display device | SHARP KABUSHIKI KAISHA |
10964366 | Magnetic memory, recording method of magnetic memory, and reading method of magnetic memory | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964367 | MRAM device comprising random access memory (RAM) and embedded read only memory (ROM) | GLOBALFOUNDRIES U.S. INC. |
10964369 | Memristor circuit, memristor control system, analog product-sum operator, and neuromorphic device | TDK CORPORATION |
10964370 | Semiconductor storage element, semiconductor storage device, and semiconductor system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964380 | Integrated device comprising memory bitcells comprising shared preload line and shared activation line | QUALCOMM INCORPORATED |
10964388 | Selector device for two-terminal memory | CROSSBAR, INC. |
10964389 | Memory cell | -- |
10964391 | Programming circuit and programming method of flash memory and flash memory | -- |
10964397 | Vertical memory device having improved electrical characteristics and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964402 | Reprogramming memory cells to tighten threshold voltage distributions and improve data retention | SANDISK TECHNOLOGIES LLC |
10964467 | Solenoid assembly with included constant-current controller circuit | HANCHETT ENTRY SYSTEMS, INC. |
10964474 | Capacitor and capacitor module | KABUSHIKI KAISHA TOSHIBA |
10964478 | Multilayer ceramic electronic component including organic layers having different coverage rates and mount structure therefor | MURATA MANUFACTURING CO., LTD. |
10964509 | Substrate side-deposition apparatus | TETOS CO., LTD. |
10964530 | Method of forming blocking silicon oxide film, and storage medium | TOKYO ELECTRON LIMITED |
10964535 | Polycrystalline ceramic substrate and method of manufacture | QROMIS, INC. |
10964536 | Formation of an atomic layer of germanium in an opening of a substrate material having a high aspect ratio | MICRON TECHNOLOGY, INC. |
10964544 | Contact integration and selective silicide formation methods | APPLIED MATERIALS, INC. |
10964552 | Methods for producing laminate and substrate for mounting a semiconductor device | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
10964553 | Manufacturing method of semiconductor device and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10964582 | Transfer substrate utilizing selectable surface adhesion transfer elements | PALO ALTO RESEARCH CENTER INCORPORATED |
10964586 | Semiconductor structure including isolations | -- |
10964592 | Methods of forming conductive vias and methods of forming memory circuitry | MICRON TECHNOLOGY, INC. |
10964593 | Method for forming a semiconductor structure with a gate contact plug | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964594 | Methods of packaging semiconductor devices including placing semiconductor devices into die caves | -- |
10964599 | Multi-step insulator formation in trenches to avoid seams in insulators | GLOBALFOUNDRIES U.S. INC. |
10964600 | Semiconductor structures | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10964601 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964602 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964603 | Hybrid gate stack integration for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964604 | Magnetic storage element, magnetic storage device, electronic device, and method of manufacturing magnetic storage element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964610 | Packaging mechanisms for dies with different sizes of connectors | -- |
10964611 | Die edge integrity monitoring system | MELEXIS TECHNOLOGIES NV |
10964612 | Display device | LG DISPLAY CO., LTD. |
10964613 | Environmentally protected sensing device | INVENSENSE, INC. |
10964614 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10964616 | Semiconductor package structure and method of manufacturing the same | -- |
10964618 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964624 | Techniques for fluid cooling of integrated circuits in packages | INTEL CORPORATION |
10964632 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10964633 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10964635 | Power electronic metal-ceramic module and printed circuit board module with integrated power electronic metal-ceramic module and process for their making | SCHWEIZER ELECTRONIC AG |
10964638 | Vertical memory device including common source line structure | SAMSUNG ELECTRONICS CO., LTD. |
10964641 | Method of forming semiconductor packages having through package vias | -- |
10964642 | Semiconductor module comprising transistor chips, diode chips and driver chips arranged in a common plane | INFINEON TECHNOLOGIES AG |
10964643 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964644 | Array substrate, chip on film, and alignment method | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10964645 | Electronic component with thin-film shield layer | MURATA MANUFACTURING CO., LTD. |
10964650 | Info structure and method forming same | -- |
10964651 | Method and apparatus of ESD protection in stacked die semiconductor device | -- |
10964652 | Semiconductor device package and method of manufacturing the same | -- |
10964654 | 3DI solder cup | MICRON TECHNOLOGY, INC. |
10964655 | Patterning polymer layer to reduce stress | -- |
10964662 | Method of transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10964665 | Method for forming complex electronic circuits by interconnecting groups of printed devices | NTHDEGREE TECHNOLOGIES WORLDWIDE, INC. |
10964666 | Chip on package structure and method | -- |
10964667 | Stacked integrated circuit structure and method of forming | -- |
10964668 | Stacked transistor packages | PGS GEOPHYSICAL AS |
10964669 | Semiconductor package including stress-equalizing chip | SAMSUNG ELECTRONICS CO., LTD. |
10964670 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964671 | Stacked chips comprising interconnects | TOSHIBA MEMORY CORPORATION |
10964673 | Semiconductor device and manufacturing method of the same | -- |
10964674 | Micro-LED display panel | LUMENS CO., LTD. |
10964675 | Display device and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964676 | Semiconductor structure and a method of making thereof | BROADPAK CORPORATION |
10964677 | Electronic packages with stacked sitffeners and methods of assembling same | INTEL CORPORATION |
10964678 | LED screen or illumination means with a flexible film structure | LEDFOIL FINLAND OY |
10964679 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10964680 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964681 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
10964682 | Data storage system using wafer-level packaging | INTEL CORPORATION |
10964683 | Memory array circuit and method of manufacturing the same | -- |
10964684 | Multiple fin height integrated circuit | -- |
10964685 | Integrated circuit and method of generating integrated circuit layout | -- |
10964686 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10964687 | FinFET ESD device with Schottky diode | GLOBALFOUNDRIES U.S. INC. |
10964688 | Semiconductor device | ROHM CO., LTD. |
10964689 | Semiconductor structure | -- |
10964690 | Resistor between gates in self-aligned gate edge architecture | INTEL CORPORATION |
10964691 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10964692 | Through silicon via design for stacking integrated circuits | -- |
10964693 | Semiconductor device having a plurality of bipolar transistors with different heights between their respective emitter layers and emitter electrodes | MURATA MANUFACTURING CO., LTD. |
10964694 | Multi-transistor device including first and second LDMOS transistors having respective drift regions separated in a thickness direction by a shared RESURF layer | MAXIM INTEGRATED PRODUCTS, INC. |
10964695 | Semiconductor structure | -- |
10964696 | Semiconductor device and manufacturing method thereof | -- |
10964697 | Non-planar semiconductor device having doped sub-fin region and method to fabricate same | INTEL CORPORATION |
10964698 | Field effect transistor with decoupled channel and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964699 | Multi-layer horizontal thyristor random access memory and peripheral circuitry | TCLAB, INC. |
10964700 | Semiconductor device and memory device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964701 | Vertical shared gate thin-film transistor-based charge storage memory | INTEL CORPORATION |
10964702 | Semiconductor device with first-in-first-out circuit | MICRON TECHNOLOGY, INC. |
10964703 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
10964704 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964705 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10964706 | Three-dimensional semiconductor device including integrated circuit, transistors and transistor components and method of fabrication | TOKYO ELECTRON LIMITED |
10964707 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10964708 | Fuse-array element | MICRON TECHNOLOGY, INC. |
10964709 | Stacked FinFET EEPROM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964710 | Non-volatile memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964711 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10964712 | Memory system | TOSHIBA MEMORY CORPORATION |
10964714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10964715 | Three-dimensional memory device containing channels with laterally pegged dielectric cores | SANDISK TECHNOLOGIES LLC |
10964716 | Semiconductor device and method of manufacturing same | TOSHIBA MEMORY CORPORATION |
10964717 | Methods and apparatus for three-dimensional NAND structure fabrication | APPLIED MATERIALS, INC. |
10964718 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10964719 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10964720 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10964721 | Semiconductor devices including ferroelectric layer and methods of fabricating the same | SK HYNIX INC. |
10964722 | Micro LED display substrate, method for manufacturing the same, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10964723 | Flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10964724 | Display device | JAPAN DISPLAY INC. |
10964725 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964726 | Array substrate, display panel and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964727 | Flexible array substrate, with protection layer, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964728 | Display device | JAPAN DISPLAY INC. |
10964729 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964730 | Non-contact measurement of a stress in a film on a substrate | APPLEJACK 199 L.P. |
10964731 | Array substrate and manufacturing method thereof and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964732 | Fabrication of thin-film electronic devices with non-destructive wafer reuse | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10964733 | Opto-electronic HEMT | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10964734 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10964736 | Image sensing device and method for forming the same | SK HYNIX INC. |
10964737 | Photoelectric conversion device and imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964738 | Image sensor having a source follower transistor with a multi-thickness gate dielectric | OMNIVISION TECHNOLOGIES, INC. |
10964739 | Imaging apparatus and control method thereof | CANON KABUSHIKI KAISHA |
10964740 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10964741 | Backside illuminated sensor pixel structure | OMNIVISION TECHNOLOGIES, INC. |
10964742 | Germanium-silicon light sensing apparatus II | ARTILUX, INC. |
10964743 | Imaging device comprising current mirror circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964744 | Light control for improved near infrared sensitivity and channel separation | OMNIVISION TECHNOLOGIES, INC. |
10964745 | Photo detecting apparatus and method of manufacturing the same | LG DISPLAY CO., LTD. |
10964746 | Deep trench isolation shrinkage method for enhanced device performance | -- |
10964747 | High resolution display device | SAMSUNG ELECTRONICS CO., LTD. |
10964748 | Electric field controllable spin filter tunnel junction magnetoresistive memory devices and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10964749 | GaN-based threshold switching device and memory diode | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10964750 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964751 | Semiconductor device having plural dummy memory cells | SAMSUNG ELECTRONICS CO., LTD. |
10964752 | Three-dimensional memory device including laterally constricted current paths and methods of manufacturing the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10964753 | Optoelectronic device including a metal layer pattern including a metal having a negative dielectric constant and image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10964754 | Solid-state image pickup element and manufacturing method thereof, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964755 | Organic light emitting diode panel including light emitting units and color filter layer, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964756 | Pixel structure, display panel and fabricating method thereof, and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964757 | Energy efficient OLED TV | UNIVERSAL DISPLAY CORPORATION |
10964758 | Pixel unit, display panel, display device and method of manufacturing pixel unit | BOE TECHNOLOGY GROUP CO., LTD. |
10964759 | Display device comprising buffer layer and encapsulation layer | SAMSUNG DISPLAY CO., LTD. |
10964760 | Electroluminescent display panel with anti-ultraviolet light material, method for manufacturing the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964761 | Display device | LG DISPLAY CO., LTD. |
10964763 | Display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964764 | Display panel and method of manufacturing thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964765 | Organic light emitting display device, head mounted display including the same and method of fabricating the same | LG DISPLAY CO., LTD. |
10964766 | One-way transparent display | UNIVERSAL DISPLAY CORPORATION |
10964767 | Organic EL display device and manufacturing method for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10964768 | Display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964769 | Stretchable display device with insulation layer disposed on stretchable substrate | SAMSUNG DISPLAY CO., LTD. |
10964770 | Flexible display | SAMSUNG DISPLAY CO., LTD. |
10964771 | Display panel having an opening arranged inside a display area | SAMSUNG DISPLAY CO., LTD. |
10964772 | OLED plate, display panel and display device using the same | BOE TECHNOLOGY GROUP CO., LTD. |
10964773 | Organic electroluminescent device including arrangement of capacitive electrode between layer of other capacitive electrode and layer of gate electrode | SEIKO EPSON CORPORATION |
10964774 | Backplane for organic light emitting display device and method for fabricating the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964775 | Display panel having low resistance conductive layer | SAMSUNG DISPLAY CO., LTD. |
10964776 | Pixel defining structure, display panel, method of manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964778 | Precision capacitor | TEXAS INSTRUMENTS INCORPORATED |
10964782 | Semiconductor device including isolation regions | SAMSUNG ELECTRONICS CO., LTD. |
10964784 | Integrated circuit device and manufacturing method thereof | -- |
10964787 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964790 | TFT substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964791 | Semiconductor device having silicides and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964792 | Dual metal capped via contact structures for semiconductor devices | -- |
10964793 | Assemblies which include ruthenium-containing conductive gates | MICRON TECHNOLOGY, INC. |
10964800 | Semiconductor device having fin-end stress-inducing features | INTEL CORPORATION |
10964801 | Semiconductor device and manufacturing method thereof | -- |
10964807 | 3D semiconductor device with memory | MONOLITHIC 3D INC. |
10964812 | Integration of input/output device in vertical field-effect transistor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964813 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964815 | CMOS finFET with doped spacers and method for forming the same | -- |
10964816 | Method and device for boosting performance of FinFETs via strained spacer | -- |
10964818 | Semiconductor device doped from a diffused layer | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964819 | Fin field effect transistor (FinFET) device and method for forming the same | -- |
10964820 | Vertical transistor devices and techniques | INTEL CORPORATION |
10964821 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964834 | Photodetector and light detection and ranging | KABUSHIKI KAISHA TOSHIBA |
10964837 | Photo detector systems and methods of operating same | ACTLIGHT SA |
10964838 | Display substrate and manufacturing method thereof, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964845 | Micro light emitting devices | LUMILEDS LLC |
10964846 | Semiconductor light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10964849 | Micro light emitting diode apparatus and method of fabricating micro light emitting diode apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964850 | Lighting device | LUMILEDS LLC |
10964851 | Single light emitting diode (LED) structure | -- |
10964855 | Method for manufacturing micro light-emitting diode array substrate | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964858 | Light emitting diodes, components and related methods | CREE, INC. |
10964859 | Light-emitting device and method of manufacturing the same | NICHIA CORPORATION |
10964864 | Micro LED mixing cup | -- |
10964865 | Printed board, light emitting device, and method for manufacturing same | NICHIA CORPORATION |
10964867 | Using underfill or flux to promote placing and parallel bonding of light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
10964869 | Transparent light emitting element display | LG CHEM, LTD. |
10964871 | Micro LED structure and method of manufacturing same | POINT ENGINEERING CO., LTD. |
10964883 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10964884 | Magnetic memory device having an incline side surface | TOSHIBA MEMORY CORPORATION |
10964885 | Magnetoresistive effect element, magnetic memory, magnetization rotation method, and spin current magnetization rotational element | TDK CORPORATION |
10964886 | Spin transfer torque memory devices having heusler magnetic tunnel junctions | INTEL CORPORATION |
10964888 | Magnetic tunnel junctions | -- |
10964900 | Light emitting diode display with redundancy scheme | APPLE INC. |
10964901 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10964904 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10964906 | Organic light-emitting display panel and organic light-emitting display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
10964907 | Display panel, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964908 | Display module, display panel, and method for manufacturing the display panel | SAMSUNG DISPLAY CO., LTD. |
10964909 | Organic light emitting diode display device having a dam for controlling flow of encapsulant | LG DISPLAY CO., LTD. |
10964910 | Display device including hole having protruded portions and depressed portion and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10964911 | Thin film encapsulation structure of AMOLED and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964912 | Protective structure and electronic device with the same | -- |
10964913 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964914 | Organic light emitting diodes displays including a polarization film and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10964929 | Structure of connection among circuit body, bus bar and electronic element | YAZAKI CORPORATION |
10964931 | Battery interconnects | CELLINK CORPORATION |
10965013 | Antenna module | KYOCERA CORPORATION |
10965035 | Reconfigurable antenna systems with ground tuning pads | SKYWORKS SOLUTIONS, INC. |
10965059 | Electronic device and robot controller | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10965062 | Modular electrical connector with conductive coating to reduce crosstalk | TE CONNECTIVITY SERVICES GMBH |
10965065 | Insulative support for very high speed electrical interconnection | AMPHENOL CORPORATION |
10965079 | Comb pattern insert for wave solder pallets | SCHWEITZER ENGINEERING LABORATORIES, INC. |
10965097 | Light emitting device | NICHIA CORPORATION |
10965116 | Overvoltage-proof circuit capable of preventing damage caused by overvoltage | -- |
10965266 | N-channel high-power RF multiplexer | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10965269 | Electronic devices formed in a cavity between substrates and including a via | SKYWORKS SOLUTIONS, INC. |
10965281 | Circuit based on a III/V semiconductor and a method of operating the same | DIALOG SEMICONDUCTOR (UK) LIMITED |
10965289 | Metal oxide semiconductor device of an integrated circuit | QUALCOMM INCORPORATED |
10965797 | Terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10965851 | Camera device and mobile terminal having same | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD. |
10965855 | Lens module | TRIPLE WIN TECHNOLOGY (SHENZHEN) CO. LTD. |
10965890 | Imaging device and imaging system | CANON KABUSHIKI KAISHA |
10965893 | Solid-state imaging device, method of driving the same, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10965894 | Short wave infrared image sensor with automatic exposure and dynamic range control | FLIR COMMERCIAL SYSTEMS, INC. |
10965895 | Solid-state imaging device, manufacturing method of solid-state imaging device, and electronic device | SONY CORPORATION |
10965897 | Comparison device and CMOS image sensor including the same | SK HYNIX INC. |
10965917 | High dynamic range imager enhancement technology | INTEL CORPORATION |
10965921 | Storage medium, electronic device and image processing method | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10966300 | Light sources utilizing segmented LEDs to compensate for manufacturing variations in the light output of individual segmented LEDs | BRIDGELUX, INC. |
10966311 | Method for cross-talk reduction technique with fine pitch vias | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10966312 | Printed litz line | SCIPERIO, INC |
10966313 | Method for manufacturing printed circuit board having test point, and printed circuit board manufactured thereby | LG CHEM, LTD. |
10966314 | Electronic device | LG ELECTRONICS INC. |
10966315 | Electronic device and flexible printed circuit board | JAPAN DISPLAY INC. |
10966316 | Wiring film, device transfer sheet, and textile type device | JAPAN SCIENCE AND TECHNOLOGY AGENCY |
10966317 | Sheet-shaped stretchable structure, and resin composition for stretchable resin sheet and stretchable resin sheet used for the structure | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10966318 | Component carrier with electrically reliable bridge with sufficiently thick vertical thickness in through hole of thin dielectric | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10966319 | System for interconnecting electronic boards and computer cabinet comprising such a system | BULL SAS |
10966320 | Gap supporter for printed circuit board, and package including gap supporter for printed circuit board and insulation sheet coupled thereto | GNE TECH CO., LTD. |
10966321 | System-in-package including opposing circuit boards | APPLE INC. |
10966322 | Semiconductor device and manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10966323 | Method and apparatus for automatically adjusting dispensing units of a dispenser | ILLINOIS TOOL WORKS INC. |
10966324 | Wiring board, multilayer wiring board, and method of manufacturing wiring board | TOPPAN PRINTING CO., LTD. |
10966325 | Flexible display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10966326 | Wiring substrate and method for manufacturing wiring substrate | IBIDEN CO., LTD. |
10966327 | Method for forming circuit on substrate | JCU CORPORATION |
10966329 | Display device | SAMSUNG DISPLAY CO., LTD. |
10966338 | Densely packed electronic systems | MOUNTAIN VIEW |
10966355 | Electric power conversion apparatus | HITACHI, LTD. |
10966356 | Electronic apparatus | SONY INTERACTIVE ENTERTAINMENT INC. |
10966360 | Apparatus for combining printed circuit boards | SAMSUNG ELECTRONICS CO., LTD. |
10966594 | Imaging device, endoscope, and method of manufacturing imaging device | OLYMPUS CORPORATION |
10967463 | Sn whisker growth mitigation using NiO sublayers | THE UNIVERSITY OF TOLEDO |
10967627 | Printed multifunctional skin for aerodynamic structures, and associated systems and methods | QUEST INTEGRATED, LLC |
10967842 | Brake hydraulic pressure controller and motorcycle brake system | ROBERT BOSCH GMBH |
10968481 | Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids | CARDEA BIO, INC. |
10968519 | Sheet material, metal mesh, wiring substrate, display device and manufacturing methods therefor | TDK CORPORATION |
10968529 | Insulation systems and methods of depositing insulation systems | GENERAL ELECTRIC COMPANY |
10969067 | Light emitting device having flexible substrate with plurality of folds | SIGNIFY HOLDING B.V. |
10969359 | Planar electrode arrays and fabrication methods thereof | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10969376 | Electrical stimulation and monitoring device | MURATA INTEGRATED PASSIVE SOLUTIONS |
10969490 | Light ranging system with opposing circuit boards | OUSTER, INC. |
10969503 | Sensitivity correction method and photon counting detector | CANON MEDICAL SYSTEMS CORPORATION |
10969504 | Component configuration for a robust tunable sensor system for a high radiation environment | RHOMBUS HOLDINGS LLC |
10969505 | Charged particle detector | UNIVERSITY OF YORK |
10969559 | Lens module with enhanced stability | TRIPLE WIN TECHNOLOGY (SHENZHEN) CO.LTD. |
10969601 | Color separation devices and image sensors including the same | SAMSUNG ELECTRONICS CO., LTD. |
10969602 | Integrated camera lens suspension | HUTCHINSON TECHNOLOGY INCORPORATED |
10969632 | Display panel and method of processing the same | HKC CORPORATION LIMITED |
10969638 | Display device | JAPAN DISPLAY INC. |
10969639 | Array substrate, display panel and display device for improving alignment defects | BOE TECHNOLOGY GROUP CO., LTD. |
10969641 | Liquid crystal display device | JAPAN DISPLAY INC. |
10969676 | Photomask and exposure method | BOE TECHNOLOGY GROUP CO., LTD. |
10969692 | Configuring optical layers in imprint lithography processes | MAGIC LEAP, INC. |
10969835 | Cooling arrangement and air guide shroud | FUJITSU LIMITED |
10969837 | Heat sink and electronic device having same | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
10969888 | Touch panel manufacturing method, touch panel, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10969889 | Electronic apparatus and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10969891 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10969893 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10969918 | Touch panel metal grid structure with increased mutual capacitance | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10969919 | Touch panel and display device with touch panel | JAPAN DISPLAY INC. |
10969998 | Semiconductor apparatus including a plurality of dies operating as a plurality of channels | SK HYNIX INC. |
10970027 | Combination organic light emitting diode (“OLED”) device | BANK OF AMERICA CORPORATION |
10970267 | Preparing a hierarchical clustering data structure for compression | INTEL CORPORATION |
10970440 | Method and system for generating layout diagram for semiconductor device having engineering change order (ECO) cells | -- |
10970450 | Cell structures and semiconductor devices having same | -- |
10970451 | Integrated circuit layout method, device, and system | -- |
10970453 | Method for the non-copyable manufacture of integrated circuits | UNIVERSITY OF LOUISIANA AT LAFAYETTE |
10970510 | Sensor and sensor-equipped display device | JAPAN DISPLAY INC. |
10970513 | Array substrate, display screen, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10970517 | Texture recognition device and operation method of texture recognition device | BOE TECHNOLOGY GROUP CO., LTD. |
10970612 | Interactive core for electronic cards | FITEQ, INC. |
10970624 | Pre-programmed resistive cross-point array for neural network | SAMSUNG ELECTRONICS CO., LTD. |
10970627 | Time borrowing between layers of a three dimensional chip stack | XCELSIS CORPORATION |
10971054 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971062 | Control component for a current-driven optical media | FLEXENABLE LIMITED |
10971071 | Organic light emitting display panel having sub-pixels with different coupling capacitors | SAMSUNG DISPLAY CO., LTD. |
10971073 | Display element, display device, and electronic device | SONY CORPORATION |
10971096 | Display device | LG DISPLAY CO., LTD. |
10971103 | Driver circuit, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971175 | Storage element | SONY CORPORATION |
10971184 | Dual drive tape embedded system | WESTERN DIGITAL TECHNOLOGIES, INC. |
10971204 | Three-dimensional non-volatile ferroelectric memory | FUDAN UNIVERSITY |
10971208 | Semiconductor device having interconnection in package and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10971217 | SRAM cell for interleaved wordline scheme | -- |
10971219 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10971220 | Write assist for a memory device and methods of forming the same | -- |
10971225 | Resistive random access memory device with three-dimensional cross-point structure and method of operating the same | TOSHIBA MEMORY CORPORATION |
10971228 | Adaptive application of voltage pulses to stabilize memory cell voltage levels | MICRON TECHNOLOGY, INC. |
10971230 | Nonvolatile memory device and method of processing in memory (PIM) using the same | SAMSUNG ELECTRONICS CO., LTD. |
10971232 | Nonvolatile memory device and program method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10971235 | Methods of operating memory devices based on sub-block positions and related memory system | SAMSUNG ELECTRONICS CO., LTD. |
10971238 | Three-dimensional semiconductor memory devices and methods of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10971239 | Memory circuit, system and method for rapid retrieval of data sets | SUNRISE MEMORY CORPORATION |
10971245 | Measurement of MTJ in a compact memory array | SPIN MEMORY, INC. |
10971297 | Passive component and electronic device | TAIYO YUDEN CO., LTD. |
10971310 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10971356 | Stack viabar structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971359 | Managed substrate effects for stabilized SOI FETs | PSEMI CORPORATION |
10971360 | Methods of forming a channel region of a transistor and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
10971361 | Laser annealing method, laser annealing apparatus, and thin film transistor substrate | V TECHNOLOGY CO., LTD. |
10971371 | Multi-chip structure and method of forming same | -- |
10971391 | Dielectric gap fill | -- |
10971392 | Amorphous metal thin film nonlinear resistor | AMORPHYX, INC. |
10971397 | Semiconductor device and method of fabricating the same | -- |
10971399 | Oxygen-free replacement liner for improved transistor performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971402 | Semiconductor device including interface layer and method of fabricating thereof | -- |
10971403 | Structure and method of forming fin device having improved fin liner | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971405 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10971406 | Method of forming source/drain regions of transistors | -- |
10971407 | Method of forming a complementary metal oxide semiconductor device having fin field effect transistors with a common metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971408 | Contact air gap formation and structures thereof | -- |
10971410 | Image display device | SHARP KABUSHIKI KAISHA |
10971412 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10971415 | Semiconductor device, manufacturing method for semiconductor device, semiconductor module, and power conversion device | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10971417 | 3D stacked-chip package | -- |
10971420 | Method of forming a thermal shield in a monolithic 3-D integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
10971421 | Gasket for electrically conductive thermal interface material over a bare die package | DELL PRODUCTS L.P. |
10971422 | Semiconductor die assembly having a heat spreader that extends through an underlying interposer and related technology | MICRON TECHNOLOGY, INC. |
10971424 | Power module and power convertor | MITSUBISHI ELECTRIC CORPORATION |
10971426 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971430 | Semiconductor device | KYOCERA CORPORATION |
10971432 | Semiconductor device including a through wiring area | SAMSUNG ELECTRONICS CO., LTD. |
10971433 | Surface mounted type leadframe and photoelectric device with multi-chips | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
10971438 | Chip-on film and display device including the same | LG DISPLAY CO., LTD. |
10971439 | Ball grid array underfilling systems | HAMILTON SUNDSTRAND CORPORATION |
10971440 | Semiconductor package having an impedance-boosting channel | INTEL CORPORATION |
10971443 | Packages with Si-substrate-free interposer and method forming same | -- |
10971444 | Voltage noise reduction of power delivery networks for integrated circuits | INTEL CORPORATION |
10971445 | Comparison circuit including input sampling capacitor and image sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971446 | Semiconductor device and method of manufacture | -- |
10971447 | BEOL electrical fuse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971453 | Semiconductor packaging with high density interconnects | INTEL CORPORATION |
10971454 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971456 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10971458 | Compensation network for high speed integrated circuits | CREDO TECHNOLOGY GROUP LIMITED |
10971459 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
10971460 | Integrated devices in semiconductor packages and methods of forming same | -- |
10971462 | Package structure and manufacturing method thereof | -- |
10971465 | Driving chip, display substrate, display device and method for manufacturing display device | BOE TECHNOLOGY GROUP CO., LTD. |
10971466 | High frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10971467 | Packaging method and package structure of fan-out chip | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10971469 | Semiconductor device including various peripheral areas having different thicknesses | LAPIS SEMICONDUCTOR CO., LTD. |
10971470 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971473 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10971474 | Package integration for high bandwidth memory | XILINX, INC. |
10971475 | Semiconductor package structure | -- |
10971476 | Bottom package with metal post interconnections | QUALCOMM INCORPORATED |
10971477 | Semiconductor packages and methods of forming the same | -- |
10971478 | Interposer design in package structures for wire bonding applications | INTEL CORPORATION |
10971479 | Semiconductor package including stacked semiconductor chips | SK HYNIX INC. |
10971480 | Display panel, manufacturing method thereof and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971481 | Light-emitting device and backlight including light-emitting device | NICHIA CORPORATION |
10971482 | Light blocking louver panel for an LED light display | FORMETCO, INC. |
10971483 | Semiconductor structure and manufacturing method thereof | -- |
10971484 | Package-on-package (PoP) semiconductor package and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971485 | Solenoid inductors within a multi-chip package | -- |
10971486 | Semiconductor package and method of manufacturing the semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971487 | Semiconductor memory device | SK HYNIX INC. |
10971488 | Active ESD clamp deactivation | INFINEON TECHNOLOGIES AG |
10971489 | Compact protection device for protecting an integrated circuit against electrostatic discharge | STMICROELECTRONICS SA |
10971490 | Three-dimensional field effect device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971491 | Method for forming capacitor, semiconductor device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971492 | Package-embedded thin-film capacitors, package-integral magnetic inductors, and methods of assembling same | INTEL CORPORATION |
10971493 | Integrated circuit device with high mobility and system of forming the integrated circuit | -- |
10971494 | Semiconductor device and semiconductor integrated circuit | SOCIONEXT, INC. |
10971495 | Capacitor cell and structure thereof | -- |
10971496 | Semiconductor device having hybrid capacitors | SAMSUNG ELECTRONICS CO., LTD. |
10971497 | Memory cell | -- |
10971498 | Method of forming a semiconductor memory device with a laterally etched bottom dielectric layer | -- |
10971499 | Unified micro system with memory IC and logic IC | -- |
10971500 | Methods used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
10971501 | Memory structure and manufacturing method thereof | -- |
10971502 | SRAM structure | -- |
10971503 | Structure and method for FinFET SRAM | -- |
10971504 | Three-dimensional monolithic vertical transistor memory cell with unified inter-tier cross-couple | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971505 | Memory devices and methods of manufacturing thereof | -- |
10971507 | Three-dimensional memory device containing through-memory-level contact via structures | SANDISK TECHNOLOGIES LLC |
10971508 | Integrated circuit and method of manufacturing the same | -- |
10971509 | Semiconductor memory device | SK HYNIX INC. |
10971510 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971511 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10971512 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971513 | Three-dimensional semiconductor memory devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10971514 | Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same | SANDISK TECHNOLOGIES LLC |
10971515 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971516 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10971517 | Source contact structure of three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10971518 | Three dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10971519 | Non-volatile memory structure | -- |
10971520 | Method for manufacturing semiconductor device | SK HYNIX INC. |
10971521 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10971522 | High mobility complementary metal-oxide-semiconductor (CMOS) devices with fins on insulator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971523 | Pixel array and fabrication method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971525 | TFT array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971526 | Pixel structure with electrode of transistor having U-shaped portion | -- |
10971527 | Thin-film transistor substrate including data line with lower layer data line and upper layer data line, and liquid crystal display device and organic electroluminescent display device including same | SHARP KABUSHIKI KAISHA |
10971528 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971529 | Electronic device and manufacturing method of the same | -- |
10971530 | Manufacturing method for a TFT array substrate and TFT array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971531 | Pixels | SENSORS UNLIMITED, INC. |
10971532 | Radiation imaging apparatus, radiation imaging method, and storage medium | CANON KABUSHIKI KAISHA |
10971533 | Vertical transfer gate with charge transfer and charge storage capabilities | STMICROELECTRONICS (CROLLES 2) SAS |
10971534 | Image sensor having improved full well capacity and related method of formation | -- |
10971535 | Image sensor package | SAMSUNG ELECTRONICS CO., LTD. |
10971537 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10971538 | PiN diode structure having surface charge suppression | RAYTHEON COMPANY |
10971539 | Solid-state imaging device, method of driving solid-state imaging device, imaging system, and movable object | CANON KABUSHIKI KAISHA |
10971540 | Method and systems for coupling semiconductor substrates | FLIR SYSTEMS, INC. |
10971541 | Detector architecture using photodetector arrays on thinned substrates | VAREX IMAGING CORPORATION |
10971542 | Method of forming a semiconductor device | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10971543 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
10971544 | Integration of magneto-resistive random access memory and capacitor | -- |
10971545 | Magnetoresistive stacks and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10971546 | Crosspoint phase change memory with crystallized silicon diode access device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971547 | Switch element, switching method and semiconductor device | NANOBRIDGE SEMICONDUCTOR, INC. |
10971548 | Variable resistance memory device including symmetrical memory cell arrangements and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10971549 | Semiconductor memory device having a vertical active region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971550 | Photodiode arrays | FLEXENABLE LIMITED |
10971551 | Organic light-emitting diode display panel and manufacturing method therefor, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10971552 | Display device | SAMSUNG DISPLAY CO., LTD. |
10971554 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10971555 | Pixel structure and display apparatus | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10971556 | Organic light-emitting display panel and organic light-emitting display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10971557 | Display device having color filter with scattering agent | SAMSUNG DISPLAY CO., LTD. |
10971558 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10971559 | Display device | FUNAI ELECTRIC CO., LTD. |
10971560 | Display device, apparatus and method for testing display device | SAMSUNG DISPLAY CO., LTD. |
10971561 | OLED display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971562 | Display apparatus with touch sensor having shield layer between touch sensor line and driving line | LG DISPLAY CO., LTD. |
10971563 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10971564 | Display panel, manufacturing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971565 | Pixel structure | -- |
10971566 | Display device including frame wiring in bending section | SHARP KABUSHIKI KAISHA |
10971567 | Display device | SAMSUNG DISPLAY CO., LTD. |
10971568 | Display device | -- |
10971569 | Display apparatus | SONY CORPORATION |
10971570 | Display device and brightness detection method therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10971571 | Display device for preventing arcing and method of manufacturing the same | LG DISPLAY CO., LTD. |
10971572 | Flexible OLED panel for lighting device and method of manufacturing same | LG DISPLAY CO., LTD. |
10971573 | El display panel, power supply line drive apparatus, and electronic device | SONY CORPORATION |
10971574 | Display device | SAMSUNG DISPLAY CO., LTD. |
10971575 | Display device having data lines spaced apart from each other by different distances | SAMSUNG DISPLAY CO., LTD. |
10971577 | Adjustable multi-turn magnetic coupling device | -- |
10971578 | Capacitive electronic chip component | STMICROELECTRONICS (ROUSSET) SAS |
10971579 | Semiconductor device and fabrication method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGY CO., LTD. |
10971581 | Semiconductor device | SOCIONEXT INC. |
10971583 | Gate cut isolation including air gap, integrated circuit including same and related method | GLOBALFOUNDRIES U.S. INC. |
10971585 | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971586 | Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same | -- |
10971588 | Semiconductor device including FinFET with self-align contact | -- |
10971589 | Low-k feature formation processes and structures formed thereby | -- |
10971605 | Dummy dielectric fin design for parasitic capacitance reduction | -- |
10971606 | Method for manufacturing semiconductor device | -- |
10971607 | Methods of forming NAND cell units | MICRON TECHNOLOGY, INC. |
10971616 | Apparatus and circuits with dual threshold voltage transistors and methods of fabricating the same | -- |
10971617 | Semiconductor device and manufacturing method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGYCO., LTD. |
10971625 | Epitaxial structures of a semiconductor device having a wide gate pitch | GLOBALFOUNDRIES U.S. INC. |
10971630 | Semiconductor structure having both gate-all-around devices and planar devices | -- |
10971631 | Thin film transistor and method of fabricating the same, display substrate and method of fabricating the same, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971633 | Structure and method of forming a semiconductor device | STMICROELECTRONICS (ROUSSET) SAS |
10971641 | Flexible optoelectronic devices | FLEXENBLE LIMITED |
10971643 | Implementation of an optimized avalanche photodiode (APD)/single photon avalanche diode (SPAD) structure | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10971645 | Controlling detection time in photodetectors | WAYMO LLC |
10971649 | Semiconductor device and light emitting device package comprising same | LG INNOTEK CO., LTD. |
10971654 | Display device using semiconductor light emitting device and method for manufacturing the same | LG ELECTRONICS INC. |
10971659 | White light emitting device comprising multiple photoluminescence materials | BRIDGELUX, INC. |
10971664 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10971666 | Method for manufacturing an optical module and optical module | MITSUMI ELECTRIC CO., LTD. |
10971667 | Light emitting device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
10971668 | Light-emitting device package including a lead frame | SAMSUNG ELECTRONICS CO., LTD. |
10971674 | Method for producing composite wafer having oxide single-crystal film | SHIN-ETSU CHEMICAL CO., LTD. |
10971675 | Dual function magnetic tunnel junction pillar encapsulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971676 | Magnetoresistive random access memory having a ring of magnetic tunneling junction region surrounding an array region | -- |
10971677 | Electrically controlled nanomagnet and spin orbit torque magnetic random access memory including the same | -- |
10971678 | Semiconductor device | ABLIC INC. |
10971679 | Magnetoresistive effect element | TDK CORPORATION |
10971680 | Multi terminal device stack formation methods | SPIN MEMORY, INC. |
10971681 | Method for manufacturing a data recording system utilizing heterogeneous magnetic tunnel junction types in a single chip | SPIN MEMORY, INC. |
10971682 | Method for fabricating memory device | -- |
10971683 | Methods for forming narrow vertical pillars and integrated circuit devices having the same | MICRON TECHNOLOGY, INC. |
10971684 | Intercalated metal/dielectric structure for nonvolatile memory devices | -- |
10971685 | Selective device, memory cell, and storage unit | SONY CORPORATION |
10971691 | Display device and manufacturing method of the same | SAMSUNG DISPLAY CO., LTD. |
10971692 | Quantum dot light-emitting layer, quantum dot light-emitting device and preparing methods therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10971696 | Display device | LG DISPLAY CO., LTD. |
10971697 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971698 | OLED display panel and manufacturing method for the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971699 | Display panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10971700 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
10971701 | Transparent display devices and methods of manufacturing transparent display devices | SAMSUNG DISPLAY CO., LTD. |
10971702 | Display device having a low refractive index layer and a high refractive index layer | SAMSUNG DISPLAY CO., LTD. |
10971703 | Light-emitting device having intermediate layer located over interconnect | PIONEER CORPORATION |
10971704 | Display panel and display device | HKC CORPORATION LIMITED |
10971765 | Current control systems and methods | PALO ALTO RESEARCH CENTER INCORPORATED |
10971791 | Transmission line for high power tuners | -- |
10971805 | Wrist-worn electronic device with housing-based loop antenna | GARMIN SWITZERLAND GMBH |
10971809 | Electronic device including antenna connected with conductive sheet of display panel | SAMSUNG ELECTRONICS CO., LTD. |
10971825 | Antenna module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971836 | Printed circuit board with embedded lateral connector | AMAZON TECHNOLOGIES, INC. |
10971838 | Combination structure of clamping member and circuit board for signal connector | -- |
10971841 | Board connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10971880 | Connection for printed circuit board assemblies | NEPTUNE TECHNOLOGY GROUP INC. |
10971892 | High power cavity package for light emitters | II-VI DELAWARE, INC. |
10971925 | Control method of susceptible inrush currents passing through a load switch, and corresponding electronic circuit | STMICROELECTRONICS (ALPS) SAS |
10971929 | Chip ESD protection circuit | CHINA ELECTRONIC TECHNOLOGY CORPORATION, 24TH RESEARCH INSTITUTE |
10972090 | Output stage circuit for transmitting data via bus | -- |
10972091 | Radio frequency switches with voltage equalization | NXP USA, INC. |
10972684 | Sparse lock-in pixels for high ambient controller tracking | FACEBOOK TECHNOLOGIES, LLC |
10972687 | Image sensor with boosted photodiodes for time of flight measurements | OMNIVISION TECHNOLOGIES, INC. |
10972688 | Pixel architecture and an image sensor | IMEC VZW |
10972689 | Solid-state image sensor, electronic apparatus, and control method of solid-state image sensor | SONY CORPORATION |
10972691 | Dynamic vision sensor, electronic device and data transfer method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10972695 | Image sensors with reduced signal sampling kickback | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10972820 | Display apparatus | LG DISPLAY CO., LTD. |
10972830 | Speaker monomer with pair of dynamic speakers thereof | SHENZHEN GINTO E-COMMERCE CO., LIMITED |
10973113 | Component carrier with transistor components arranged side by side | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10973114 | Indium-based interface structures, apparatus, and methods for forming the same | L3 TECHNOLOGIES, INC. |
10973115 | Spread weave induced skew minimization | CIENA CORPORATION |
10973116 | 3D high-inductive ground plane for crosstalk reduction | INTEL CORPORATION |
10973117 | Differential signal traces including a solder mask disposed thereon | FCI USA LLC |
10973118 | Flexible conductive film, its manufacturing method, flexible touch screen and flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10973119 | Electronic circuit board, acceleration sensor, inclinometer, inertial navigation device, structure monitoring device, and vehicle | SEIKO EPSON CORPORATION |
10973120 | Insulating film and printed circuit board including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10973121 | Backlight golden finger structure and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10973122 | Differential via stack | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10973124 | Connector assembly having an adapter to connect two circuit boards | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
10973125 | Flex board spacer | HAMILTON SUNDSTRAND CORPORATION |
10973126 | Instrument drive units | COVIDIEN LP |
10973127 | Voltage regulator module | -- |
10973128 | Flexible printed circuit and imaging apparatus including same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10973129 | Application of electrical conductors of a solar cell | LUMET TECHNOLOGIES LTD. |
10973130 | Printed wiring board | FANUC CORPORATION |
10973131 | Method of manufacturing printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10973132 | Radio-frequency module and communication apparatus | MURATA MANUFACTURING CO., LTD. |
10973133 | Sacrificial structure with dummy core and two sections of separate material thereon for manufacturing component carriers | AT&S (CHINA) CO. LTD. |
10973137 | Circuit device, method for manufacturing circuit device and connector | AUTONETWORKS TECHNOLOGIES, LTD. |
10973140 | Method for assembling a printed circuit board assembly | SPARTON CORPORATION |
10973142 | Hermetically sealed printed circuit boards | COVIDIEN LP |
10973153 | Power module | -- |
10973494 | Flexible circuit with redundant connection points for ultrasound array | ECHONOUS, INC. |
10974420 | Feature casting for manufacture observation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10974433 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10974451 | Process for strengthening porous 3D printed objects | FRESHMADE 3D, LLC |
10974645 | Vehicle pane with a covered lighting device | WEBASTO SE |
10974987 | Glass substrate for high-frequency device and circuit board for high-frequency device | AGC INC. |
10975250 | Infrared shielding composition, infrared cut filter, and solid-state imaging device | FUJIFILM CORPORATION |
10975263 | Thermosetting resin composition, cured film and method for producing same, and flexible printed board with cured film and method for producing same | KANEKA CORPORATION |
10975298 | Quantum dots, a composition or composite including the same, and an electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10975474 | Process for depositing a metal or metal alloy on a surface of a substrate including its activation | ATOTECH DEUTSCHLAND GMBH |
10976010 | LED filament and led light bulb | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LT |
10976011 | LED lighting apparatus | ROHM CO., LTD. |
10976195 | Combination sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10976281 | Chemical sensing unit, chemical sensor, and chemical sensing device electrically coupled to light emitting diode | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10976506 | Optical transceiver | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10976514 | Adjustable optical lens and camera module and manufacturing method thereof | NINGBO SUNNY OPOTECH CO., LTD. |
10976563 | Display device | SEIKO EPSON CORPORATION |
10976586 | Manufacturing method for liquid crystal display panel with high transmittance and display panel thereof | -- |
10976592 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10976626 | Display device | SHARP KABUSHIKI KAISHA |
10976627 | Active matrix substrate and liquid crystal display panel comprising same | SHARP KABUSHIKI KAISHA |
10976628 | Display device | SAMSUNG DISPLAY CO., LTD. |
10976630 | Display device | -- |
10976633 | Conductive bus bar with dark or colored appearance | GENTEX CORPORATION |
10976637 | Radio-frequency loss reduction in photonic circuits | AURRION, INC. |
10976774 | Electronic device, terminal device, and method for controlling electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10976843 | Array substrate and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10976853 | Display device | SAMSUNG DISPLAY CO., LTD. |
10976854 | Force touch display panel, detection method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10976869 | Display device | LG DISPLAY CO., LTD. |
10976870 | Display device with inorganic film and method of fabricating the same | LG DISPLAY CO., LTD. |
10976873 | Display device | SAMSUNG DISPLAY CO., LTD. |
10976877 | Display device having touch sensor | LG DISPLAY CO., LTD. |
10976878 | Display device | JAPAN DISPLAY INC. |
10976879 | Method of manufacturing a touch panel and touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
10977409 | Apparatus and method of generating a layout for a semiconductor device | -- |
10977411 | Method for generating packing solution of printed circuit board | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10977415 | Integrated device and method of forming the same | -- |
10977418 | Semiconductor device with cell region, method of generating layout diagram and system for same | -- |
10977471 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10977974 | Display device having an inspection circuit located between the edge of a counter substrate and a selector circuit | JAPAN DISPLAY INC. |
10977977 | Semiconductor display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10977982 | Display panel and electronic device having stacked data lines in non-display regions and alternately extending scanning lines | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10977990 | Pixel and organic light emitting display device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
10977995 | Display unit, driving method, and electronic apparatus | SONY CORPORATION |
10978000 | Active matrix display and method for driving an active matrix display | IMEC VZW |
10978004 | Data driver, display device, and electronic apparatus | SONY CORPORATION |
10978012 | Display device and method for driving the same | SAMSUNG DISPLAY CO., LTD. |
10978101 | Method of manufacturing a wiring structure of a head suspension | NHK SPRING CO., LTD. |
10978117 | Centralized placement of command and address swapping in memory devices | MICRON TECHNOLOGY, INC. |
10978121 | Voltage control magnetic random storage unit, memory and logic device composed thereby | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES |
10978122 | Memory including non-volatile cells and current driving circuit | -- |
10978129 | Memory cell, memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH |
10978132 | Apparatuses and methods for staggered timing of skipped refresh operations | MICRON TECHNOLOGY, INC. |
10978144 | Integrated circuit and operating method thereof | -- |
10978151 | Semiconductor memory device with memory cells each including a charge accumulation layer and a control gate | TOSHIBA MEMORY CORPORATION |
10978155 | 3D NAND memory Z-decoder | MICRON TECHNOLOGY, INC. |
10978164 | Memory device | TOSHIBA MEMORY CORPORATION |
10978165 | Memory system and non-volatile semiconductor memory | TOSHIBA MEMORY CORPORATION |
10978170 | Method and system for monitoring information of a memory module in real time | SAMSUNG ELECTRONICS CO., LTD. |
10978216 | Conductive film and method for producing the same | SUMITOMO RIKO COMPANY LIMITED |
10978218 | Hydrogel network | OXFORD UNIVERSITY INNOVATION LIMITED |
10978234 | Magnetic stack, multilayer, tunnel junction, memory point and sensor comprising such a stack | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10978240 | Inductor with embraced corner capture pad | QUALCOMM INCORPORATED |
10978295 | Epitaxial growth on semiconductor structures | MICRON TECHNOLOGY, INC. |
10978304 | Method of indirect heating using laser | RNR LAB INC. |
10978306 | Semiconductor recess formation | MICRON TECHNOLOGY, INC. |
10978312 | Semiconductor device package and method of manufacturing the same | -- |
10978332 | Vacuum suction apparatus | -- |
10978340 | Method for forming an electrical contact between a semiconductor film and a bulk handle wafer, and resulting structure | STMICROELECTRONICS (CROLLES 2) SAS |
10978345 | Interconnect structure for stacked device | -- |
10978346 | Conductive vias in semiconductor packages and methods of forming same | -- |
10978348 | 3D chip sharing power interconnect layer | XCELSIS CORPORATION |
10978349 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10978350 | Structure and method for metal gates with roughened barrier layer | -- |
10978351 | Etch stop layer between substrate and isolation structure | -- |
10978352 | FinFET device | -- |
10978353 | High mobility transistors | TEXAS INSTRUMENTS INCORPORATED |
10978354 | Selective dual silicide formation | -- |
10978355 | Multi-gate devices with replaced-channels and methods for forming the same | -- |
10978356 | Tri-layer STI liner for nanosheet leakage control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978362 | Semiconductor structure with conductive structure | -- |
10978363 | Semiconductor structure with conductive structure | -- |
10978364 | Semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
10978370 | Integrated fan-out packages with embedded heat dissipation structure | -- |
10978371 | Semiconductor device and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10978372 | Heat sink load balancing apparatus | GOOGLE LLC |
10978373 | Semiconductor device methods of manufacture | -- |
10978374 | Semiconductor package for discharging heat generated by semiconductor chip | SAMSUNG ELECTRONICS CO., LTD. |
10978377 | Semiconductor chip set with double-sided off-chip bonding structure | -- |
10978381 | Semiconductor device | DENSO CORPORATION |
10978383 | Wiring board and method of manufacturing the same | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10978384 | Integrated circuits including multi-layer conducting lines | SAMSUNG ELECTRONICS CO., LTD. |
10978385 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10978390 | Electronic device including switching element and semiconductor memory | SK HYNIX INC. |
10978396 | Transient electronics using thermoresponsive materials | VANDERBILT UNIVERSITY |
10978397 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978401 | Package structure | -- |
10978402 | Electronic devices with yielding substrates | COOLEDGE LIGHTING INC. |
10978405 | Integrated fan-out package | -- |
10978406 | Semiconductor package including EMI shielding structure and method for forming the same | -- |
10978407 | Stiffener-integrated interconnect bypasses for chip-package apparatus and methods of assembling same | INTEL CORPORATION |
10978409 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10978410 | Semiconductor structure and manufacturing method thereof | -- |
10978411 | RF power package having planar tuning lines | INFINEON TECHNOLOGIES AG |
10978413 | Circuit system having compact decoupling structure | -- |
10978419 | Semiconductor package and manufacturing method thereof | -- |
10978420 | Semiconductor chip mounting apparatus and semiconductor chip mounting method | SHINKAWA LTD. |
10978421 | Wafer-level packaging method and package structure | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10978422 | Vertical transistor device and method for fabricating the same | -- |
10978423 | Projecting contacts and method for making the same | INTEL CORPORATION |
10978424 | Semiconductor device and manufacturing method thereof | -- |
10978425 | Reducing loss in stacked quantum devices | GOOGLE LLC |
10978426 | Semiconductor packages with pass-through clock traces and associated systems and methods | MICRON TECHNOLOGY, INC. |
10978427 | Stacked semiconductor die assemblies with partitioned logic and associated systems and methods | MICRON TECHNOLOGY, INC. |
10978428 | Manufacturing method of semiconductor device | SK HYNIX INC. |
10978429 | Light emitting diode (LED) mass-transfer apparatus and method of manufacture | APPLE INC. |
10978430 | Display apparatus and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10978431 | Semiconductor package with connection substrate and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978432 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10978433 | Package-on-package (PoP) device with integrated passive device in a via | -- |
10978434 | Systems in packages including wide-band phased-array antennas and methods of assembling same | INTEL CORPORATION |
10978435 | Display device using semiconductor light-emitting element | LG ELECTRONICS INC. |
10978436 | Symmetric FET for RF nonlinearity improvement | PSEMI CORPORATION |
10978437 | Analog-mixed signal circuit cells with universal Fin pitch and poly pitch | QUALCOMM INCORPORATED |
10978438 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
10978439 | Method and system of manufacturing conductors and semiconductor device which includes conductors | -- |
10978440 | Circuit layout method | -- |
10978441 | Transient voltage suppressor and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10978442 | Electrostatic discharge (ESD) protection device and forming method thereof | -- |
10978443 | Zener-triggered transistor with vertically integrated Zener diode | TEXAS INSTRUMENTS INCORPORATED |
10978444 | RC-triggered bracing circuit | NXP B.V. |
10978445 | Electrostatic discharge protection circuit and semiconductor circuit | -- |
10978446 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10978447 | Display device | SAMSUNG DISPLAY CO., LTD. |
10978448 | Integrated fluxgate device | TEXAS INSTRUMENTS INCORPORATED |
10978449 | Decoupling capacitor | -- |
10978450 | FinFET isolation structure and method for fabricating the same | -- |
10978451 | Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same | -- |
10978452 | Structure and method of latchup robustness with placement of through wafer via within CMOS circuitry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978453 | Integrated circuit devices and methods of fabricating such devices | SAMSUNG ELECTRONICS CO., LTD. |
10978454 | Semiconductor device and method of forming the semiconductor device | ELPIS TECHNOLOGIES INC. |
10978455 | Memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
10978456 | Multi-layer thyristor random access memory with silicon-germanium bases | TC LAB, INC. |
10978457 | Semiconductor device and manufacturing method thereof | -- |
10978458 | Semiconductor device including ultra low-k spacer and method for fabricating the same | SK HYNIX INC. |
10978459 | Semiconductor device with bit lines at different levels and method for fabricating the same | -- |
10978460 | Semiconductor structure | -- |
10978461 | Antifuse array and method of forming antifuse using anodic oxidation | -- |
10978462 | Method for forming semiconductor device structure | -- |
10978463 | Semiconductor device and manufacturing method thereof | -- |
10978464 | Vertical non-volatile memory device with high aspect ratio | SAMSUNG ELECTRONICS CO., LTD. |
10978465 | Three-dimensional semiconductor device having a memory block and separation structures | SAMSUNG ELECTRONICS CO., LTD. |
10978467 | Sonos nonvolatile memory and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10978468 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10978469 | Semiconductor storage device having an amorphous layer | TOSHIBA MEMORY CORPORATION |
10978470 | Semiconductor device including multiple layers of memory cells, method of manufacturing the same, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10978471 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10978472 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10978473 | Flash memory structure and method of forming the same | -- |
10978474 | Devices including stack structures, and related methods and electronic systems | MICRON TECHNOLOGY, INC. |
10978475 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978476 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10978477 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10978478 | Block-on-block memory array architecture using bi-directional staircases | MICRON TECHNOLOGY, INC. |
10978479 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10978480 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978481 | Nonvolatile memory device having a vertical structure and a memory system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10978482 | Ferroelectric memory device with select gate transistor and method of forming the same | SANDISK TECHNOLOGIES LLC |
10978483 | Ferroelectric memory device | SK HYNIX INC. |
10978484 | Methods used in forming an array of memory cells | MICRON TECHNOLOGY, INC. |
10978485 | Vertical-channel ferroelectric flash memory | -- |
10978486 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978487 | Inverting circuit | STMICROELECTRONICS (CROLLES 2) SAS |
10978488 | Film layer structure, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978489 | Semiconductor device, display panel, method for manufacturing semiconductor device, method for manufacturing display panel, and information processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978490 | Oxide semiconductor, thin film transistor, and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978492 | Resistor, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978493 | Display substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978494 | Display including plurality of wiring layers in bending region | SAMSUNG ELECTRONICS CO., LTD. |
10978495 | Array substrate and method of manufacturing the same, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10978496 | Pixel array substrate and driving method thereof | -- |
10978497 | Display device | SEMINCONDUCTOR ENERGY LABORATORY CO., LTD. |
10978498 | Array substrate and display device and method for making the array substrate | -- |
10978499 | Display apparatus comprising different types of thin film transistors and method for manufacturing the same | LG DISPLAY CO., LTD. |
10978500 | Flexible base substrate and fabrication method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10978501 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
10978502 | Image sensor | TELEDYNE DALSA B.V. |
10978503 | Light detection apparatus, photoelectric conversion system, and movable body | CANON KABUSHIKI KAISHA |
10978504 | Gateless reset for image sensor pixels | TRUSTEES OF DARTMOUTH COLLEGE |
10978505 | Solid-state imaging device including a sensor substrate and a logic substrate | RENESAS ELECTRONICS CORPORATION |
10978506 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10978507 | Method for manufacturing optical sensor arrangements and housing for an optical sensor | AMS AG |
10978508 | Infrared detector having a directly bonded silicon substrate present on top thereof | L3 CINCINNATI ELECTRONICS CORPORATION |
10978509 | Display panel and manufacturing method thereof | -- |
10978510 | Memory device with density-controllable dummy fill strategy for near-MRAM periphery and far-outside-MRAM logic regions for embedded MRAM technology | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10978511 | Semiconductor device and memory cell | -- |
10978512 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10978513 | Complementary carbon nanotube field effect transistor and manufacturing method thereof | INCHEON UNIVERSITY INDUSTRY ACADEMIC COOPERATION FOUNDATION |
10978514 | Solid-state imaging device, and method of manufacturing solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10978515 | Organic electroluminescent display panel with improved display brightness and fabrication method thereof | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978516 | Organic light-emitting display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10978517 | Display substrate having a filter conversion layer | BOE TECHNOLOGY GROUP CO., LTD. |
10978518 | Display panel | SAMSUNG DISPLAY CO., LTD. |
10978519 | Display apparatus having dummy contact holes | SAMSUNG DISPLAY CO., LTD. |
10978520 | Display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10978521 | Display device | JAPAN DISPLAY INC. |
10978522 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10978523 | Visible light sensor embedded organic light emitting diode display panels and display devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10978524 | Electroluminescent display substrate and manufacturing method thereof, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10978525 | Organic light emitting device, display and mobile communication device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10978526 | Display device, display panel and method of fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10978527 | Display substrate and method of fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978528 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10978529 | Active matrix substrate and method for manufacturing the same | SHARP KABUSHIKI KAISHA |
10978530 | Integration of microdevices into system substrate | VUEREAL INC. |
10978531 | Transparent display substrate, manufacturing method thereof and transparent display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10978532 | Organic light-emitting diode (OLED) display panel and method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978533 | Array substrate structure and display device | -- |
10978534 | Array substrate having parallel capacitors in compensation circuit | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978535 | Pixel circuit, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978536 | Organic light emitting diode display including an anode overlapping a voltage line | SAMSUNG DISPLAY CO., LTD. |
10978537 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10978538 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10978539 | Array substrate having a recessed and light blocking portion and fabrication method thereof, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978540 | Method of manufacturing organic light-emitting display apparatus including multilayer auxiliary electrode | SAMSUNG DISPLAY CO., LTD. |
10978541 | Organic light emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10978542 | Array substrate, fabricating method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978543 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10978544 | Display panel having a touch sensing function and a display device having the same | SAMSUNG DISPLAY CO., LTD. |
10978545 | Display substrate and manufacturing thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD |
10978546 | Display device | SAMSUNG DISPLAY CO., LTD. |
10978552 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978553 | Formation of a capacitor using a hard mask | MICRON TECHNOLOGY, INC. |
10978554 | Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10978558 | Semiconductor device and method for fabricating a semiconductor device | SK HYNIX INC. |
10978563 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978565 | Power transistor device | -- |
10978566 | Middle of line structures | GLOBALFOUNDRIES U.S. INC. |
10978567 | Gate stack treatment for ferroelectric transistors | -- |
10978568 | Passivation of transistor channel region interfaces | INTEL CORPORATION |
10978570 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978573 | Spacer-confined epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978574 | Floating gate prevention and capacitance reduction in semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978581 | Guard rings for cascode gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10978583 | Semiconductor devices having a plurality of unit cell transistors that have smoothed turn-on behavior and improved linearity | CREE, INC. |
10978587 | Semiconductor device | KEY FOUNDRY CO., LTD. |
10978589 | Semiconductor structure and manufacturing method thereof | -- |
10978592 | Systems and methods for forming finFET analog designs having a modular memory-like layout | MARVELL ASIA PTE., LTD. |
10978594 | Transistor comprising a channel placed under shear strain and fabrication process | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10978597 | Sensor | OSRAM OLED GMBH |
10978606 | Avalanche diode and method of manufacturing an avalanche diode | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10978608 | Semiconductor devices with curved-shape silicon germanium structures and optical resonator structures | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10978609 | Display device, method of manufacturing the same and substrate of the same | -- |
10978613 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978615 | Plurality of light emitting devices having opaque insulating layer between them | -- |
10978616 | Micro light emitting element and image display device | SHARP KABUSHIKI KAISHA |
10978621 | Light emitting element, light emitting assembly, display device, and method for making a light emitting assembly | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10978622 | Nitride phosphor and light emitting device and backlight module employing the nitride phosphor | -- |
10978624 | LED light bulb having filament with conductor partly overlapping with LED chip | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10978626 | Display apparatus and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10978627 | Light-emitting element and light-emitting device | STANLEY ELECTRIC CO., LTD. |
10978628 | Device and connection carrier | OSRAM OLED GMBH |
10978636 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10978637 | Method for fabricating electronic device | SK HYNIX INC. |
10978638 | Data storage devices and methods for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978639 | Circuits for reducing RF signal interference and for reducing DC power loss in phase-change material (PCM) RF switches | NEWPORT FAB, LLC |
10978640 | Manufacturing of carbon nanotube thin film transistor backplanes and display integration thereof | ATOM H2O, LLC |
10978644 | Organic compound and organic photoelectric conversion element including the same | CANON KABUSHIKI KAISHA |
10978651 | Method and apparatus for producing flexible OLED device | SAKAI DISPLAY PRODUCTS CORPORATION |
10978652 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978655 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978656 | Organic light emitting display device having layer to control charge transfer | LG DISPLAY CO., LTD. |
10978659 | Inorganic illuminant, light-emitting diode and light-emitting device having thereof | LG DISPLAY CO., LTD. |
10978663 | Electroluminance lighting device | LG DISPLAY CO., LTD. |
10978665 | Display panel and display panel manufacturing method | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978666 | Electroluminescent device having window | SAMSUNG DISPLAY CO., LTD. |
10978667 | Substrate to be encapsulated, encapsulation assembly and display device having the same | BOE TECHNOLOGY GROUP CO., LTD. |
10978668 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10978669 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10978670 | Flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10978671 | Display apparatus having dam members | SAMSUNG DISPLAY CO., LTD. |
10978672 | Display panel and display device including display panel | SAMSUNG DISPLAY CO., LTD. |
10978673 | Display device and organic luminescent display device | SAMSUNG DISPLAY CO., LTD. |
10978674 | Display apparatus with substrate hole | SAMSUNG DISPLAY CO., LTD. |
10978675 | Display device solidified against external impact | SAMSUNG DISPLAY CO., LTD. |
10978676 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
10978677 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10978679 | Method of manufacturing composite film layer and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978681 | Method for manufacturing a display apparatus | SAKAI DISPLAY PRODUCTS CORPORTION |
10978750 | Electrical connector for a battery module | ROBERT BOSCH GMBH |
10978770 | Flexible flat cable comprising conductor layers disposed on opposite sides of a metal isolation layer | -- |
10978789 | Electronic device including high-frequency transmission circuit | SAMSUNG ELECTRONICS CO., LTD. |
10978818 | Electronic device fastener | SK HYNIX INC. |
10978844 | Pogo module and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
10978869 | USB type-C load switch ESD protection | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10978870 | Electrostatic discharge protection device | -- |
10979022 | 5.2 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979042 | DC-coupled high-voltage level shifter | PSEMI CORPORATION |
10979053 | Logic integrated circuit | NANOBRIDGE SEMICONDUCTOR, INC. |
10979062 | Data acquisition system-in-package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10979067 | Image pickup device, image pickup system, and moving apparatus | CANON KABUSHIKI KAISHA |
10979604 | Camera module with imaging unit and light emitter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10979608 | Ghosting proof imaging device and method for manufacturing same | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO.LTD. |
10979621 | Auto-focus image sensor and digital image processing device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10979647 | Imaging device and imaging system | CANON KABUSHIKI KAISHA |
10979658 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10979660 | Imaging apparatus | CANON KABUSHIKI KAISHA |
10979680 | Image sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10979796 | In-ear wireless listening device | APPLE INC. |
10980103 | Cooling of power electronics circuits | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10980104 | Clamping spring design to apply clamping force to SMT power amplifier device | PANASONIC AUTOMOTIVE SYSTEMS COMPANY OF AMERICA, DIVISION OF PANASONIC CORPORATION OF NORTH AMERICA |
10980105 | Carrier with a passive cooling function for a semiconductor component | TDK ELECTRONCIS AG |
10980106 | Apparatus related to conformal coating implemented with surface mount devices | SKYWORKS SOLUTIONS, INC. |
10980107 | Electromagnetic blocking structure, dielectric substrate, and unit cell | KYOCERA CORPORATION |
10980108 | Multi-conductor interconnect structure for a microelectronic device | INTEL CORPORATION |
10980109 | Printed circuit board and switching power supply | ROHM CO., LTD. |
10980110 | Shadow elimination detection method and manufacturing method for a touch substrate, touch substrate and touch device | BOE TECHNOLOGY GROUP CO., LTD. |
10980111 | Circuit board and display device | HKC CORPORATION LIMITED |
10980112 | Multilayer wiring board | MURATA MANUFACTURING CO., LTD. |
10980113 | Circuit board structure incorporated with resin-based conductive adhesive layer | -- |
10980114 | Printed circuit board and method of manufacturing a printed circuit board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10980115 | Flexible harness assembly for surface mounted devices | LITTELFUSE, INC. |
10980116 | Flexible battery matrix for a conformal wearable battery | INVENTUS POWER, INC. |
10980117 | Mid-plane board for coupling multiple circuit frames in a circuit emulator engine | CADENCE DESIGN SYSTEMS, INC. |
10980118 | Flexible circuit film including flexible films facing each other with wirings arranged there between | SAMSUNG DISPLAY CO., LTD. |
10980119 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10980120 | Compact printed circuit board | RAIN BIRD CORPORATION |
10980121 | Printed LED driver circuit | NTHDEGREE TECHNOLOGIES WORLDWIDE INC. |
10980122 | Thin film resistor having surface mounted trimming bridges for incrementally tuning resistance | THE BOEING COMPANY |
10980123 | Electric element | MURATA MANUFACTURING CO., LTD. |
10980124 | Multilayer electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10980125 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10980126 | Apparatus for manufacturing a PCB | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10980127 | Methods for fabricating printed circuit board assemblies with high density via array | TTM TECHNOLOGIES INC. |
10980128 | LED-based UV radiation source machine | -- |
10980129 | Asymmetric electronic substrate and method of manufacture | INTEL CORPORATION |
10980130 | Metal foils with ordered crystal structure and method for producing metal foils | THE CURATORS OF THE UNIVERSITY OF MISSOURI |
10980131 | Chip embedded printed circuit boards and methods of fabrication | NANO DIMENSION TECHNOLOGIES, LTD. |
10980132 | Addressing misalignment of LEDs on a printed circuit board | LUMILEDS LLC |
10980134 | Method for orienting solder balls on a BGA device | INTEL CORPORATION |
10980135 | Insulated socket body and terminals for a land grid array socket assembly | -- |
10980136 | Multi-layered printed circuit board | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10980137 | Display apparatus and portable terminal | SAMSUNG DISPLAY CO., LTD. |
10980138 | Memory card and memory card socket | SAMSUNG ELECTRONICS CO., LTD. |
10980465 | Sensor assembly | SHENZHEN MINDRAY BIO-MEDICAL ELECTRONICS CO., LTD. |
10980512 | Ultrasonic device unit, ultrasonic probe, and ultrasonic apparatus | SEIKO EPSON CORPORATION |
10980513 | Ultrasonic device unit, ultrasonic probe, and ultrasonic apparatus | SEIKO EPSON CORPORATION |
10980514 | Ultrasonic device unit, ultrasonic probe, and ultrasonic apparatus | SEIKO EPSON CORPORATION |
10981301 | Mold for wire grid polarizer and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10982053 | Polymer containing silphenylene and polyether structures | SHIN-ETSU CHEMICAL CO., LTD. |
10982060 | Glass-free dielectric layers for printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10982061 | Photosensitive resin composition and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10982093 | Low-dielectric resin composition | ARISAWA MFG. CO., LTD. |
10982109 | Two-component printable conductive composition | PRINTCB LTD. |
10982316 | Vapor deposition mask, frame-equipped vapor deposition mask, vapor deposition mask preparation body, vapor deposition pattern forming method, method for producing organic semiconductor element, and method for producing organic EL display | DAI NIPPON PRINTING CO., LTD. |
10982328 | Method for formation of electro-conductive traces on polymeric article surface | VALSTYBINIS MOKSLINIU TYRIMU INSTITUTAS FIZINIU IR TECHNOLOGIJOS MOKSLU CENTRAS |
10982815 | Lighting device and LED circuit board with a center opening with a protrusion | LG INNOTEK CO., LTD. |
10982822 | LED tube lamp | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10982823 | Automotive led light source with glass lens over a glass converter plate containing phosphor | BRIDGELUX, INC. |
10982997 | Radiation sensor with anti-glare protection | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10983213 | Non-uniform separation of detector array elements in a lidar system | LUMINAR HOLDCO, LLC |
10983226 | Electronic devices and related methods | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10983256 | Privacy films for curved displays | APPLE INC. |
10983275 | Method and apparatus for optical waveguide-to-semiconductor coupling for integrated photonic circuits | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
10983285 | Managed fiber connectivity systems | COMMSCOPE TECHNOLOGIES LLC |
10983339 | Solid-state imaging element, pupil correction method for solid-state imaging element, imaging device, and information processing device | SONY CORPORATION |
10983372 | Fast-switching electro-optic modulators and method of making the same | REDLEN TECHNOLOGIES, INC. |
10983388 | Display device | LG DISPLAY CO., LTD. |
10983404 | Display device | SAMSUNG DISPLAY CO., LTD. |
10983407 | Display device having an oxide semiconductor transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10983434 | Photoresist composition for deep ultraviolet light patterning method and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10983436 | Negative-type photosensitive resin composition, cured film, display device provided with cured film, and production method therefor | TORAY INDUSTRIES, INC. |
10983558 | Biometric sensor and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10983562 | Flexible display device | LG DISPLAY CO., LTD. |
10983563 | Flexible display module and electronic device comprising the same | LG DISPLAY CO., LTD. |
10983564 | Flexible display panel and display apparatus including the flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10983615 | Patterning of a composition comprising silver nanowires | HERAEUS DEUTSCHLAND GMBH & CO. KG |
10983618 | Display substrate and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983626 | Electronic devices with display and touch sensor structures | APPLE INC. |
10983634 | Touch array substrate and touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983639 | Electroluminescent display including touch sensor | LG DISPLAY CO., LTD. |
10983646 | Pressure detectable touch input device including display module | HIDEEP INC. |
10983647 | Method for manufacturing circuit board | SHARP KABUSHIKI KAISHA |
10983884 | Method and non-volatile memory device for repairing defective strings in units of string selection lines | SAMSUNG ELECTRONICS CO., LTD. |
10984141 | Self-powering tamper detection and response system architecture | HAMILTON SUNDSTRAND CORPORATION |
10984208 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10984212 | Fingerprint detection device | -- |
10984516 | Image inspection device and illumination device | OMRON CORPORATION |
10984686 | Display device and method for driving display device | SHARP KABUSHIKI KAISHA |
10984703 | Semiconductor device, display system which corrects image data, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984704 | Display device | -- |
10984705 | Colour ILED display on silicon | FACEBOOK TECHNOLOGIES, LLC |
10984709 | Display panel | -- |
10984715 | Display device | SAMSUNG DISPLAY CO., LTD. |
10984716 | Active-matrix display device | JOLED INC. |
10984717 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10984721 | Pixel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10984724 | Pixel compensation circuit and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10984725 | Display panel, method for manufacturing the same, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
10984743 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984855 | Methods and systems to selectively boost an operating voltage of, and controls to an 8T bit-cell array and/or other logic blocks | INTEL CORPORATION |
10984857 | Superconductive memory cells and devices | PSIQUANTUM CORP. |
10984862 | Three-dimensional memory device with embedded dynamic random-access memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10984864 | Methods and apparatus for pattern matching in a memory containing sets of memory elements | MICRON TECHNOLOGY, INC. |
10984866 | Non-volatile memory device utilizing dummy memory block as pool capacitor | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10984873 | Memory device for stabilizing internal voltage and method of stabilizing internal voltage of the same | SAMSUNG ELECTRONICS CO., LTD. |
10984874 | Differential dbus scheme for low-latency random read for NAND memories | SANDISK TECHNOLOGIES LLC |
10984949 | Resin molded substrate and mounting structure for capacitor | FANUC CORPORATION |
10984950 | Method of manufacturing electronic device and the same | TDK CORPORATION |
10984957 | Printed circuit board embedded capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10984966 | Configurable micro-electro-mechanical systems (MEMS) transfer switch and methods | LOCKHEED MARTIN CORPORATION |
10984972 | Method and apparatus for a printed circuit board | GE AVIATION SYSTEMS LIMITED |
10985011 | Structure and formation method of semiconductor device with resistive elements | -- |
10985013 | Method and precursors for manufacturing 3D devices | VERSUM MATERIALS US, LLC |
10985019 | Method of forming a semiconductor device using layered etching and repairing of damaged portions | -- |
10985020 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | -- |
10985031 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10985046 | Micro-LED transfer methods using light-based debonding | VEECO INSTRUMENTS INC. |
10985049 | Manufacturing method of smoothing a semiconductor surface | -- |
10985053 | Contact plugs and methods of forming same | -- |
10985063 | Semiconductor device with local connection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985064 | Buried power and ground in stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985068 | Organic light emitting diode display device and method of fabricating the same | LG DISPLAY CO., LTD. |
10985069 | Gate stack optimization for wide and narrow nanosheet transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985070 | Method for forming nano sensing chip by selective deposition of sensing materials through device-localized Joule heating and nano sensing chip thereof | -- |
10985072 | Etch profile control of polysilicon structures of semiconductor devices | -- |
10985073 | Vertical field effect transistor replacement metal gate fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985074 | Method of manufacturing a CMOS transistor | KEY FOUNDRY CO., LTD |
10985075 | Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985076 | Single metallization scheme for gate, source, and drain contact integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985080 | Electronic package that includes lamination layer | INTEL CORPORATION |
10985081 | Semiconductor device and electronic apparatus | SONY CORPORATION |
10985082 | Apparatus for efficient high-frequency communications | AKASH SYSTEMS, INC. |
10985084 | Integrated III-V device and driver device packages with improved heat removal and methods for fabricating the same | GLOBALFOUNDRIES U.S. INC. |
10985085 | Semiconductor device package and method for manufacturing the same | -- |
10985088 | System comprising at least one power module comprising at least one power die that is cooled by liquid cooled system | MITSUBISHI ELECTRIC CORPORATION |
10985092 | Semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10985100 | Chip package with recessed interposer substrate | -- |
10985101 | Semiconductor package and manufacturing method thereof | -- |
10985102 | Semiconductor device, fabrication method for a semiconductor device and electronic apparatus | SONY CORPORATION |
10985104 | Semiconductor device having electrode pad and electrode layer intervening semiconductor layer inbetween and manufacturing method thereof | KABUSHIKI KAISHA TOSHIBA |
10985106 | Stack packages including bridge dies | SK HYNIX INC. |
10985107 | Systems and methods for forming die sets with die-to-die routing and metallic seals | APPLE INC. |
10985110 | Semiconductor package having an electromagnetic shielding structure and method for producing the same | INFINEON TECHNOLOGIES AG |
10985112 | Memory device and method for fabricating the memory device | SK HYNIX INC. |
10985113 | Display substrate, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985115 | Semiconductor package and manufacturing method thereof | -- |
10985119 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10985123 | Semiconductor apparatus | MURATA MANUFACTURING CO., LTD. |
10985126 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985131 | Microelectronic device having protected connections and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
10985133 | Die processing | INVENSAS BONDING TECHNOLOGIES, INC. |
10985136 | Microelectronic die stack having at least one rotated microelectronic die | INTEL CORPORATION |
10985137 | Stacked integrated circuit structure and method of forming | -- |
10985138 | Semiconductor package having a plurality of chips and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10985139 | Semiconductor chip for sensing temperature and semiconductor system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10985140 | Structure and formation method of package structure with underfill | -- |
10985141 | Semiconductor device having stacked chips | TOSHIBA MEMORY CORPORATION |
10985142 | Multi-deck three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10985143 | Micro assembled LED displays and lighting elements | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10985144 | Light emitting apparatus | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10985145 | Light source module | -- |
10985146 | Semiconductor device with integrated heat distribution and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10985147 | Capacitors embedded in stiffeners for small form-factor and methods of assembling same | INTEL CORPORATION |
10985148 | Electronic device | -- |
10985149 | Semiconductor device package and method of manufacturing the same | OMNIVISION TECHNOLOGIES, INC |
10985150 | Display devices and methods for forming the same | -- |
10985151 | Semiconductor package and method for preparing the same | -- |
10985152 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985153 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10985154 | Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits | -- |
10985155 | Embedded N-channel metal oxide semiconductor (nmos) triggered silicon controlled rectification device | -- |
10985156 | Electrostatic discharge clamp with reduced off-state power consumption | MARVELL ASIA PTE., LTD. |
10985157 | Electrostatic discharge protection device and layout design thereof | -- |
10985158 | Semiconductor device with transistor portion having low injection region on the bottom of a substrate | FUJI ELECTRIC CO., LTD. |
10985159 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10985160 | Semiconductor structures and methods of forming the same | -- |
10985161 | Single diffusion break isolation for gate-all-around field-effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985162 | System for accurate multiple level gain cells | -- |
10985163 | Semiconductor capacitor structure | -- |
10985164 | Semiconductor device with nanowire contact and method for fabricating the same | -- |
10985165 | Methods of forming microelectronic devices | MICRON TECHNOLOGY, INC. |
10985166 | Method of forming a memory device | -- |
10985167 | Flexible merge scheme for source/drain epitaxy regions | -- |
10985168 | Semiconductor memory device | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
10985169 | Three-dimensional device with bonded structures including a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
10985170 | Non-volatile memory device and method for fabricating the same | SK HYNIX INC. |
10985171 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
10985172 | Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same | SANDISK TECHNOLOGIES LLC |
10985173 | Non-volatile storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10985174 | Semiconductor device | SK HYNIX INC. |
10985175 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10985176 | Three-dimensional memory device containing eye-shaped contact via structures located in laterally-undulating trenches and method of making the same | SANDISK TECHNOLOGIES LLC |
10985177 | Method of manufacturing a semiconductor device having non-overlapping slits at one side of the channel layers of a memory block | SK HYNIX INC. |
10985178 | Semiconductor memory device and method of manufacturing semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10985179 | Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias | MICRON TECHNOLOGY, INC. |
10985180 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
10985181 | Semiconductor device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
10985182 | Methods for forming three-dimensional memory device without conductor residual caused by dishing | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10985183 | Butted body contact for SOI transistor | PSEMI CORPORATION |
10985184 | Fins for metal oxide semiconductor device structures | INTEL CORPORATION |
10985185 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10985186 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985187 | Display panel and fabrication method, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10985189 | Contact structure and display device including the same | LG DISPLAY CO., LTD. |
10985190 | Active device substrate and fabricating method thereof | -- |
10985191 | Display panel and display device | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
10985192 | Display driver semiconductor device and manufacturing method thereof | KEY FOUNDRY., LTD. |
10985193 | Display panel | -- |
10985194 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10985195 | Array substrates and methods for manufacturing thereof and display screens | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10985196 | Thin film transistor substrate with intermediate insulating layer and display using the same | LG DISPLAY CO., LTD. |
10985197 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10985198 | Pixel isolation elements, devices and associated methods | SIONYX, LLC |
10985199 | Image sensor having stress releasing structure and method of forming same | -- |
10985200 | Method for producing an image sensor, and an image sensor | IMEC VZW |
10985201 | Image sensor including silicon over germanium layer | -- |
10985202 | Solid-state imaging apparatus, electronic device, and driving method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10985203 | Sensors for simultaneous passive imaging and range finding | SENSORS UNLIMITED, INC. |
10985204 | Structures, systems and methods for electrical charge transport across bonded interfaces | G-RAY SWITZERLAND SA |
10985205 | Display panel and method for manufacturing display panel | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10985206 | Chip-scale package light emitting diode | SEOUL VIOSYS CO., LTD. |
10985208 | Display device and method of fabricating the same | LG DISPLAY CO., LTD. |
10985209 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION |
10985210 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION |
10985211 | Embedded MRAM structure and method of fabricating the same | -- |
10985212 | Multi-component cell architectures for a memory device | MICRON TECHNOLOGY, INC. |
10985213 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
10985214 | Flexible display substrate for foldable display apparatus, method of manufacturing flexible display substrate, and foldable display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10985216 | Display apparatus and imaging apparatus | CANON KABUSHIKI KAISHA |
10985217 | High resolution organic light-emitting diode devices, displays, and related methods | KATEEVA, INC. |
10985218 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10985219 | Display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10985220 | Light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10985221 | Display device | LG DISPLAY CO., LTD. |
10985222 | Electroluminescent display panel, method for manufacturing the same, and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10985223 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10985224 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10985225 | Organic light-emitting diode display substrate and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10985226 | Ink jet printing organic light emitting diode display panel and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985227 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10985228 | Flexible display panel with reinforced insulating layer, method of manufacturing flexible display panel, and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10985229 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10985230 | Organic light emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10985231 | Display device | -- |
10985232 | Display device included a folded-back display panel portion for a driver | JAPAN DISPLAY INC. |
10985233 | Display device | SAMSUNG DISPLAY CO., LTD. |
10985234 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10985235 | Display device | SAMSUNG DISPLAY CO., LTD. |
10985237 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10985240 | Semiconductor device having diode devices with different barrier heights and manufacturing method thereof | -- |
10985244 | N-well resistor | GLOBALFOUNDRIES U.S. INC. |
10985251 | Apparatuses including memory cells with gaps comprising low dielectric constant materials | MICRON TECHNOLOGY, INC. |
10985252 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10985257 | Vertical transport fin field effect transistor with asymmetric channel profile | ELPIS TECHNOLOGIES INC. |
10985260 | Trench silicide contacts with high selectivity process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985262 | Semiconductor structure and manufacturing method thereof | -- |
10985264 | Semiconductor device and method for fabricating the same | -- |
10985266 | Method of gap filling for semiconductor device | -- |
10985267 | Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10985269 | Two-dimensional electron gas (2DEG)-confined devices and methods | STMICROELECTRONICS S.R.L. |
10985272 | Integrated circuit devices including vertical field-effect transistors | SAMSUNG ELECTRONICS CO., LTD. |
10985277 | Method for forming semiconductor device structure | -- |
10985281 | Transistor, thin film transistor array panel, and related manufacturing method | SAMSUNG DISPLAY CO., LTD. |
10985282 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985283 | Semiconductor device having an oxide layer with a concentration gradient of oxygen and an insulating layer with excess oxygen | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985286 | Power generation module and wiring substrate | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10985287 | Method of manufacturing printed photovoltaic modules | ARMOR |
10985291 | Radiation-hard high-speed photodiode device | AMS INTERNATIONAL AG |
10985296 | Quantum dot based color conversion layer in display devices | NANOSYS, INC. |
10985301 | Light-emitting device | -- |
10985302 | Pick-and-remove system with deformable contact surface | ELUX, INC. |
10985303 | Method of making an LED device | LUMILEDS LLC |
10985304 | Highly reliable light emitting diode | SEOUL VIOSYS CO., LTD. |
10985305 | Light emitting element mounting substrate, light emitting device, and light emitting module | KYOCERA CORPORATION |
10985312 | Methods of fabricating magneto-resistive random-access memory (MRAM) devices with self-aligned top electrode via and structures formed thereby | -- |
10985314 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10985315 | Resistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985316 | Bottom electrode structure in memory device | -- |
10985317 | Device for selecting a memory cell | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10985323 | Light-emitting device including a plurality of organic electroluminescent elements | CANON KABUSHIKI KAISHA |
10985328 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10985332 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10985333 | Flexible display apparatus and rollable display apparatus comprising the same | LG DISPLAY CO., LTD. |
10985334 | Module structures and flexible display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10985335 | Flexible display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985339 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10985342 | Display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10985343 | Display panel and manufacturing method of display panel and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985345 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10985346 | OLED display device and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985347 | Display apparatus | LG DISPLAY CO., LTD. |
10985348 | Display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10985349 | Hot-pressing buffer substrate, display device, hot-pressing process and method for reducing x-line bright line | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985357 | Battery wiring module | AUTONETWORKS TECHNOLOGIES, LTD. |
10985417 | Battery including heat dissipation plate and electronic device having the same | SAMSUNG ELECTRONICS CO., LTD. |
10985451 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10985455 | EBG structure, EBG component, and antenna device | THE ANTENNA COMPANY INTERNATIONAL N.V. |
10985471 | Radar device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10985484 | Electronic conductive interconnection for bridging across irregular areas in a textile product | FLEX LTD. |
10985537 | Power overlay architecture | GE AVIATION SYSTEMS LLC |
10985634 | Inverter-integrated rotating electric machine | MITSUBISHI ELECTRIC CORPORATION |
10985636 | Semiconductor device | DENSO CORPORATION |
10985669 | Phase module for a power converter | SIEMENS AKTIENGESELLSCHAFT |
10985719 | Electronic module and power module | MITSUBISHI ELECTRIC CORPORATION |
10985760 | Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells | -- |
10986005 | Technologies for dynamically managing resources in disaggregated accelerators | INTEL CORPORATION |
10986258 | Camera module, molded circuit board assembly, molded photosensitive assembly and manufacturing method thereof | NINGBO SUNNY OPOTECH CO., LTD. |
10986260 | Selfie stick and method for controlling photographic device by selfie stick | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
10986281 | Pinhole camera, electronic apparatus and manufacturing method | SONY CORPORATION |
10986291 | Solid-state image pickup device and control method of solid-state image pickup device | KABUSHIKI KAISHA TOSHIBA |
10986292 | Solid-state image pickup device and electronic apparatus to increase yield | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10986293 | Solid-state imaging device including microlenses on a substrate and method of manufacturing the same | TOPPAN PRINTING CO., LTD. |
10986294 | Wide field of view optical module for linear sensor | SIX DEGREES SPACE LTD |
10986295 | Image sensor, imaging apparatus and live body imaging apparatus | SONY CORPORATION |
10986296 | Solid-state image pickup device and control method thereof | SONY CORPORATION |
10986304 | Display device | JOLED INC. |
10986316 | System and method for high dynamic range image sensing | CISTA SYSTEM CORP. |
10986698 | Apparatus for providing transient thermal profile processing on a moving substrate | NCC NANO, LLC |
10986722 | High performance heat sink for double sided printed circuit boards | GOODRICH CORPORATION |
10986723 | Heat sink tray for printed circuit boards | INGERSOLL-RAND INDUSTRIAL U.S., INC. |
10986725 | Light-emitting module and display device | -- |
10986726 | Flexible circuit board for all-in-one chip on film, chip package including same, and electronic device including same | LG INNOTEK CO., LTD. |
10986727 | Method and apparatus for fabricating a stretchable electronic element | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10986728 | Multilayer board | MURATA MANUFACTURING CO., LTD. |
10986729 | Wiring substrate | IBIDEN CO., LTD. |
10986730 | Techniques for routing electrical signals through electrical components and related methods | MICROSEMI SEMICONDUCTOR ULC |
10986731 | Display device | SAMSUNG DISPLAY CO., LTD. |
10986732 | Laminated circuit board, and electronic component | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10986733 | Method for manufacturing an electromechanical structure | TACTOTEK OY |
10986734 | Method for manufacturing an electromechanical structure and an arrangement for carrying out the method | TACTOTEK OY |
10986735 | Method for manufacturing an electromechanical structure and an arrangement for carrying out the method | TACTOTEK OY |
10986736 | Workpiece transfer and printing | ASM ASSEMBLY SYSTEMS SINGAPORE PTE. LTD. |
10986737 | Method of restricting micro device on conductive pad | MIKRO MESA TECHNOLOGY CO., LTD. |
10986738 | Carbon-based direct plating process | MACDERMID ENTHONE INC. |
10986739 | Method of making printed circuit board structure including a closed cavity | SKYWORKS SOLUTIONS, INC. |
10986743 | Expansion card interface for high-frequency signals | -- |
10986744 | Window substrate and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10986940 | Modular point-of-purchase (POP) display | XEROX CORPORATION |
10986986 | Solid-state image pickup element, image pickup apparatus, and method of controlling solid-state image pickup element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10987421 | Ramp signal generator of image sensor, and image sensor including same | SAMSUNG ELECTRONICS CO., LTD. |
10987509 | Systems including neuromuscular stimulation cuff | BATTELLE MEMORIAL INSTITUTE |
10987911 | Metal-clad laminate sheet manufacturing method, and metal-clad laminate sheet using the same | KURARAY CO., LTD. |
10988376 | Monolithic integration of piezoelectric micromachined ultrasonic transducers and CMOS and method for producing the same | VANGUARD INTERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD. |
10988608 | Resin composition, resin film, method of manufacturing resin film, optical filter, solid-state imaging element, image display device, and infrared sensor | FUJIFILM CORPORATION |
10989366 | Backlight module, and display device using backlight module | -- |
10989376 | Assembling of strip of micro light emitting diodes onto backplane | FACEBOOK TECHNOLOGIES, LLC |
10989382 | Aircraft lighting device having optical element magnetically coupled to LED circuit board | GOODRICH LIGHTING SYSTEMS GMBH |
10989396 | Illumination device | -- |
10989399 | Electroluminescent lighting device having touch sensor | LG DISPLAY CO., LTD. |
10989402 | Fluorescent glue for LED lighting bar and LED bulb lamp using the LED lighting bar | SHENZHEN FENGGONG CULTURE COMMUNICATION CO., LTD. |
10989590 | Integrated bound-mode spectral/angular sensors | UNM RAINFOREST INNOVATIONS |
10989613 | Pressure sensor enhancing variation percentages under high pressures | -- |
10989742 | Magnetic current sensor | INFINEON TECHNOLOGIES AUSTRIA AG |
10989846 | Near infrared absorbing composition, near infrared cut filter, method of manufacturing near infrared cut filter, solid image pickup element, camera module, and image display device | FUJIFILM CORPORATION |
10989847 | Optical module and optical device using same | SAMSUNG ELECTRONICS CO., LTD. |
10989887 | Photonic integrated circuit package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10989948 | Method for manufacturing active matrix substrate and method for manufacturing liquid crystal display device with touch sensor | SHARP KABUSHIKI KAISHA |
10989952 | Display device | JAPAN DISPLAY INC. |
10989955 | Liquid crystal display device | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10989969 | Display panel and manufacturing method thereof | HKC CORPORATION LIMITED |
10989971 | Array substrate and maintenance method therefor, and display apparatus | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10989973 | Display panel and 3D printing device | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
10989974 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10989979 | Low power semi-reflective display | NOVASOLIX, INC. |
10990077 | Electronic virtual layer | SYNOPSYS, INC. |
10990205 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10990206 | Display panel and touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10990210 | Touch control display, method for controlling touch control display and storage medium | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10990213 | Display device | SAMSUNG DISPLAY CO., LTD. |
10990233 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10990722 | FinFET cell architecture with insulator structure | SYNOPSYS, INC. |
10990740 | Integrated circuits including standard cells and methods of manufacturing the integrated circuits | SAMSUNG ELECTRONICS CO., LTD. |
10990742 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10990781 | Exposure method, electronic device and master-slave system | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10990790 | Display device | -- |
10990792 | Electronic device including biometric sensor | SAMSUNG ELECTRONICS CO., LTD. |
10991276 | Curved surface adjustment mechanism and backlight module | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991308 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991310 | Driving circuit and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991406 | Method, system and device for magnetic memory | ARM LIMITED |
10991407 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10991408 | Magnetic random access memory structure and manufacturing method of the same | -- |
10991410 | Bi-polar write scheme | SPIN MEMORY, INC. |
10991415 | Semiconductor device performing implicit precharge operation | MICRON TECHNOLOGY, INC. |
10991423 | Flying and twisted bit line architecture for dual-port static random-access memory (DP SRAM) | -- |
10991425 | Access line grain modulation in a memory device | MICRON TECHNOLOGY, INC. |
10991429 | Word line decoder circuitry under a three-dimensional memory array | SANDISK TECHNOLOGIES LLC |
10991431 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10991435 | Vertical flash memory cell with selector for fast read | INTEL CORPORATION |
10991438 | Method and memory used for reducing program disturbance by adjusting voltage of dummy word line | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10991442 | Memory device with a fuse protection circuit | -- |
10991513 | Composite electronic component, composite electronic component package, circuit board, and method of producing a composite electronic component | TAIYO YUDEN CO., LTD. |
10991564 | Mass spectrometry probes and systems for ionizing a sample | PURDUE RESEARCH FOUNDATION |
10991580 | Laser crystallizing apparatus | SAMSUNG DISPLAY CO., LTD. |
10991583 | Self aligned litho etch process patterning method | -- |
10991597 | Method of fabricating a semiconductor device using an adhesive layer | SAMSUNG ELECTRONICS CO., LTD. |
10991608 | Substrate coating apparatus for floating substrate and method | TOKYO ELECTRON LIMITED |
10991620 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991626 | Method for controlling transistor delay of nanowire or nanosheet transistor devices | TOKYO ELECTRON LIMITED |
10991627 | Methods for forming fin field-effect transistors | -- |
10991628 | Etch stop layer between substrate and isolation structure | -- |
10991630 | Semiconductor device and method | -- |
10991631 | High performance SiGe heterojunction bipolar transistors built on thin-film silicon-on-insulator substrates for radio frequency applications | NEWPORT FAB, LLC |
10991632 | Assembly process for circuit carrier and circuit carrier | AB MIKROELEKTRONIK GESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
10991635 | Multiple chip bridge connector | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991637 | Wafer-level chip-scale package including power semiconductor and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
10991638 | Semiconductor package system | SAMSUNG ELECTRONICS CO., LTD. |
10991639 | Compliant Pin Fin heat sink with base integral pins | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991640 | Semiconductor packages including bridge die | SK HYNIX INC. |
10991644 | Integrated circuit package having a low profile | ALLEGRO MICROSYSTEMS, LLC |
10991646 | Flexible circuit board for display | SILICON WORKS CO., LTD. |
10991647 | Printed circuit board and package structure having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991650 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10991653 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10991654 | Inductive connection structure for use in an integrated circuit | STMICROELECTRONICS S.R.L. |
10991655 | E-fuse and manufacturing method thereof, and memory cell | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10991656 | Semiconductor device package | -- |
10991657 | Method for fabricating semiconductor device | -- |
10991661 | Radio-frequency isolation using backside cavities | SKYWORKS SOLUTIONS, INC. |
10991662 | Isolation cavities in semiconductor devices | SKYWORKS SOLUTIONS, INC. |
10991663 | Semiconductor device including dummy conductive cells | -- |
10991666 | Location displacement detection method, location displacement detection device, and display device | SHARP KABUSHIKI KAISHA |
10991667 | Isolation structure for bond pad structure | -- |
10991669 | Semiconductor package using flip-chip technology | -- |
10991670 | Semiconductor device assemblies including spacer with embedded semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10991673 | Electronic device | KABUSHIKI KAISHA TOSHIBA |
10991674 | Electronic assembly and electronic system with impedance matched interconnect structures | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10991675 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10991676 | Systems and methods for flash stacking | INVENSAS CORPORATION |
10991677 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10991678 | Semiconductor device and method for manufacturing semiconductor device | LG CHEM, LTD. |
10991679 | Stair-stacked dice device in a system in package, and methods of making same | INTEL CORPORATION |
10991680 | Common source land grid array package | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN), LTD. |
10991681 | Three-dimensional package structure | -- |
10991682 | Electronic device | -- |
10991683 | Method of producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
10991684 | 3D stacked integrated circuits having functional blocks configured to provide redundancy sites | MICRON TECHNOLOGY, INC. |
10991685 | Assembling of chips by stacking with rotation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991686 | Super CMOS devices on a microelectronics system | SCHOTTKY LSI, INC. |
10991687 | FinFET varactor with low threshold voltage and method of making the same | -- |
10991688 | Semiconductor device and manufacturing method thereof | -- |
10991689 | Additional spacer for self-aligned contact for only high voltage FinFETs | GLOBALFOUNDRIES U.S. INC. |
10991690 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10991691 | Semiconductor device having fins and an isolation region | -- |
10991692 | Semiconductor device having a plurality of fins and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10991693 | Boundary region for high-k-metal-gate (HKMG) integration technology | -- |
10991694 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991695 | Method for manufacturing semiconductor device | -- |
10991696 | Vertically stacked devices with self-aligned regions formed by direct self assembly (DSA) processing | INTEL CORPORATION |
10991697 | NAND string utilizing floating body memory cell | ZENO SEMICONDUCTOR, INC. |
10991698 | Method of operating semiconductor memory device with floating body transistor using silicon controlled rectifier principle | ZENO SEMICONDUCTOR, INC. |
10991699 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991700 | Methods of forming semiconductor devices using aspect ratio dependent etching effects, and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. |
10991701 | Multi-component conductive structures for semiconductor devices | MICRON TECHNOLOGY, INC. |
10991702 | Semiconductor device and method of preparing the same | -- |
10991703 | Semiconductor device | ROHM CO., LTD. |
10991704 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10991705 | Three-dimensional memory device having enhanced contact between polycrystalline channel and epitaxial pedestal structure and method of making the same | SANDISK TECHNOLOGIES LLC |
10991706 | Three-dimensional memory device having enhanced contact between polycrystalline channel and epitaxial pedestal structure and method of making the same | SANDISK TECHNOLOGIES LLC |
10991707 | Semiconductor device and method for fabricating semiconductor device | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
10991708 | Semiconductor device for preventing an increase in resistance difference of an electrode layer | TOSHIBA MEMORY CORPORATION |
10991709 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10991710 | Non-volatile memory device with vertical state transistor and vertical selection transistor | STMICROELECTRONICS (ROUSSET) SAS |
10991711 | Stacked-nanosheet semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991712 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10991713 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10991714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10991715 | Semiconductor memory device and method of manufacturing semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10991716 | Semiconductor device having a vertical channel layer with an impurity region surrounding a dielectric core | SK HYNIX INC. |
10991717 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991718 | Three-dimensional memory device containing a vertical semiconductor channel containing a connection strap and method of making the same | SANDISK TECHNOLOGIES LLC |
10991719 | Semiconductor memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10991720 | Stacked type semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10991721 | Three-dimensional memory device including liner free molybdenum word lines and methods of making the same | SANDISK TECHNOLOGIES LLC |
10991722 | Ultra low parasitic inductance integrated cascode GaN devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991723 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991724 | CMOS transistor and method for fabricating the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991725 | Active matrix substrate and method for producing same | SHARP KABUSHIKI KAISHA |
10991726 | Pixel array substrate | -- |
10991727 | Light emitting display device | LG DISPLAY CO., LTD. |
10991728 | Display panel | -- |
10991729 | Active matrix substrate, optical shutter substrate, display device, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
10991730 | Active matrix substrate | SHARP KABUSHIKI KAISHA |
10991731 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10991732 | Device including element having rectification characteristics and thin film transistor | TIANMA JAPAN, LTD. |
10991733 | Image sensor and method for manufacturing the same | -- |
10991734 | Imaging device and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991735 | Optical detection pixel unit, optical detection circuit, optical detection method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991736 | Method of producing solid-state imaging device having color filters, solid-state imaging device having color filters, method of producing color filter device comprising color filters, and color filter device comprising color filters | TOPPAN PRINTING CO., LTD. |
10991737 | Solid-state imaging device and image sensor for suppressing or preventing leaking of light into adjoining pixels | MITSUBISHI ELECTRIC CORPORATION |
10991738 | Method for producing curved electronic circuits | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10991739 | Narrow band filter with high transmission | -- |
10991740 | Narrow band filter with high transmission | -- |
10991741 | Photoelectric conversion apparatus and equipment | CANON KABUSHIKI KAISHA |
10991742 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10991743 | Solid state image pickup device and production method, semiconductor wafer, and electronic apparatus | SONY CORPORATION |
10991744 | Image sensors comprising arrays of photosensitive elements separated by a non-photosensitive intervening region | ALENTIC MICROSCIENCE INC. |
10991745 | Semiconductor device, method of manufacturing the same, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991746 | High performance image sensor | -- |
10991747 | Image sensor | -- |
10991748 | 3D image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10991749 | Structure, composition for forming near-infrared transmitting filter layer, and optical sensor | FUJIFILM CORPORATION |
10991750 | Active matrix substrate and imaging panel with same | SHARP KABUSHIKI KAISHA |
10991751 | Print sensor with gallium nitride LED | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10991752 | Vertically integrated image sensor chips and methods for forming the same | -- |
10991753 | Imaging device and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991754 | Display device with stacking design of sensing element | -- |
10991755 | Systems and methods for fabrication of superconducting integrated circuits | D-WAVE SYSTEMS INC. |
10991756 | Bipolar selector with independently tunable threshold voltages | -- |
10991757 | Magnetoresistive random access memory | -- |
10991758 | Semiconductor structure | -- |
10991759 | Methods of forming vertical field-effect transistor with selfaligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby | MICRON TECHNOLOGY, INC. |
10991760 | Memory device having PUC structure | SK HYNIX INC. |
10991761 | Three-dimensional cross-point memory device containing inter-level connection structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10991762 | Memory unit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991763 | Vertical array of resistive switching devices having restricted filament regions and tunable top electrode volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991764 | Photodetector array | SIGNTLE INC. |
10991765 | Optical stack for improved color uniformity in OLED display | 3M INNOVATIVE PROPERTIES COMPANY |
10991766 | Electronic device | -- |
10991767 | Display panel and display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
10991768 | Pixel arrangement, manufacturing method thereof, display panel, display device, and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10991769 | Display apparatus having a color filter layer | SAMSUNG DISPLAY CO., LTD. |
10991770 | Display device with display portion, light sensing portion, and connection bending portion | SAMSUNG DISPLAY CO., LTD. |
10991771 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991772 | Touch display panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991773 | Display panel with light-emitting functional units, display device and method for manufacturing display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
10991774 | Display device and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10991775 | Display substrate, fabrication method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10991776 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991777 | Pixel having an organic light emitting diode and method of fabricating the pixel | IGNIS INNOVATION INC. |
10991778 | Organic EL display apparatus and manufacturing method therefor | SAKAI DISPLAY PRODUCTS CORPORATION |
10991779 | Electro-optical apparatus, manufacturing method for electro-optical apparatus, and electronic device | SEIKO EPSON CORPORATION |
10991780 | Array substrate and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10991781 | Display device configured to switch between single-sided and double-sided display | SHARP KABUSHIKI KAISHA |
10991782 | Display device | LG DISPLAY CO., LTD. |
10991783 | Scan driver and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10991784 | Transistor display panel including lower electrode disposed under semiconductor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10991785 | Double-sided display panel, fabricating method of same, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991786 | Signal control unit for an organic light emitting diode display device | DB HITEK CO., LTD. |
10991787 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991788 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10991789 | Foldable display device having plurality of signal lines connected to non-foldable display regions | BOE TECHNOLOGY GROUP CO., LTD. |
10991790 | Substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10991791 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991792 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10991793 | Double-sided capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10991799 | Silicon and silicon germanium nanowire structures | SONY CORPORATION |
10991801 | Semiconductor device with improved current flow distribution | FUJI ELECTRIC CO., LTD. |
10991805 | Semiconductor device and method of manufacture | -- |
10991808 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991811 | Structure and formation method of semiconductor device structure with nanowires | -- |
10991822 | Silicon carbide semiconductor device having a conductive layer formed above a bottom surface of a well region so as not to be in ohmic connection with the well region and power converter including the same | MITSUBISHI ELECTRIC CORPORATION |
10991823 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991824 | Semiconductor device | -- |
10991825 | Semiconductor device including non-active fins and separation regions | SAMSUNG ELECTRONICS CO., LTD. |
10991826 | Semiconductor device and methods of forming same | -- |
10991827 | Structure of oxide thin film transistor | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991841 | Perovskite solar cell and tandem solar cell | -- |
10991845 | Method for producing an optoelectronic semiconductor component and optoelectronic semiconductor component | OSRAM OLED GMBH |
10991846 | Method of manufacturing micro light-emitting element array, transfer carrier, and micro light-emitting element array | -- |
10991848 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10991852 | Transparent light-emitting display film, method of manufacturing the same, and transparent light-emitting signage using the same | JMICRO INC. |
10991856 | LED with structured layers and nanophosphors | LUMILEDS LLC |
10991857 | Method of fabricating light emitting device package | SAMSUNG ELECTRONICS CO., LTD. |
10991858 | Light-emitting diode with light extracting structure | FACEBOOK TECHNOLOGIES, LLC |
10991859 | Light-emitting device and method of manufacturing the same | NICHIA CORPORATION |
10991861 | Low optical loss flip chip solid state lighting device | CREE, INC. |
10991865 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991866 | Light emitting module | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10991873 | Semiconductor device and method for fabricating the same | -- |
10991874 | Magneto-ionic device with a solid state proton pump and methods for using the same | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10991875 | Magnetoresistive random access memory | -- |
10991876 | Methods to improve magnetic tunnel junction memory cells by treating native oxide | -- |
10991877 | Multi-state memory and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10991878 | Manufacturing method of semiconductor device | -- |
10991879 | Multi-level phase change memory cells and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10991880 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10991881 | Method for controlling the forming voltage in resistive random access memory devices | TOKYO ELECTRON LIMITED |
10991882 | Methods of forming resistive memory elements | MICRON TECHNOLOGY, INC. |
10991884 | Mask plate, OLED display substrate, display device and manufacturing method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991902 | Organic light emitting diode substrate and preparation method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10991903 | Display panel capable of preventing a defect in light emission of an organic light emitting element | SAMSUNG DISPLAY CO., LTD. |
10991906 | Display device, method for manufacturing display device, and manufacturing apparatus of display device | SHARP KABUSHIKI KAISHA |
10991907 | Display device having sealing grooves | JAPAN DISPLAY INC. |
10991908 | Light-emitting device and light-emitting system | PIONEER CORPORATION |
10991909 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991910 | Display device and production method therefor | SHARP KABUSHIKI KAISHA |
10991913 | Encapsulating structure capable of securing barrier characteristics with reduced thickness, display device having encapsulating structure and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991914 | Light emitting display device | LG DISPLAY CO., LTD. |
10991916 | Thin-film encapsulation | APPLIED MATERIALS, INC. |
10991917 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991918 | Method for preparing display panel, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991919 | Method and apparatus for manufacturing flexible light emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
10991929 | Strain relief for flex foil | GENTHERM INC. |
10992017 | Semiconductor package comprising chiplets disposed on a substrate which are electromagnetically coupled by dielectric waveguides and a computing networks formed therefrom | INTEL CORPORATION |
10992040 | TFT substrate, scanning antenna comprising TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
10992105 | Strain control in optoelectronic devices | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10992125 | Protective device and fieldbus module comprising a protective device | BECKHOFF AUTOMATION GMBH |
10992252 | Integrated photovoltaic window and light source | UNIVERSAL DISPLAY CORPORATION |
10992267 | Power amplifier module, frontend circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10992871 | Method and apparatus for protecting device under strong light | HANGZHOU HIKMICRO SENSING TECHNOLOGY, CO., LTD. |
10992876 | WDR imaging with LED flicker mitigation | TEXAS INSTRUMENTS INCORPORATED |
10992884 | Imaging panel and method for producing same | SHARP KABUSHIKI KAISHA |
10992885 | Radiographic image detection device and method for operating the same | FUJIFILM CORPORATION |
10992890 | Solid state imaging device, signal processing device, and electronic apparatus having pixel sharing units and color filter coding units | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10992891 | Imaging device, operating method thereof, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10992892 | Image sensor and imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10992895 | Rolling subframe pulsed bias microbolometer integration | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
10992896 | Image sensor and semiconductor structure | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10992897 | Operating method of pixel circuit and image system | -- |
10993011 | In-ear wireless listening device | APPLE INC. |
10993034 | Speaker and method for manufacturing speaker | AAC TECHNOLOGIES PTE. LTD. |
10993304 | Wire, stripping method and light strip | COSMO LIGHTING INC. |
10993311 | Display device | SAMSUNG DISPLAY CO., LTD. |
10993312 | System and method for ground via optimization for high speed serial interfaces | DELL PRODUCTS L.P. |
10993313 | Non-uniform magnetic foil embedded in component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10993314 | Backplane footprint for high speed, high density electrical connectors | AMPHENOL CORPORATION |
10993315 | Printed circuit via for KA satcom circuit boards | AVL TECHNOLOGIES, INC. |
10993316 | Protective film of conductive adhesive, circuit board, and method for assembling display device | BOE (HEBEI) MOBILE DISPLAY TECHNOLOGY CO., LTD. |
10993317 | Wafer level optical module | APPLE INC. |
10993318 | Flexible polymeric film including reinforcement layer | NOVA ENGINEERING FILMS, INC. |
10993319 | Chip package and circuit board thereof | -- |
10993320 | Population of metal oxide nanosheets, preparation method thereof, and electrical conductor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10993321 | Wiring substrate | NGK SPARK PLUG CO., LTD. |
10993322 | Circuit board, laminated circuit board, and method of manufacturing circuit board | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10993323 | Stackable printed circuit board | -- |
10993324 | Computer system with modified module socket | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10993325 | Interposer printed circuit boards for power modules | ABB POWER ELECTRONICS INC. |
10993326 | Securing mechanism for use with processor module | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
10993327 | Circuit board and method for manufacturing the same | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
10993328 | Module-embedded multilayer circuit board and method for manufacturing the same | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
10993329 | Board joint structure | MURATA MANUFACTURING CO., LTD. |
10993330 | Display panel, display device, and method for manufacturing display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10993331 | High-speed interconnects for printed circuit boards | AMPHENOL CORPORATION |
10993332 | Circuit substrate | -- |
10993333 | Methods of manufacturing ultra thin dielectric printed circuit boards with thin laminates | SANMINA CORPORATION |
10993334 | Methods for laser welding layers of circuitry pattern in the reel-to-reel fabrication of flexible printed circuits | MANAFLEX, LLC |
10993336 | User interface module for a building control system with an interchangeable mounting base | HONEYWELL INTERNATIONAL INC. |
10993337 | Display device | LG DISPLAY CO., LTD. |
10993344 | Connection structure and assembly | FANUC CORPORATION |
10993358 | Device for removing portion of cover and method of removing portion of cover | -- |
10993550 | Anti-theft retail merchandise pusher with remote alarm feature | FASTENERS FOR RETAIL, INC. |
10993667 | Method and apparatus for applying a tracing to an expandable device | ECOM MEDICAL, INC. |
10994516 | Resin composition, and pre-preg, metal-clad laminate and printed circuit board prepared using the same | -- |
10994527 | Planarity alignment of stencils and workpieces | ASM ASSEMBLY SYSTEMS SINGAPORE PTE. LTD. |
10994541 | Molded fluid flow structure with saw cut channel | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10994821 | Autonomous long-endurance RF signal interception system | THAYERMAHAN, INC. |
10995179 | Polyimide resin and metal-clad laminate comprising the same | -- |
10995229 | Solder mask inkjet inks for manufacturing printed circuit boards | AGFA-GEVAERT NV |
10995232 | Flexible conductive ink | HENKEL AG & CO. KGAA |
10995269 | Etchant composition and method of fabricating integrated circuit device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10995928 | Light emitting system | PIONEER CORPORATION |
10996395 | Opto-electric hybrid board | NITTO DENKO CORPORATION |
10996412 | Electronic device comprising an electronic chip provided with an optical cable | STMICROELECTRONICS (GRENOBLE 2) SAS |
10996462 | Camera module | LG INNOTEK CO., LTD. |
10996502 | Display panel and method of manufacturing the same | HKC CORPORATION LIMITED |
10996504 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
10996506 | Polyhedron type display device | LG DISPLAY CO., LTD. |
10996523 | Display device having an antenna coil | -- |
10996528 | Display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10996531 | Display device electrical lines | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10996711 | Display device and electronic apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10996721 | Display screen and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10996777 | Input sensing unit and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
10996778 | Display apparatus with integrated touch screen and method for fabricating the same | LG DISPLAY CO., LTD. |
10996779 | Display substrate, display panel, touch display device and method for fabricating the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996781 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996800 | Display device | LG DISPLAY CO., LTD. |
10996803 | Display device | LG DISPLAY CO., LTD. |
10996805 | Display device | JAPAN DISPLAY INC. |
10996856 | Hardware-supported 3D-stacked NVM data compression method and system thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10997108 | Memory package including buffer, expansion memory module, and multi-module memory system | SAMSUNG ELECTRONICS CO., LTD. |
10997109 | Device with USB port | HUAWEI TECHNOLOGIES CO., LTD. |
10997322 | Efficient power distribution | ARM LIMITED |
10997386 | Image data transmission system and image data transmission method | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10997498 | Apparatus and method for in-memory binary convolution for accelerating deep binary neural networks based on a non-volatile memory structure | GLOBALFOUNDRIES U.S. INC. |
10997520 | Single-cycle operations using controllably mediated exchange-type interactions between qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10997881 | Display device including a crack detection line | SAMSUNG DISPLAY CO., LTD. |
10997888 | Display panel and display device including the same | SHANGHAI TIANMA AM-OLED CO., LTD. |
10997902 | Display device | JAPAN DISPLAY INC. |
10997903 | Display panel, method of controlling display panel and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10997904 | Line structures for display screens and terminals | KUNSHAN GO-VISIONOX OPTO-ELECTRONLCS CO., LTD. |
10997917 | Display with light-emitting diodes | APPLE INC. |
10997931 | AM-EWOD array element circuitry with high sensitivity for small capacitance | SHARP LIFE SCIENCE (EU) LIMITED |
10997952 | Display panel and driving method therefor, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998014 | Semiconductor dies supporting multiple packaging configurations and associated methods | MICRON TECHNOLOGY, INC. |
10998025 | High-density low voltage non-volatile differential memory bit-cell with shared plate-line | KEPLER COMPUTING, INC. |
10998027 | Memory circuitry | MICRON TECHNOLOGY, INC. |
10998031 | Apparatuses and methods including ferroelectric memory and for accessing ferroelectric memory | MICRON TECHNOLOGY, INC. |
10998036 | Memory controller, and memory system including the same and method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10998079 | Structure and method for testing three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10998118 | PCB winding transformer and coil board thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10998189 | Laser annealing process of drive backplane and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10998194 | Metal gate stack having TaAlCN layer | -- |
10998195 | Metal and metal-derived films | APPLIED MATERIALS, INC. |
10998215 | Monitoring dry-etching of polymer layer for transferring semiconductor devices | FACEBOOK TECHNOLOGIES, LLC |
10998233 | Mechanically stable complementary field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998234 | Nanosheet bottom isolation and source or drain epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998235 | FinFET with sloped surface at interface between isolation structures and manufacturing method thereof | -- |
10998236 | Method for fabricating a row of MOS transistors | STMICROELECTRONICS (CROLLES 2) SAS |
10998237 | Gate structure and method with dielectric gates and gate-cut features | -- |
10998238 | Integrated circuits with buried interconnect conductors | -- |
10998239 | Fin isolation structure for FinFET and method of forming the same | -- |
10998240 | Fabrication of a vertical fin field effect transistor with reduced dimensional variations | TESSERA, INC. |
10998241 | Selective dual silicide formation using a maskless fabrication process flow | -- |
10998242 | Semiconductor device including dual trench epitaxial dual-liner contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998243 | Method of manufacturing semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10998247 | Board with embedded passive component | SAMSUNG ELECTRONICS CO., LTD. |
10998250 | Bonded body and insulating circuit substrate | MITSUBISHI MATERIALS CORPORATION |
10998252 | Efficient heat-sinking in PIN diode | JUNIPER NETWORKS, INC. |
10998256 | High voltage semiconductor device lead frame and method of fabrication | TEXAS INSTRUMENTS INCORPORATED |
10998265 | Interface structures and methods for forming same | INVENSAS BONDING TECHNOLOGIES, INC. |
10998268 | Semiconductor device | SK HYNIX INC. |
10998270 | Local interconnect for group IV source/drain regions | INTEL CORPORATION |
10998271 | High density pillar interconnect conversion with stack to substrate connection | MICRON TECHNOLOGY, INC. |
10998273 | Hybrid integrated circuit architecture | HRL LABORATORIES, LLC |
10998278 | Process and method for achieving high immunity to ultrafast high voltage transients across inorganic galvanic isolation barriers | TEXAS INSTRUMENTS INCORPORATED |
10998280 | Balance-unbalance converter and semiconductor integrated circuit having the same | ANRITSU CORPORATION |
10998283 | Semiconductor device production method | TOSHIBA MEMORY CORPORATION |
10998284 | Low pressure sintering powder | ALPHA ASSEMBLY SOLUTIONS INC. |
10998286 | Laser-induced selective heating for microLED placement and bonding | FACEBOOK TECHNOLOGIES, LLC |
10998287 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10998290 | Semiconductor device assemblies with molded support substrates | MICRON TECHNOLOGY, INC. |
10998291 | Channel routing for memory devices | MICRON TECHNOLOGY, INC. |
10998292 | Offset pads over TSV | INVENSAS BONDING TECHNOLOGIES, INC. |
10998293 | Method of fabricating semiconductor structure | -- |
10998294 | Semiconductor packages having stacked chip structure | SK HYNIX INC. |
10998295 | Semiconductor device | DENSO CORPORATION |
10998296 | In-vehicle display device using semiconductor light-emitting device | ZKW GROUP GMBH |
10998297 | Nano-porous metal interconnect for light sources | FACEBOOK TECHNOLOGIES, LLC |
10998298 | LED array module | LUMILEDS LLC |
10998300 | Display unit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998301 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10998302 | Packaged device with a chiplet comprising memory resources | INTEL CORPORATION |
10998303 | Method of manufacturing package-on-package device and bonding apparatus used therein | SAMSUNG ELECTRONICS CO., LTD. |
10998304 | Conductive line patterning | -- |
10998305 | Semiconductor die | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10998306 | Protection of an integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10998307 | CMOS analog circuits having a triode-based active load | XILINX, INC. |
10998308 | Area-efficient bi-directional ESD structure | TEXAS INSTRUMENTS INCORPORATED |
10998309 | Semiconductor unit, semiconductor module, and semiconductor device having terminal region extending in parallel to the transistors | FUJI ELECTRIC CO., LTD. |
10998310 | Fins with wide base in a FINFET | -- |
10998311 | Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998312 | Semiconductor device and manufacturing method thereof | -- |
10998313 | Source/drain regions in fin field effect transistors (finFETs) and methods of forming same | -- |
10998314 | Gate cut with integrated etch stop layer | TESSERA, INC. |
10998315 | Metal gate modulation to improve kink effect | -- |
10998316 | Vertical memory device and method for fabricating vertical memory device | SK HYNIX INC. |
10998318 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10998319 | Memory structure | -- |
10998320 | Memory structure and method of manufacturing the same | -- |
10998321 | Semiconductor device having a stacked nanowire structure disposed over a buried word line and method of manufacturing the same | -- |
10998322 | Semiconductor devices and methods of forming semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10998323 | Dynamic random access memory | -- |
10998324 | Semiconductor device comprising work function metal pattern in boundary region and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10998325 | Memory cell with floating gate, coupling gate and erase gate, and method of making same | SILICON STORAGE TECHNOLOGY, INC. |
10998326 | Integrated assemblies and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10998327 | Semiconductor device including separation lines | SAMSUNG ELECTRONICS CO., LTD. |
10998328 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10998329 | Methods and apparatus for three dimensional NAND structure fabrication | APPLIED MATERIALS, INC. |
10998330 | Semiconductor device having a peripheral active pattern and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10998331 | Three-dimensional inverse flat NAND memory device containing partially discrete charge storage elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
10998332 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10998333 | Vertical memory device and method for fabricating the same | SK HYNIX INC. |
10998334 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10998335 | Semiconductor device including a passivation film and multiple word lines | TOSHIBA MEMORY CORPORATION |
10998336 | Integrated structures and NAND memory arrays | MICRON TECHNOLOGY, INC. |
10998337 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10998338 | Integrated assemblies having ferroelectric transistors with heterostructure active regions | MICRON TECHNOLOGY, INC. |
10998339 | One transistor and ferroelectric FET based memory cell | INTEL CORPORATION |
10998340 | Semiconductor device including standard cells having different cell height | -- |
10998341 | Display device and method for manufacturing display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998342 | Array substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998343 | TFT array substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10998344 | Displays with silicon and semiconducting oxide thin-film transistors | APPLE INC. |
10998345 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10998346 | Display device | SAMSUNG DISPLAY CO., LTD. |
10998347 | Semiconductor device, display device, and electronic device | JAPAN DISPLAY INC. |
10998348 | Display apparatus | LG DISPLAY CO., LTD. |
10998349 | Display device | JAPAN DISPLAY INC. |
10998350 | Display device | SAMSUNG DISPLAY CO., LTD. |
10998351 | Source drive integrated circuit, method of manufacturing the same, and display apparatus including the source drive integrated circuit | SILICON WORKS CO., LTD. |
10998352 | Integration of microdevices into system substrate | VUEREAL INC. |
10998353 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10998354 | Multi-junction detector device and method of manufacture | MKS INSTRUMENTS, INC. |
10998355 | Semiconductor device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998356 | Solid-state imaging device and driving method thereof, and electronic apparatus | SONY CORPORATION |
10998357 | Solid-state imaging device having pixels with high and low sensitivity photoelectric conversion units, and electronic device including the same | SONY CORPORATION |
10998358 | Image sensor including dummy isolation structure | SAMSUNG ELECTRONICS CO., LTD. |
10998359 | Image sensor with shallow trench edge doping | -- |
10998360 | Image sensor with shallow trench edge doping | -- |
10998361 | Image-sensor package and associated method | OMNIVISION TECHNOLOGIES, INC. |
10998362 | Fan-out sensor package | SAMSUNG ELECTRONICS CO., LTD. |
10998363 | Solid-state imaging device and method of producing solid-state imaging device | TOPPAN PRINTING CO., LTD. |
10998364 | Image sensor scheme for optical and electrical improvement | -- |
10998365 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10998366 | Image sensor and image sensing appartatus | SAMSUNG ELECTRONICS CO., LTD. |
10998367 | Image sensor and image-capturing apparatus | NIKON CORPORATION |
10998368 | Semiconductor apparatus | CANON KABUSHIKI KAISHA |
10998369 | Solid-state imaging device having an electric coupling structure | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998370 | Semiconductor device with insulating layers forming a bonding plane between first and second circuit components, method of manufacturing the same, and electronic device | CANON KABUSHIKI KAISHA |
10998371 | Film-based image sensor with suppressed light reflection and flare artifact | APPLE INC. |
10998372 | Hybrid focal-plane array and method of manufacturing the same | HRL LABORATORIES, LLC |
10998373 | Imaging element and imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998374 | Multilevel semiconductor device and structure | MONOLITHIC 3D INC. |
10998375 | Light emitting module and automotive illumination device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10998376 | Qubit-optical-CMOS integration using structured substrates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998377 | Semiconductor structure and manufacturing method of the same | -- |
10998378 | Method for producing transistors, in particular selection transistors for non-volatile memory, and corresponding device | STMICROELECTRONICS (ROUSSET) SAS |
10998379 | Three dimensional memory arrays | MICRON TECHNOLOGY, INC. |
10998380 | Imaging device including at least one unit pixel cell and voltage application circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10998381 | Semiconductor image sensors having upper and lower transparent electrodes therein | SAMSUNG ELECTRONICS CO., LTD. |
10998382 | Self-light emitting display unit and electronic device | SONY CORPORATION |
10998383 | Display panels and devices thereof | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
10998385 | Display element, method for fabricating the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10998386 | Display-integrated infrared emitter and sensor structures | LOCKHEED MARTIN CORPORATION |
10998387 | Light emitting device | -- |
10998388 | Display screen, display device and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10998389 | Pixel defining layer including a temperature-sensitive polymer layer, display substrate, and manufacturing methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10998390 | Organic light emitting diode display and a manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10998391 | Display apparatus with a crack detection line | LG DISPLAY CO., LTD. |
10998392 | Display apparatus and electronic device | CANON KABUSHIKI KAISHA |
10998393 | Organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10998394 | Display device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998395 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
10998408 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10998411 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10998413 | Semiconductor fin structures having silicided portions | IMEC VZW |
10998422 | Methods, apparatus and system for a self-aligned gate cut on a semiconductor device | -- |
10998441 | Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998444 | Stacked FinFET masked-programmable ROM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998446 | Array substrate, manufacturing method thereof, and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998447 | Semiconductor device, semiconductor wafer, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998448 | Thin film semiconductor device including back gate comprising oxide semiconductor material | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998449 | Oxide semiconductor film and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998451 | ESD protection diode | KABUSHIKI KAISHA TOSHIBA |
10998455 | Light sensor | STMICROELECTRONICS (GRENOBLE 2) SAS |
10998464 | Flip-chip light emitting diode, manufacturing method of flip-chip light emitting diode and display device including flip-chip light emitting diode | SAMSUNG ELECTRONICS CO., LTD. |
10998473 | Packaged wavelength converted light emitting device | LUMILEDS LLC |
10998476 | Light-emitting device package | LG INNOTEK CO., LTD. |
10998479 | Light emitting diode | SEOUL VIOSYS CO., LTD. |
10998480 | Light-emitting structure alignment preservation in display fabrication | FACEBOOK TECHNOLOGIES, LLC |
10998484 | Semiconductor device manufacturing method | NITTO DENKO CORPORATION |
10998486 | Reducing qubit energy decay and correlated errors from cosmic rays in quantum processors | QUANTALA LLC |
10998489 | Magnetic shielding structure for MRAM array | NXP B.V. |
10998490 | Magnetic element | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
10998494 | Perpendicular magnetic random-access memory (MRAM) formation by direct self-assembly method | -- |
10998495 | Magnetostrictive stack and corresponding bit-cell | INTEL CORPORATION |
10998496 | Magnetic tunnel junctions with tunable high perpendicular magnetic anisotropy | APPLIED MATERIALS, INC. |
10998497 | Semiconductor memory device | KIOXIA CORPORATION |
10998498 | VIA structure and methods of forming the same | -- |
10998511 | Display module, display device, and method of manufacturing the display module | SAMSUNG DISPLAY CO., LTD. |
10998512 | Electronic device, display device, method for manufacturing the same, and system including a plurality of display devices | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998513 | Display device | SAMSUNG DISPLAY CO., LTD. |
10998514 | Photoelectric devices and image sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10998516 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998520 | Organic light-emitting display apparatus for increasing contact area between sealing member and insulating layers | SAMSUNG DISPLAY CO., LTD. |
10998521 | Electronic panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10998522 | Flexible OLED display panel, manufacturing method for the same and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998523 | Organic light emitting diode display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD |
10998524 | Light-emitting devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10998525 | Organic light emitting display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10998526 | Organic EL display panel including a multilayer sealing layer, organic EL display device, and manufacturing method thereof | JOLED INC. |
10998528 | Organic EL image display device | FUJIFILM CORPORATION |
10998529 | Display device, method for producing display device, and apparatus for producing display device | SHARP KABUSHIKI KAISHA |
10998530 | Display panel | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998532 | Display substrate, manufacturing method of display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10998533 | Method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10998629 | Scanned antenna | SHARP KABUSHIKI KAISHA |
10998635 | Antenna apparatus and vehicle | HYUNDAI MOTOR COMPANY |
10998653 | Edge connector, circuit board, and connector component | HUAWEI TECHNOLOGIES CO., LTD. |
10998656 | Electrical assembly | ROBERT BOSCH GMBH |
10998672 | Component carrier structures connected by cooperating magnet structures | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10998681 | Electronic component to reduce noise caused by the tip end of a memory card | SONY MOBILE COMMUNICATIONS INC. |
10998694 | Laser diode | LG INNOTEK CO., LTD. |
10998706 | Laminated bus bars | HAMILTON SUNDSTRAND CORPORATION |
10998720 | T-coil enhanced ESD protection with passive equalization | QUALCOMM INCORPORATED |
10998721 | Electrostatic discharge (ESD) protection circuits using tunneling field effect transistor (TFET) and impact ionization MOSFET (IMOS) devices | STMICROELECTRONICS INTERNATIONAL N.V. |
10998750 | Light-emitting device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998797 | Electric motor assembly including end cap having heat sink for heat-generating electrical component | TTI (MACAO COMMERCIAL OFFSHORE) LIMITED |
10998831 | Power switching module and electronic power device integrating said module | INSTITUT VEDECOM |
10998879 | Monolithic die with acoustic wave resonators and active circuitry | INTEL CORPORATION |
10998904 | Programmable termination circuits for programmable devices | XILINX, INC. |
10998983 | Front end circuit for a non-linear sensor comprising a current to voltage converter and a limiting bias circuit respectively connected to the common cathode and the common anode of an array of avalanche photodiode elements | FNV IP B.V. |
10999482 | Image capturing device | -- |
10999538 | Imaging unit | FUJIKURA LTD. |
10999542 | Imaging device including lines for each column | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10999544 | Image sensor including phase detection pixels and image pickup device | SAMSUNG ELECTRONICS CO., LTD. |
10999545 | Solid-state image sensor, imaging device, and electronic device | SONY CORPORATION |
10999546 | Image sensor and method of operating same | SAMSUNG ELECTRONICS CO., LTD. |
10999547 | Matrix-array detector with controlled-impedance row conductors | TRIXELL |
10999550 | Image pickup device that is provided with peripheral circuits to prevent chip area from being increased, and image pickup apparatus | CANON KABUSHIKI KAISHA |
10999551 | Solid-state image capture device including stacked pixel substrate and circuit substrate and image capture device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10999573 | Partial light field display architecture | RAXIUM, INC. |
10999669 | Combinatorial inner module | CONCRAFT HOLDING CO., LTD. |
10999916 | Functional contactor for an electronic device | AMOTECH CO., LTD. |
10999919 | Flexible electronic assembly for placement on a vehicle motor assembly | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10999920 | Apparatus, system, and method for mitigating crosstalk in ball grid array devices | JUNIPER NETWORKS, INC. |
10999921 | Circuit board for reducing transmitting loss and electronic device therewith | SAMSUNG ELECTRONICS CO., LTD. |
10999922 | Systems and methods for in-silicon measurement of printed circuit board (PCB) trace impedance | DELL PRODUCTS L.P. |
10999923 | Structure for circuit interconnects | JUNIPER NETWORKS, INC. |
10999924 | Sideband conductor resonance mitigation | INTEL CORPORATION |
10999925 | Stretchable conductor circuit | II-VI DELAWARE, INC. |
10999926 | Stress relief encapsulation for flexible hybrid electronics | FLEX LTD. |
10999927 | Ceramic substrate and method for manufacturing ceramic substrate | MURATA MANUFACTURING CO., LTD. |
10999928 | Circuit board | -- |
10999929 | Expansion card interfaces for high-frequency signals and methods of making the same | -- |
10999930 | Integrated power delivery board for delivering power to an ASIC with bypass of signal vias in a printed circuit board | CISCO TECHNOLOGY, INC. |
10999931 | Manufacturing method of a display device | -- |
10999932 | Electronic package including cavity defined by resin and method of forming same | SKYWORKS FILTER SOLUTIONS JAPAN CO., LTD. |
10999933 | Conductive material and process | HENKEL IP & HOLDING GMBH |
10999934 | Metal oxide nanoparticle ink composition, method of producing same, and method of forming conductive layer pattern using same | GACHON UNIVERSITY OF INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
10999935 | Manufacturing method of circuit board | -- |
10999936 | Method for applying electronic components | LUMILEDS LLC |
10999937 | Electronic device | OMRON CORPORATION |
10999938 | Method of wire bonding a first and second circuit card | RAYTHEON COMPANY |
10999939 | Circuit carrier board and manufacturing method thereof | -- |
10999946 | Microchips for downhole data collection | SAUDI ARABIAN OIL COMPANY |
10999949 | Accessory apparatus for electronic device having page-turning function, electronic device and electronic device assembly | ZHANGYUE TECHNOLOGY CO., LTD |
10999956 | Module | MURATA MANUFACTURING CO., LTD. |
10999957 | Communication module and mounting structure thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10999961 | Positioning device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11000184 | Image pickup module, fabrication method for image pickup module, and endoscope | OLYMPUS CORPORATION |
11000293 | Autoclave tolerant battery powered motorized surgical hand piece tool and motor control method | CONMED CORPORATION |
11000911 | Manufacturing method for backlight source | -- |
11000923 | Tool and method of reflow | -- |
11001000 | Three-dimensional (3D) printing | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11001042 | Method of manufacturing treated sheet and method of manufacturing resin multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11001167 | Apparatus and method of producing a sensing substrate | JOYSON SAFETY SYSTEMS ACQUISITION LLC |
11001676 | Supramolecular structure and method of manufacturing the same and self-healing elastomer | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11001687 | Substrate with functional fine line and method for forming functional fine line | KONICA MINOLTA, INC. |
11001759 | Resin composition, and pre-preg, metal-clad laminate, and printed circuit board prepared using the same | -- |
11001930 | Method of manufacturing wiring board | SHINKO ELECTRIC INDUSTRIES CO, LTD. |
11002569 | Actuator control system utilizing circuit card assembly | HAMILTON SUNDSTRAND CORPORATION |
11002785 | Printed circuit board with contacting arrangement | ENDRESS+HAUSER FLOWTEC AG |
11002862 | Detector pixel, array substrate, apparatus and method for detecting intensity of ray | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11002863 | Systems with multiple layers of semiconductor X-ray detectors | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11002888 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11002926 | Wavelength division multiplexing optical module | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11002927 | Package structure | -- |
11002968 | Color foveated display devices and methods of making the same | FACEBOOK TECHNOLOGIES, LLC |
11003003 | Display device | JAPAN DISPLAY INC. |
11003013 | Display device | JAPAN DISPLAY INC. |
11003015 | Electronic device with a light guiding layer | APPLE INC. |
11003020 | Light source package, backlight unit including light source package, and display device using the same | LG DISPLAY CO., LTD. |
11003024 | Display device | -- |
11003036 | Pixel array substrate | -- |
11003039 | Thin film transistor substrate and display device using the same | -- |
11003213 | Portable computer display structures | APPLE INC. |
11003227 | Liquid-type cooling apparatus and manufacturing method for heat radiation fin in liquid-type cooling apparatus | MITSUBISHI ELECTRIC CORPORATION |
11003265 | Touch sensor integrated type electroluminescent display device | LG DISPLAY CO., LTD. |
11003266 | Display device | SAMSUNG DISPLAY CO., LTD. |
11003267 | Display apparatus having touch screen | LG DISPLAY CO., LTD. |
11003292 | Display device including sensor and auxiliary sensor parts | SAMSUNG DISPLAY CO., LTD. |
11003298 | Touch module, touch display substrate and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11003302 | Array substrate and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11003389 | Memory device including memory chips and operation method thereof | SK HYNIX INC. |
11003828 | System and method for layout analysis using point of interest patterns and properties | SIEMENS INDUSTRY SOFTWARE INC. |
11003829 | Antenna protection cell | -- |
11003884 | Fingerprint sensor device and methods thereof | QUALCOMM INCORPORATED |
11003986 | Semiconductor device and system using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004363 | Stretchable display device and method of controlling stretchable display device | -- |
11004365 | Intelligent LED display | SHENZHEN CREATELED ELECTRONICS CO., LTD. |
11004377 | Non-rectangular display device with simplified scanning line load adjustment for improved luminance | JAPAN DISPLAY INC. |
11004381 | Array substrate comprising an antenna, driving method and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11004390 | Display device and electronic apparatus | SONY CORPORATION |
11004393 | Display device | SAMSUNG DISPLAY CO., LTD. |
11004394 | Display apparatus | JAPAN DISPLAY INC. |
11004475 | Methods and apparatuses for aligning read data in a stacked semiconductor device | MICRON TECHNOLOGY, INC. |
11004476 | Multi-column interleaved DIMM placement and routing topology | CISCO TECHNOLOGY, INC. |
11004477 | Bank and channel structure of stacked semiconductor device | MICRON TECHNOLOGY, INC. |
11004478 | Semiconductor memory device | KIOXIA CORPORATION |
11004490 | Spin orbit torque magnetoresistive random access memory device | IMEC VZW |
11004494 | Memory arrays, and methods of forming memory arrays | MICRON TECHNOLOGY, INC. |
11004505 | Method for operating a non-volatile memory cell | -- |
11004508 | One selector one resistor RAM threshold voltage drift and offset voltage compensation methods | SANDISK TECHNOLOGIES LLC |
11004509 | Circuit structure and memory circuit with resistive memory elements, and related methods | GLOBALFOUNDRIES U.S. INC. |
11004512 | Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating | ZENO SEMICONDUCTOR, INC. |
11004518 | Threshold voltage setting with boosting read scheme | SANDISK TECHNOLOGIES LLC |
11004525 | Modulation of programming voltage during cycling | SANDISK TECHNOLOGIES LLC |
11004528 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004530 | Testing through-silicon-vias | RAMBUS INC. |
11004574 | Method for manufacturing anisotropic conductive film, and anisotropic conductive film | DEXERIALS CORPORATION |
11004591 | Multilayer transformer structure comprising multiple rectification elements | FLEX LTD. |
11004608 | Composite electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11004682 | Laser annealing apparatus, laser annealing method, and mask | SAKAI DISPLAY PRODUCTS CORPORATION |
11004685 | Multi-layer structures and methods of forming | -- |
11004688 | FinFET device and method of forming | -- |
11004689 | Thermal silicon etch | APPLIED MATERIALS, INC. |
11004694 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11004698 | Power module package | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11004699 | Electronic device and method for manufacturing the same | OMRON CORPORATION |
11004705 | Chip transfer device and chip transferring method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11004719 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11004726 | Stairstep structures in multilevel circuitry, and method for forming the same | -- |
11004728 | Semiconductor die having edge with multiple gradients and method for forming the same | -- |
11004729 | Method of manufacturing semiconductor devices | -- |
11004730 | Methods of forming conductive features using a vacuum environment | -- |
11004731 | Semiconductor device | KIOXIA CORPORATION |
11004733 | Protection structures for bonded wafers | -- |
11004745 | Semiconductor device convex source/drain region | -- |
11004747 | Fin critical dimension loading optimization | -- |
11004748 | Semiconductor devices with wide gate-to-gate spacing | GLOBALFOUNDRIES U.S. INC. |
11004749 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11004751 | Vertical transistor having reduced edge fin variation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004752 | Fin field-effect transistor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11004753 | Display device and method of manufacturing the display device | -- |
11004756 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11004758 | Integrated circuit package and method | -- |
11004760 | Chip structure operating method including heating elements to reduce temperature variation | SAMSUNG ELECTRONICS CO., LTD. |
11004762 | Semiconductor device, vehicle-mounted semiconductor device, and vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11004768 | Multi-chip package with partial integrated heat spreader | INTEL CORPORATION |
11004771 | Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices | -- |
11004773 | Porous barrier layer for improving reliability of through-substrate via structures and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11004774 | Fabricating field-effect transistors with body contacts between source, gate and drain assemblies | SKYWORKS SOLUTIONS, INC. |
11004781 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11004783 | Integrated circuit chip design for symmetric power delivery | MICROSOFT TECHNOLOGY LICENSING, LLC |
11004785 | Co-integrated vertically structured capacitive element and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
11004787 | Semiconductor chip | TOSHIBA MEMORY CORPORATION |
11004788 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11004789 | Semiconductor device including back side power supply circuit | -- |
11004795 | Semiconductor structure and manufacturing method thereof | -- |
11004796 | Integrated fan-out package | -- |
11004798 | Apparatuses including conductive structure layouts | MICRON TECHNOLOGY, INC. |
11004800 | Secure chips with serial numbers | ASML NETHERLANDS B.V. |
11004804 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11004806 | Semiconductor device, manufacturing method of semiconductor device, integrated substrate, and electronic device | SONY CORPORATION |
11004807 | Method of producing laminated substrate, method of producing semiconductor module, laminated substrate, and semiconductor module | FUJI ELECTRIC CO., LTD. |
11004808 | Package with different types of semiconductor dies attached to a flange | CREE, INC. |
11004809 | Chip package with antenna element | -- |
11004824 | Scalable embedded silicon bridge via pillars in lithographically defined vias, and methods of making same | INTEL CORPORATION |
11004825 | Semiconductor package of package-on-package type | SAMSUNG ELECTRONICS CO., LTD. |
11004826 | 3DIC formation with dies bonded to formed RDLs | -- |
11004827 | Semiconductor package and manufacturing method of semiconductor package | -- |
11004828 | Methods and apparatus for integrated gang bonding and encapsulation of stacked microelectronic devices | MICRON TECHNOLOGY, INC. |
11004829 | Memory scaling semiconductor device | SANDISK TECHNOLOGIES LLC |
11004830 | Control system, semiconductor device and method of the semiconductor device | RENESAS ELECTRONICS CORPORATION |
11004831 | Stack packages including a fan-out sub-package | SK HYNIX INC. |
11004832 | System, structure, and method of manufacturing a semiconductor substrate stack | -- |
11004833 | Multi-chip stacked devices | XILINX, INC. |
11004834 | LED unit | OSRAM OLED GMBH |
11004835 | Light-emitting diodes with light coupling and conversion layers | KATEEVA, INC. |
11004836 | Method for integrating a light emitting device | APPLE INC. |
11004837 | Semiconductor device with improved heat dissipation | TOSHIBA MEMORY CORPORATION |
11004838 | Packaged die and RDL with bonding structures therebetween | -- |
11004839 | Trench power MOSFET with integrated-schottky in non-active area | RENESAS ELECTRONICS AMERICA INC. |
11004840 | Electrostatic discharge protection structure | -- |
11004841 | Semiconductor device having multiple gate pads | VISHAY SILICONIX, LLC |
11004842 | System and method of fabricating ESD FinFET with improved metal landing in the drain | -- |
11004843 | Switch control circuit for a power switch with electrostatic discharge (ESD) protection | NXP USA, INC. |
11004844 | Recessed STI as the gate dielectric of HV device | -- |
11004845 | Semiconductor device and manufacturing method thereof | -- |
11004846 | Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric | -- |
11004847 | Semiconductor device and fabricating the same | -- |
11004848 | Composite transistor having overlapping active regions and control electrode | SONY CORPORATION |
11004849 | Distributed electrical overstress protection for large density and high data rate communication applications | ANALOG DEVICES, INC. |
11004850 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004851 | Complementary transistor and semiconductor device | SONY CORPORATION |
11004852 | Semiconductor structure | -- |
11004854 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004855 | Buried metal track and methods forming same | -- |
11004856 | Stacked vertical transistor memory cell with epi connections | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004857 | Operating method of an electrically erasable programmable read only memory (EEPROM) cell | -- |
11004858 | Semiconductor device and manufacturing method thereof | -- |
11004860 | Non-volatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004861 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11004862 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11004863 | Non-volatile memory with gate all around thin film transistor and method of manufacturing the same | -- |
11004864 | Semiconductor device | SK HYNIX INC. |
11004865 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11004866 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11004867 | Embedded ferroelectric memory in high-k first technology | -- |
11004868 | Memory field-effect transistors and methods of manufacturing the same | INTEL CORPORATION |
11004870 | Transistor structure, display device including transistor structure, and method of manufacturing transistor structure | SAMSUNG DISPLAY CO., LTD. |
11004871 | Display panel and display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
11004872 | Display substrate including a nano-imprint pattern and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11004873 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11004874 | Thin film transistor, method for fabricating the same, array substrate, and display panel | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004875 | Methods of manufacturing electronic structures | PRAGMATIC PRINTING LTD. |
11004876 | Method for producing a semiconductor chip and semiconductor chip | OSRAM OLED GMBH |
11004877 | Solid-state imaging device with phase difference detection pixel and electronic apparatus | SONY CORPORATION |
11004878 | Photodiodes integrated into a BiCMOS process | GLOBALFOUNDRIES U.S. INC. |
11004879 | Semiconductor device, solid-state image pickup element, imaging device, and electronic apparatus | SONY CORPORATION |
11004880 | Semiconductor imaging device having improved dark current performance | -- |
11004881 | Global shutter image sensor | FACEBOOK TECHNOLOGIES, LLC |
11004882 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004883 | System and method for optical sensing | SYNAPTICS INCORPORATED |
11004884 | Solid-state imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11004885 | Solid-state image capture element and electronic device enabled to eliminate asymmetry of a light reception amount | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11004886 | Stacked grid design for improved optical performance and isolation | -- |
11004887 | Semiconductor image sensor | -- |
11004888 | Photoelectric conversion element and optical sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11004889 | Method of fabricating image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11004890 | Substrate based light emitter devices, components, and related methods | CREELED, INC. |
11004891 | Light emitting device and backlight including the light emitting device | NICHIA CORPORATION |
11004892 | Display device | SAMSUNG DISPLAY CO., LTD. |
11004893 | Photonic integrated device and manufacturing method thereof | QINGDAO YICHENLEISHUO TECHNOLOGY CO., LTD |
11004894 | Micro-LED displays | INTEL CORPORATION |
11004895 | Pixel or display with sub pixels selected by antifuse programming | BLACK PEAK LLC |
11004896 | System and method for non-invasive large-scale qubit device characterization technique | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004897 | Magnetoresistive random access memory and method for fabricating the same | -- |
11004898 | Method for manufacturing a magnetic tunnel junction device and device manufactured using such method | IMEC VZW |
11004899 | Magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
11004900 | Magnetoresistive random access memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11004901 | Magnetic random access memory and manufacturing method thereof | -- |
11004902 | Circuit element, storage device, electronic equipment, method of writing information into circuit element, and method of reading information from circuit element | SONY CORPORATION |
11004903 | Electronic device having hexagonal structure and addressing method therefor | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
11004904 | Display apparatus and driving method of display panel | SAMSUNG ELECTRONICS CO., LTD. |
11004905 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11004906 | Display panel, display apparatus, and mask plate for fabricating display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11004907 | Organic light-emitting display panel and pixel arrangement thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11004908 | Pixel structure and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004909 | Photoelectric diodes including photoelectric conversion layer and compensation later, and organic sensors and electronic devices including same | SAMSUNG ELECTRONICS CO., LTD. |
11004910 | Optical filter substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11004911 | Active-matrix organic light emitting diode display panel structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004912 | Flexible display apparatus having alignment mark and method of assembling the same | SAMSUNG DISPLAY CO., LTD. |
11004913 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11004914 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11004915 | Display device having a power supply layer including a plurality of holes | SAMSUNG DISPLAY CO., LTD. |
11004916 | Organic light emitting device, image capturing device, and method for producing organic light emitting device | CANON KABUSHIKI KAISHA |
11004917 | Pixel defining layer, display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11004918 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11004919 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11004920 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11004921 | Organic light-emitting display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11004922 | Organic light emitting display device having a sub-pixel region and a transparent region | SAMSUNG DISPLAY CO., LTD. |
11004923 | Display device with a bending area | LG DISPLAY CO., LTD. |
11004924 | Display device, method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11004925 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004926 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11004927 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11004929 | Trimmable silicon-based thermistor with reduced stress dependence | TEXAS INSTRUMENTS INCORPORATED |
11004937 | Semiconductor device and manufacturing method thereof | -- |
11004940 | Manufacture of power devices having increased cross over current | GENESIC SEMICONDUCTOR INC. |
11004942 | Controlling bi-directional switching devices | TEXAS INSTRUMENTS INCORPORATED |
11004948 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11004954 | Epitaxial buffer to reduce sub-channel leakage in MOS transistors | INTEL CORPORATION |
11004956 | Manufacturing method of semiconductor device | SK HYNIX INC. |
11004957 | Manufacturing methods of inorganic thin film transistors (TFTs) and flexible display devices | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004959 | Semiconductor device structure and method for forming the same | -- |
11004960 | Semiconductor device and manufacturing method thereof | -- |
11004962 | Integrated circuit including at least one nano-ridge transistor | IMEC VZW |
11004966 | Nitride semiconductor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11004967 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11004970 | Mirror device structure for power MOSFET and method of manufacture | NXP USA, INC. |
11004972 | Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11004975 | Semiconductor device and manufacturing method thereof | -- |
11004982 | Gate for a transistor | INTEL CORPORATION |
11004983 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004985 | Semiconductor device having multi-thickness nanowire | SAMSUNG ELECTRONICS CO., LTD. |
11004989 | Photodiodes formed on a thermally conductive layer and, photodiode systems | PHASE SENSITIVE INNOVATIONS, INC. |
11004993 | Tiled frameless PV-module | PRISM SOLAR TECHNOLOGIES, INC. |
11005001 | Opto-electronic modules and methods of manufacturing the same and appliances and devices comprising the same | AMS SENSORS SINGAPORE PTE. LTD. |
11005004 | Micro light emitting diode (LED) structure, method for manufacturing the same and display including the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11005009 | Light emitting device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
11005013 | Lighting and/or signaling device for motor vehicle | VALEO VISION |
11005014 | Optics formation using pick-up tools | FACEBOOK TECHNOLOGIES, LLC |
11005015 | Method and apparatus for detecting electromagnetic touch | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005016 | Apex angle reduction in a LED device with a LED array | IMEC VZW |
11005018 | Semiconductor continuous array layer | -- |
11005019 | Structure of micro device with holding structure | -- |
11005020 | Display panel and fabricating method thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11005022 | Vertical transmon qubit device with microstrip waveguides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11005030 | Magnetoresistive random access memory | -- |
11005031 | Stacked magnetoresistive structures and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
11005033 | Component semiconductor structure | TDK-MICRONAS GMBH |
11005034 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11005038 | Memory devices and electronic devices including memory materials substantially encapsulated with dielectric materials | MICRON TECHNOLOGY, INC. |
11005053 | Organic light emitting display apparatus comprising a light conversion film and composite film comprising a light conversion film | LG DISPLAY CO., LTD. |
11005054 | Display device comprising heat sink comprising metal alloy | SAMSUNG DISPLAY CO., LTD. |
11005055 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11005056 | Flexible display panel and manufacturing method for the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005057 | Organic light emitting diode folding display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005059 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11005060 | Electroluminescent device, and display device comprising thereof | SAMSUNG ELECTRONICS CO., LTD. |
11005061 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11005062 | Light emitting apparatus and method of fabricating the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11005063 | Display substrate having microcavities | BOE TECHNOLOGY GROUP CO., LTD. |
11005064 | Transparent display substrate and driving method thereof and transparent display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005067 | OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005068 | Organic light-emitting diode touch display and manufacturing method thereof | -- |
11005070 | Organic photoelectronic device and image sensor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11005071 | Method for manufacturing light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11005072 | Display device with block members having different heights | SAMSUNG DISPLAY CO., LTD. |
11005073 | OLED display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005074 | Display device | -- |
11005076 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11005078 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11005080 | Organic light emitting diode display screen and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005081 | Coating method, display substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11005083 | High-resolution Micro-OLED display module and manufacturing method thereof | SUZHOU QINGYUE OPTOELECTRONICS TECHNOLOGY CO., LTD |
11005084 | Method for manufacturing a display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11005153 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
11005155 | Microwave antenna apparatus and package | SONY CORPORATION |
11005158 | Electrically functional structure integration in ultrathin foldable device | DELL PRODUCTS L.P. |
11005172 | Method for producing a radome and corresponding radome | HELLA GMBH & CO. KGAA |
11005173 | ESD protection for antenna port | QORVO INTERNATIONAL PTE. LTD. |
11005180 | Display device having NFC communication function | SUZHOU QINGYUE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005197 | Control unit having press-fit structure | MITSUBISHI ELECTRIC CORPORATION |
11005263 | Electro-static discharge (ESD) protection clamp technology | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11005282 | Power supply unit for aerosol inhaler | JAPAN TOBACCO INC. |
11005344 | Motor, and motor-driven steering apparatus having same | LG INNOTEK CO., LTD. |
11005387 | Switching device | ROHM CO., LTD. |
11005436 | Monolithic microwave integrated circuit having an overlay transformer and low impedance transmission lines | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11006036 | Image sensor and image capturing apparatus | CANON KABUSHIKI KAISHA |
11006055 | Imaging device and method for driving the same, and imaging apparatus | SONY CORPORATION |
11006057 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11006058 | Pumped large full well pixel | RAYTHEON COMPANY |
11006059 | Imaging device, driving method, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11006063 | Pixel readout circuit, method of driving the same, and image sensor | BOE TECHNOLOGY GROUP CO., LTD. |
11006064 | CMOS image sensor and method of operating pixel array by CMOS image sensor | -- |
11006193 | Electro-optical apparatus having high-throughput electrical data links | NOKIA SOLUTIONS AND NETWORKS OY |
11006496 | LED lamp with current-regulated warm dimming | -- |
11006513 | Electronic assembly having sectional thermal management | DEERE & COMPANY |
11006514 | Three-dimensional decoupling integration within hole in motherboard | INTEL CORPORATION |
11006515 | Magnetic wiring circuit board | NITTO DENKO CORPORATION |
11006516 | Wiring board, semiconductor device, and method of manufacturing wiring board | TOPPAN PRINTING CO., LTD. |
11006517 | Printed circuit board and storage device including printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
11006518 | Panel driving structure, flip-chip flexible film for driving a panel, and reinforcement method for flip-chip flexible film | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11006519 | Flexible circuit board and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11006520 | Stretchable electronics and method for fabricating the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11006521 | Wiring base plate, electronic device package, and electronic device | KYOCERA CORPORATION |
11006522 | Textile material comprising conductive elements | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11006523 | Electronic assemblies having components with edge connectors | VICOR CORPORATION |
11006524 | Circuit board interposer | APPLE INC. |
11006525 | Display device and method of manufacturing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11006526 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11006527 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11006528 | Methods of etching conductive features, and related devices and systems | KATEEVA, INC. |
11006529 | Circuit forming method | FUJI CORPORATION |
11006530 | Producing method of wired circuit board | NITTO DENKO CORPORATION |
11006531 | Method for manufacturing flexible printed circuit board and flexible printed circuit board manufactured by same | AMOGREENTECH CO., LTD. |
11006532 | Circuit carrier and manifacturing method thereof | -- |
11006540 | Circuit board for mechanically fastening a housing | TRIDONIC GMBH & CO KG |
11006541 | Housing, electronic device, and method for manufacturing housing | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11006554 | Electromagnetic interference shielding film, circuit board, and preparation method for electromagnetic interference shielding film | GUANGZHOU FANG BANG ELECTRONIC CO., LTD. |
11006560 | Method of manufacturing component-mounted substrate | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11006832 | Wireless sensor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11007375 | Light source for myopia prevention article and method of using light source for myopia prevention article | TOSHIBA MATERIALS CO., LTD. |
11007591 | Reflow soldering apparatus having independently openable main bodies | SENJU METAL INDUSTRY CO., LTD. |
11007749 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11007768 | Board work device having support member conveyance section for conveying board support member | FUJI CORPORATION |
11008420 | Resin composition, prepreg, metal foil-clad laminate, resin composite sheet, and printed wiring board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11008451 | Fluorocarbon prepreg and resin composition thereof | -- |
11008456 | Resin composition and uses of the same | -- |
11008484 | Optical adhesive, method for manufacturing optical adhesive, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11008513 | Composition for etching | -- |
11008656 | Roll to roll fabrication apparatus for preventing thermal impact | LG DISPLAY CO., LTD. |
11009191 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11009202 | Universal dual infrared and white light bulb | BATTELLE MEMORIAL INSTITUTE |
11009411 | Increasing sensitivity of a sensor using an encoded signal | SENTONS INC. |
11009477 | Integrated multi-sensor module | STMICROELECTRONICS PTE LTD. |
11009564 | Magnetic sensor, fabrication process of perforated integrated circuit module and fabrication process of the magnetic sensor | SHENZHEN OVA SMART SENSOR TECHNOLOGY LTD |
11009569 | Magnetic field sensing device | -- |
11009729 | Flexible display device including a flexible substrate having a bending part and a conductive pattern at least partially disposed on the bending part | SAMSUNG DISPLAY CO., LTD. |
11009741 | Color filter structure and method of fabricating same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11009743 | Display panel | -- |
11009745 | Displays with direct-lit backlight units including light-emitting diodes and encapsulant | APPLE INC. |
11009751 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11009753 | Display device | SAMSUNG DISPLAY CO., LTD. |
11009755 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11009758 | Display panel and display device | SHARP KABUSHIKI KAISHA |
11009760 | Interleaving laser ablation | GENTEX CORPORATION |
11009778 | Camera module | LG INNOTEK CO., LTD. |
11009905 | Semiconductor integrated circuit and clock supply method including a sample and hold circuit | RICOH COMPANY, LTD. |
11009976 | Display device including touch screen function | LG DISPLAY CO., LTD. |
11009977 | Sensor substrate and sensing display panel having the same | SAMSUNG DISPLAY CO., LTD. |
11009978 | OLED panel, OLED apparatus, fingerprint identification method and device thereof, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11009979 | Touchscreen panel and touch display device including the same | LG DISPLAY CO., LTD. |
11009999 | Display device having opening and touch sensor | SAMSUNG DISPLAY CO., LTD. |
11010008 | Electronic device with touch sensor including index matching layer and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11010058 | Solid state memory component | INTEL CORPORATION |
11010526 | Method and structure for mandrel and spacer patterning | -- |
11010532 | Simulation method and system | SAMSUNG ELECTRONICS CO., LTD. |
11010583 | Display panel and display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
11010584 | Display device and sensing element substrate capable of increasing ratio between photo current and dark current | -- |
11011030 | Systems and methods for mounting light emitting diodes for a visual alarm device in multiple planes | HONEYWELL INTERNATIONAL INC. |
11011033 | Haptic vibrotactile actuators on textiles and related systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11011085 | Display device with crack-sensing line | SAMSUNG DISPLAY CO., LTD. |
11011087 | IC, driver IC, display system, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011091 | Array substrate for reducing coupling effect, display panel, display device, operating method, and manufacturing method | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11011095 | Display panel, and image control device and method thereof | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011104 | Image display device and method for manufacturing image display device | NICHIA CORPORATION |
11011127 | Display apparatus | LG DISPLAY CO., LTD. |
11011168 | Compact speaker device | GOOGLE LLC |
11011209 | Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11011210 | Memory layout structure | -- |
11011216 | Compute-in-memory dynamic random access memory | QUALCOMM INCORPORATED |
11011224 | Memory device and method for forming the same | -- |
11011232 | Memory cells, memory cell arrays, methods of using and methods of making | ZERO SEMICONDUCTOR, INC. |
11011237 | Semiconductor memory device with erase control | KIOXIA CORPORATION |
11011238 | Floating data line circuits and methods | -- |
11011239 | Semiconductor memory | KIOXIA CORPORATION |
11011245 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011249 | Concurrent testing of a logic device and a memory device within a system package | NVIDIA CORPORATION |
11011281 | Conductive sheet and conductive pattern | DONGWOO FINE-CHEM CO., LTD. |
11011291 | Laminated electronic component | MURATA MANUFACTURING CO., LTD. |
11011309 | Ceramic electronic component, ceramic electronic component manufacturing method, and ceramic electronic component-mounting circuit board | TAIYO YUDEN CO., LTD |
11011315 | Thin film capacitor, manufacturing method therefor, and multilayer circuit board embedded with thin film capacitor | TDK CORPORATION |
11011378 | Atom implantation for reduction of compressive stress | MICRON TECHNOLOGY, INC. |
11011382 | Fin profile improvement for high performance transistor | -- |
11011388 | Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching | LAM RESEARCH CORPORATION |
11011390 | Micro device stabilization post | APPLE INC. |
11011396 | Customized smart devices and touchscreen devices and cleanspace manufacturing methods to make them | -- |
11011408 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11011410 | Substrate having two semiconductor materials on insulator | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11011411 | Semiconductor wafer having integrated circuits with bottom local interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011418 | 3D IC method and device | INVENSAS BONDING TECHNOLOGIES, INC. |
11011426 | Semiconductor device and manufacturing method thereof | -- |
11011428 | Method for fabricating a semiconductor device | -- |
11011429 | Minimize middle-of-line contact line shorts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011430 | Semiconductor device and method for fabricating the same | -- |
11011431 | Semiconductor structure and manufacturing method thereof | -- |
11011432 | Vertical silicon/silicon-germanium transistors with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011433 | NMOS and PMOS transistor gates with hafnium oxide layers and lanthanum oxide layers | -- |
11011438 | Display device | SAMSUNG DISPLAY CO., LTD. |
11011441 | Multilayer ceramic substrate and electronic device | MURATA MANUFACTURING CO., LTD. |
11011442 | Power module | MITSUBISHI ELECTRIC CORPORATION |
11011443 | Power semiconductor device including a spacer | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11011447 | Semiconductor package and method for forming the same | -- |
11011450 | Preparation method of a ceramic module for power semiconductor integrated packaging | XI'AN BAIXIN CHUANGDA ELECTRONIC TECHNOLOGY CO., LTD. |
11011453 | Cooling apparatus, semiconductor module, vehicle, and manufacturing method | FUJI ELECTRIC CO., LTD. |
11011454 | Power module apparatus, cooling structure, and electric vehicle or hybrid electric vehicle | ROHM CO., LTD. |
11011457 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11011458 | Circuit board structure and manufacturing method thereof | -- |
11011463 | Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom | INTEL CORPORATION |
11011464 | Package structures and method of forming the same | -- |
11011466 | Integrated circuit package with integrated voltage regulator | ADVANCED MICRO DEVICES, INC. |
11011477 | High-reliability electronic packaging structure, circuit board, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11011480 | Semiconductor device having a flat region with an outer peripheral shape including chamfer portions | ABLIC INC. |
11011481 | Configurable resistor | INTEL CORPORATION |
11011482 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11011484 | Semiconductor device having first and second terminals | KIOXIA CORPORATION |
11011486 | Bonded semiconductor structure and method for forming the same | -- |
11011495 | Multiple-die integrated circuit with integrated voltage regulator | ADVANCED MICRO DEVICES, INC. |
11011496 | Semiconductor device packages and methods of manufacturing the same | -- |
11011497 | Electronic device having a substrate-to-substrate interconnection structure and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11011499 | Stacked device, stacked structure, and method of manufacturing stacked device | FUJIFILM CORPORATION |
11011500 | Memory scaling semiconductor device | SANDISK TECHNOLOGIES LLC |
11011501 | Package structure, package-on-package structure and method of fabricating the same | -- |
11011502 | Semiconductor package | NEPES CO., LTD. |
11011503 | Direct-bonded optoelectronic interconnect for high-density integrated photonics | INVENSAS BONDING TECHNOLOGIES, INC. |
11011504 | Optoelectronic semiconductor chip and method of producing an optoelectronic semiconductor chip | OSRAM OLED GMBH |
11011505 | Semiconductor memory and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11011506 | Bonded structure including a performance-optimized support chip and a stress-optimized three-dimensional memory chip and method for making the same | SANDISK TECHNOLOGIES LLC |
11011507 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11011508 | Dielectric spaced diode | TEXAS INSTRUMENTS INCORPORATED |
11011509 | Electrostatic discharge protection device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11011510 | Breakdown uniformity for ESD protection device | TEXAS INSTRUMENTS INCORPORATED |
11011511 | Electrostatic discharge protection devices | SAMSUNG ELECTRONICS CO., LTD. |
11011512 | Semiconductor device including a nitride layer | SANKEN ELECTRIC CO., LTD. |
11011513 | Integrating a junction field effect transistor into a vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011514 | Doping and fabrication of diamond and C-BN based device structures | NORTH CAROLINA STATE UNIVERSITY |
11011515 | Normally off III nitride transistor | TEXAS INSTRUMENTS INCORPORATED |
11011516 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11011517 | Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011518 | Semiconductor device and method of manufacturing the same | SONY CORPORATION |
11011519 | Semiconductor device including gate structure having device isolation film | SAMSUNG ELECTRONICS CO., LTD. |
11011520 | Semiconductor DRAM cell structure having low leakage capacitor | -- |
11011521 | Semiconductor structure patterning | MICRON TECHNOLOGY, INC. |
11011522 | Semiconductor device with nanowire capacitor plugs and method for fabricating the same | -- |
11011523 | Column formation using sacrificial material | MICRON TECHNOLOGY, INC. |
11011524 | Semiconductor arrangement with capacitor | -- |
11011525 | Landing pad structure and method of manufacturing the same | -- |
11011526 | Methods of manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11011527 | Semiconductor structure and static random access memory, and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11011528 | Asymmetric gate edge spacing for SRAM structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011529 | Memory arrays comprising vertically-alternating tiers of insulative material and memory cells and methods of forming a memory array comprising memory cells individually comprising a transistor and a capacitor | MICRON TECHNOLOGY, INC. |
11011530 | Memory cell, nonvolatile semiconductor storage device, and method for manufacturing nonvolatile semiconductor storage device | FLOADIA CORPORATION |
11011531 | Replacement control gate methods and apparatuses | MICRON TECHNOLOGY, INC. |
11011532 | Floating gate nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11011533 | Memory structure and programing and reading methods thereof | -- |
11011534 | Multi-level cell thin-film transistor memory and method of fabricating the same | FUDAN UNIVERSITY |
11011535 | Semiconductor device with integrated memory devices and MOS devices and process of making the same | -- |
11011536 | Vertical memory device | SAMSUNG ELECTRONICS CO., LTD. |
11011537 | Vertical interconnect methods for stacked device architectures using direct self assembly with high operational parallelization and improved scalability | INTEL CORPORATION |
11011538 | Transistors and arrays of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
11011539 | Multi-stack three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011540 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011541 | Semiconductor memory device in which memory cells are three-dimensionally arrange | TOSHIBA MEMORY CORPORATION |
11011542 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011543 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11011544 | Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays | SUNRISE MEMORY CORPORATION |
11011545 | Semiconductor device including standard cells | -- |
11011546 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11011547 | Method for forming a microelectronic device | X-FAB FRANCE |
11011548 | Electronic device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11011549 | Thin film transistor, method for manufacturing the same, and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011550 | Self-aligned top-gated non-planar oxide semiconductor thin film transistors | INTEL CORPORATION |
11011551 | Array substrate with a plurality of different signal lines | BOE TECHNOLOGY GROUP CO., LTD. |
11011552 | Method for manufacturing a display substrate comprising interconnected first and second wirings | SAMSUNG DISPLAY CO., LTD. |
11011553 | TFT array substrate and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011554 | Array substrate, method for fabricating the same, and display panel | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011555 | Fabricating integrated light-emitting pixel arrays for displays | -- |
11011556 | Method of making a semiconductor device | -- |
11011557 | Solid-state imaging device | TOWER PARTNERS SEMICONDUCTOR CO., LTD. |
11011558 | Energy ray detector, detection apparatus, and equipment | CANON KABUSHIKI KAISHA |
11011559 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11011560 | Image sensors, methods, and high dynamic range pixels with variable capacitance | SUNNY ISLES BEACH |
11011561 | Pixel and image sensor including the same | SK HYNIX INC. |
11011562 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11011563 | Solid-state imaging device and electronic apparatus with divided pixels | SONY CORPORATION |
11011565 | Solid-state image pickup apparatus and image pickup system | CANON KABUSHIKI KAISHA |
11011566 | Bonding pad on a back side illuminated image sensor | -- |
11011567 | Structure and method for 3D image sensor | -- |
11011568 | Semiconductor structure, back-side illuminated image sensor and method for manufacturing the same | -- |
11011569 | Image sensor including a plurality of transfer transistors coupled between photodiode and floating diffusion region | SK HYNIX INC. |
11011570 | Imaging panel and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11011571 | Nanowire light emitting switch devices and methods thereof | INNOVATION SEMICONDUCTOR |
11011572 | Laminated structures and electronic devices | -- |
11011573 | Radiation-emitting component | OSRAM OLED GMBH |
11011574 | Top emission microLED display and bottom emission microLED display and a method of forming the same | -- |
11011575 | Circuit selector of embedded magnetoresistive random access memory | -- |
11011576 | Resistive random access memory device | -- |
11011577 | One-time programmable memory using gate-all-around structures | -- |
11011578 | Resistive memory device | SAMSUNG ELECTRONICS CO., LTD. |
11011579 | Cross-point memory and methods for fabrication of same | MICRON TECHNOLOGY, INC. |
11011580 | Memory device | TOSHIBA MEMORY CORPORATION |
11011581 | Multi-level loop cut process for a three-dimensional memory device using pitch-doubled metal lines | WESTERN DIGITAL TECHNOLOGIES, INC. |
11011582 | Three-dimensional memory array | MICRON TECHNOLOGY, INC. |
11011583 | Image sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11011584 | Array substrate and fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011585 | Display panel and display device having an array of sub-pixels and transparent areas, and driving method thereof | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11011586 | Display substrate and a display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11011587 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11011588 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011589 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11011590 | Display device having a groove in a blocking region and an auxiliary pattern overlapping the groove | SAMSUNG DISPLAY CO., LTD. |
11011591 | Organic light emitting diode display panel and method for fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011592 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11011593 | Organic EL display device and manufacturing method for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11011594 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11011595 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11011596 | Display device | LG DISPLAY CO., LTD. |
11011597 | Display device having a compensation transistor with a second region having greater electrical resistance than a first region | SAMSUNG DISPLAY CO., LTD. |
11011598 | Spliced unit and spliced panel | -- |
11011599 | Stretchable display panel and stretchable display device including the same | LG DISPLAY CO., LTD. |
11011600 | Semiconductor structure having integrated inductor therein | -- |
11011602 | Circuits employing adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET source/drain parasitic capacitance, and related fabrication methods | QUALCOMM INCORPORATED |
11011604 | Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region | GLOBALFOUNDRIES U.S. INC. |
11011609 | Method of manufacturing a semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11011616 | Gate line plug structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11011620 | Techniques for increasing channel region tensile strain in n-MOS devices | INTEL CORPORATION |
11011622 | Closely packed vertical transistors with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011625 | Liner for a bi-layer gate helmet and the fabrication thereof | -- |
11011626 | Fin field-effect transistor with reduced parasitic capacitance and reduced variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011627 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11011628 | Method for making thin film transistor with nanowires as masks | TSINGHUA UNIVERSITY |
11011629 | Power semiconductor switch with improved controllability | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11011633 | Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction | PSEMI CORPORATION |
11011634 | Elongated source/drain region structure in finFET device | -- |
11011637 | Semiconductor structure having buried gate, buried source and drain contacts, and strained silicon and method of manufacturing the same | -- |
11011641 | Flat STI surface for gate oxide uniformity in Fin FET devices | -- |
11011644 | Thin film transistor, thin film transistor array, and method for detecting an object to be detected | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011646 | TFT structure based on flexible multi-layer graphene quantum carbon substrate material and method for manufacturing same | GUANG DONG DONGBOND TECHNOLOGY CO., LTD. |
11011647 | Semiconductor devices comprising channel materials | MICRON TECHNOLOGY, INC. |
11011649 | Oxide semiconductor device and method of manufacturing the same | -- |
11011650 | Thin-film transistor having hydrogen-blocking layer and display apparatus including the same | LG DISPLAY CO., LTD. |
11011656 | Photodiode device and photodiode detector | NUCTECH COMPANY LIMITED |
11011665 | Thin film transistor array substrate for high-resolution digital X-ray detector and high-resolution digital X-ray detector including the same | LG DISPLAY CO., LTD. |
11011667 | Display panel with photo sensor and display device using the same | LG DISPLAY CO., LTD. |
11011668 | Semiconductor device, semiconductor system, and method of controlling the semiconductor device | RENESAS ELECTRONICS CORPORATION |
11011669 | Integrated active-matrix light emitting pixel arrays based devices | -- |
11011670 | Optical device layer transferring method | DISCO CORPORATION |
11011677 | Display device | -- |
11011682 | Composite board, light-emitting device, and manufacturing method of light-emitting device | NICHIA CORPORATION |
11011687 | Micro light emitting diode with remnants of fabrication substrate for structural support | FACEBOOK TECHNOLOGIES, LLC |
11011688 | Light emitting element, light emitting device, and method of manufacturing light emitting element | NICHIA CORPORATION |
11011689 | Quantum dot LED package and quantum dot LED module including the same | LUMENS CO., LTD. |
11011690 | LED (light-emitting diode) module and a light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11011693 | Integrated quantum circuit assemblies for cooling apparatus | INTEL CORPORATION |
11011697 | Faceted sidewall magnetic tunnel junction structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011698 | Enhanced coercivity in MTJ devices by contact depth control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011699 | Semiconductor storage device | KIOXIA CORPORATION |
11011701 | Switching device formed from correlated electron material | CERFE LABS, INC. |
11011705 | Pixel defining layer, display panel, fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011713 | Display module and electronic apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11011714 | Flexible organic electroluminescence device (OLED) display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011718 | Solar cell and method for manufacturing solar cell | KYOCERA CORPORATION |
11011721 | Electroluminescent display device | SAMSUNG ELECTRONICS CO., LTD. |
11011724 | Display apparatus | LG DISPLAY CO., LTD. |
11011725 | Display panel and mask plate having a through hole penetrating cathode layer | -- |
11011726 | Bendable backplate structure and display device | -- |
11011727 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11011728 | Display device | SAMSUNG DISPLAY CO., LTD. |
11011729 | Display module and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011730 | Display device and organic luminescent display device | SAMSUNG DISPLAY CO., LTD. |
11011732 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11011733 | Display panel and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11011734 | Manufacturing method for flexible display panel and flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011735 | Method and apparatus for producing flexible OLED device | SAKAI DISPLAY PRODUCTS CORPORATION |
11011816 | Radar assembly with a slot transition through a printed circuit board | APTIV TECHNOLOGIES LIMITED |
11011822 | Antenna apparatus, circuit board, and arrangement method | NEC CORPORATION |
11011851 | Multi-antenna system | HUAWEI TECHNOLOGIES CO., LTD. |
11011886 | Packaging of a directly modulated laser chip in photonics module | INPHI CORPORATION |
11011915 | Method of making a wireless connector transmitter module | NUCURRENT, INC. |
11011942 | Flat antennas having two or more resonant frequencies for use in wireless power transmission systems | ENERGOUS CORPORATION |
11011996 | Power converter | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11012042 | Receiver module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11012076 | Linear input and non-linear output majority logic gate with and/or function | KEPLER COMPUTING INC. |
11012600 | Display device and manufacturing method of the same | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11012604 | Image-capturing device and electronic camera | NIKON CORPORATION |
11012642 | Edgeless large area camera system | FERMI RESEARCH ALLIANCE, LLC |
11012643 | System and method for spectral imaging | APPLIED SPECTRAL IMAGING LTD. |
11012645 | Solid-state image sensor | TOWER PARTNERS SEMICODUCTOR CO., LTD. |
11012649 | Feedthrough-compensated image sensor | RAMBUS INC. |
11012651 | Solid-state imaging device and electronic apparatus | SONY CORPORATION |
11012655 | Image sensor including read-out circuitry and imaging system comprising the imaging sensor | TELEDYNE DALSA B.V. |
11013084 | Self-identifying solid-state transducer modules and associated systems and methods | MICRON TECHNOLOGY, INC. |
11013101 | Cooling techniques to improve thermal performance of electroacoustic device | BOSE CORPORATION |
11013102 | Printed circuit board and electronic device | SEIKO EPSON CORPORATION |
11013103 | Method for forming circuit board stacked structure | -- |
11013104 | Power conversion apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11013105 | Image pickup unit and image pickup apparatus | CANON KABUSHIKI KAISHA |
11013106 | Electronic control unit | APTIV TECHNOLOGIES LIMITED |
11013107 | Insulated circuit board | MITSUBISHI MATERIALS CORPORATION |
11013108 | Flexible substrate with bubble-prevention layer and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11013109 | Display unit, electronic apparatus including the same, and method of manufacturing the electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11013110 | Receiver and receiving system | NIPPON MEKTRON, LTD. |
11013111 | Electronic device | -- |
11013112 | Ceramic copper circuit board and semiconductor device based on the same | KABUSHIKI KAISHA TOSHIBA |
11013113 | Base material for printed circuit board and printed circuit board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11013114 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11013115 | Display panel motherboard and manufacturing method for display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11013116 | Flexible assembly for display device and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11013117 | Electronic device with built in fuse | MURATA MANUFACTURING CO., LTD. |
11013118 | Electronic component mounting structure and method | JUJUBE LLC |
11013119 | Component carrier with deformed layer for accommodating component | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11013120 | Tape wiring board and semiconductor device | SHENZHEN TOREY MICROELECTRONIC TECHNOLOGY CO. LTD. |
11013121 | Display device, circuit bonding structure, and circuit bonding method | BOE TECHNOLOGY GROUP CO., LTD. |
11013122 | Electrical connection structure for wiring boards and display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11013123 | Molded circuit substrates | AMS SENSORS SINGAPORE PTE. LTD. |
11013124 | Printed circuit board and method of manufacturing printed circuit board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11013125 | Method for producing plated component, plated component, catalytic activity inhibitor and composite material for electroless plating | MAXELL HOLDINGS, LTD. |
11013126 | Connection structure | DEXERIALS CORPORATION |
11013127 | Method for producing connection substrate | NGK INSULATORS, LTD. |
11013128 | Method for manufacturing flexible printed circuit board and flexible printed circuit board manufactured by same | AMOSENSE CO., LTD |
11013130 | Display device | LG DISPLAY CO., LTD. |
11013131 | Shielding cover | -- |
11013135 | Casing and method for mounting substrate to casing | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11013136 | Fixing device for fixing a circuit board and electronic device fixing mount with the fixing device | -- |
11013146 | Asymmetric heat pipe coupled to a heat sink | CIENA CORPORATION |
11013150 | Electronic device comprising heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
11013154 | Display device | LG DISPLAY CO., LTD. |
11013160 | Component mounting method and method for manufacturing component-mounted board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11013462 | Electrocardiogram sensor ring | THE GEORGE WASHINGTON UNIVERSITY |
11013463 | Capacitive sensor systems and method | ADIDAS AG |
11013928 | Ground electrical path from an MLCC filter capacitor on an AIMD circuit board to the ferrule of a hermetic feedthrough | GREATBATCH LTD. |
11014203 | System for applying interface materials | -- |
11014256 | Semiconductor memory device and method for manufacturing same | KIOXIA CORPORATION |
11014336 | Circuit board and method for manufacturing the same | NIPPON PILLAR PACKING CO., LTD. |
11014826 | Ferrite particles, resin composition and resin film | POWDERTECH CO., LTD. |
11015019 | Epoxy resin, production method, epoxy resin composition and cured product of same | DIC CORPORATION |
11015052 | Halogen-free low dielectric resin composition, and prepreg, metal-clad laminate, and printed circuit board prepared using the same | -- |
11015257 | Method and apparatus for electroplating a metal onto a substrate | ATOTECH DEUTSCHLAND GMBH |
11015261 | Substrate holder and plating apparatus | EBARA CORPORATION |
11015766 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11015768 | Method of manufacturing light source device | NICHIA CORPORATION |
11015970 | Radar level measurement device comprising synchronisation signals on different line types | VEGA GRIESHABER KG |
11015977 | Optical laminated film allowing detection of polarization state, and polarization imaging sensor using optical laminated film comprising dual phase difference plates with patterned optical anisotropic layers | FUJIFILM CORPORATION |
11015978 | Infrared image sensor | ULIS |
11015980 | Infrared radiation sensors and methods of manufacturing infrared radiation sensors | INFINEON TECHNOLOGIES AG |
11015982 | Wavefront detector | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11016055 | Sensors with a front-end-of-line solution-receiving cavity | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11016192 | Light ranging device with MEMS scanned emitter array and synchronized electronically scanned sensor array | OUSTER, INC. |
11016193 | Light ranging device having an electronically scanned emitter array | OUSTER, INC. |
11016223 | Hardcoat film and application thereof | FUJIFILM CORPORATION |
11016255 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016288 | Adaptable displays using piezoelectric actuators | INTEL CORPORATION |
11016289 | Micromirror actuator assembly | MICROSOFT TECHNOLOGY LICENSING, LLC |
11016325 | Display device | SAMSUNG DISPLAY CO., LTD. |
11016328 | Display panel and color conversion panel | SAMSUNG DISPLAY CO., LTD. |
11016329 | Display panel, data processor, and method for manufacturing display panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11016331 | Display panel and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11016348 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11016349 | Display device | SAMMSUNG DISPLAY CO., LTD. |
11016354 | Liquid crystal display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11016372 | Electronic apparatus to which accessory is removably attached, accessory, and system | CANON KABUSHIKI KAISHA |
11016625 | Display panel and deformation sensing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11017149 | Machine-learning design enablement platform | -- |
11017194 | Image sensing apparatus | -- |
11017199 | Display device with integrated sensor opening | SAMSUNG DISPLAY CO., LTD. |
11017707 | Organic light emitting display panel | SAMSUNG DISPLAY CO., LTD. |
11017716 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11017717 | Organic light emitting display device and method for driving the same | LG DISPLAY CO., LTD. |
11017719 | Display device | SAMSUNG DISPLAY CO., LTD. |
11017720 | Pixel and organic light emitting display device having the pixel | SAMSUNG DISPLAY CO., LTD. |
11017721 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11017722 | Display substrate, display device, and control method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11017821 | Magnetic recording array and magnetic recording device | TDK CORPORATION |
11017822 | Yield-centric power gated regulated supply design with programmable leakers | XILINX, INC. |
11017826 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
11017827 | Magnetic device storing first and second values | KABUSHIKI KAISHA TOSHIBA |
11017843 | Thin film transistors for memory cell array layer selection | INTEL CORPORATION |
11017852 | Method of forming memory device | -- |
11017854 | Storage device having a memory cell with a variable resistance element, in which voltage applied to a word line of the memory cell is controlled based on voltage of a bit line of the memory cell | TOSHIBA MEMORY CORPORATION |
11017862 | Multi-time programming memory cell and memory cell array with erase inhibit capability | -- |
11017866 | Method of improving read current stability in analog non-volatile memory using final bake in predetermined program state | SILICON STORAGE TECHNOLOGY, INC. |
11017871 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11017877 | Multi-chip package | SAMSUNG ELECTRONICS CO., LTD. |
11017915 | Stretchable electronics and methods of making the same | CARNEGIE MELLON UNIVERSITY |
11017922 | Chip resistor and mounting structure thereof | ROHM CO., LTD. |
11017930 | Inductor | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11017934 | Electronic module | -- |
11017963 | Intelligent lighting control system including releasable faceplate | RACEPOINT ENERGY, LLC |
11017989 | Collimator, fabrication apparatus including the same, and method of fabricating a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11018006 | Method for patterning a semiconductor structure | -- |
11018012 | Contact structures with deposited silicide layers | -- |
11018019 | Semiconductor structure and manufacturing method thereof | -- |
11018020 | Method of fabricating an integrated circuit device by using a block copolymer to form a self-assembly layer | SAMSUNG ELECTRONICS CO., LTD. |
11018025 | Redistribution lines having stacking vias | -- |
11018026 | Interposer, semiconductor package, and method of fabricating interposer | SAMSUNG ELECTRONICS CO., LTD. |
11018041 | Chip transferring method | -- |
11018042 | 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11018050 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11018054 | Integrated circuit interconnects | INTEL CORPORATION |
11018057 | Semiconductor devices | -- |
11018060 | Semiconductor device having deep trench structure and method of manufacturing thereof | KEY FOUNDRY CO., LTD. |
11018061 | Strain enhancement for FinFETs | -- |
11018062 | Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018063 | Method and apparatus for nanoscale-dimension measurement using a diffraction pattern filter | SANDISK TECHNOLOGIES LLC |
11018065 | Semiconductor device structure with magnetic element in testing region | -- |
11018066 | Integrated circuit package and method of forming same | -- |
11018070 | Semiconductor die, manufacturing method thereof, and semiconductor package | -- |
11018072 | Semiconductor package having overlapping electrically conductive regions and method for producing the same | INFINEON TECHNOLOGIES AG |
11018073 | Heat spreading device and method | -- |
11018076 | Cooling apparatus, semiconductor module, and vehicle | FUJI ELECTRIC CO., LTD. |
11018080 | Semiconductor package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11018081 | Heterogeneous fan-out structure and method of manufacture | -- |
11018082 | Space transformer and manufacturing method thereof | -- |
11018086 | Passive devices in package-on-package structures and methods for forming the same | -- |
11018088 | Dummy features in redistribution layers (RDLS) and methods of forming same | -- |
11018089 | Display devices and methods for manufacturing the same | -- |
11018090 | Selective CVD alignment-mark topography assist for non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018091 | Eliminate sawing-induced peeling through forming trenches | -- |
11018093 | Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11018096 | Crack sensor for sensing cracks in a solder pad, and method for production quality control | STMICROELECTRONICS (CROLLES 2) SAS |
11018098 | Fabricated two-sided millimeter wave antenna using through-silicon-vias | MICRON TECHNOLOGY, INC. |
11018101 | Semiconductor devices, semiconductor packages, and methods of manufacturing the semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11018102 | Semiconductor product with interlocking metal-to-metal bonds and method for manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018104 | Semiconductor structure and method for manufacturing the same | -- |
11018107 | Semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018108 | Method of fabricating semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11018109 | Power semiconductor module with low gate path inductance | ABB POWER GRIDS SWITZERLAND AG |
11018110 | Semiconductor device, manufacturing method, and solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018113 | Memory module, semiconductor package including the same, and manufacturing method thereof | -- |
11018114 | Monolithic silicon bridge stack including a hybrid baseband die supporting processors and memory | INTEL IP CORPORATION |
11018115 | Semiconductor package having a high reliability | SAMSUNG ELECTRONICS CO., LTD. |
11018116 | Method to form a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018117 | Half-bridge module with coaxial arrangement of the DC terminals | ABB POWER GRIDS SWITZERLAND AG |
11018118 | Backlight device and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018119 | LED filament assembly and lamp including the same | XIAMEN ECO LIGHTING CO. LTD. |
11018120 | Semiconductor device package with stress buffering layer and method for manufacturing the same | -- |
11018121 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11018122 | Area-efficient subpixel apparatus | BLACK PEAK LLC |
11018123 | Multi-chip modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018124 | Embedded memory device and method for embedding memory device in a substrate | INTEL CORPORATION |
11018125 | Multi-chip package with offset 3D structure | ADVANCED MICRO DEVICES, INC. |
11018126 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11018127 | Shielded gate trench MOSFET with ESD diode manufactured using two poly-silicon layers process | -- |
11018128 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11018129 | Circuit that changes voltage of back electrode of transistor based on error condition | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018130 | Method to mitigate signal feed through ESD elements | XILINX, INC. |
11018131 | Semiconductor device and fabricating method thereof | -- |
11018132 | Method of fabricating semiconductor device | -- |
11018133 | 3D integrated circuit | MONOLITHIC 3D INC. |
11018134 | Semiconductor device and method for manufacturing the same | -- |
11018135 | Three-dimensional devices having reduced contact length | MICRON TECHNOLOGY, INC. |
11018136 | Method of maintaining the state of semiconductor memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11018137 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11018138 | Methods for forming dynamic random-access devices by implanting a drain through a spacer opening at the bottom of angled structures | APPLIED MATERIALS, INC. |
11018139 | Integrated transistors and methods of forming integrated transistors | MICRON TECHNOLOGY, INC. |
11018140 | Semiconductor device and method for manufacturing the same | -- |
11018141 | Contacts and method of manufacturing the same | -- |
11018142 | Memory cell and method of manufacturing the same | -- |
11018143 | Antifuse OTP structures with hybrid low-voltage devices | ZHUHAI CHUANGFEIXIN TECHNOLOGY CO., LTD. |
11018144 | Anti-fuse cell and chip having anti-fuse cells | -- |
11018145 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11018146 | Integrated electronic circuit comprising a first transistor and a ferroelectric capacitor | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERTJNG DER ANGEWANDTEN FORSCHUNG E.V. |
11018147 | Method of forming split gate memory cells with thinned tunnel oxide | SILICON STORAGE TECHNOLOGY, INC. |
11018148 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11018149 | Building stacked hollow channels for a three dimensional circuit device | INTEL CORPORATION |
11018150 | Semiconductor memory device including artificial drain select gate and method for driving same | TOSHIBA MEMORY CORPORATION |
11018151 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
11018152 | Method for etching bottom punch-through opening in a memory film of a multi-tier three-dimensional memory device | SANDISK TECHNOLOGIES LLC |
11018153 | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes | SANDISK TECHNOLOGIES LLC |
11018154 | Memory device and method for fabricating the same | -- |
11018155 | Vertical string of memory cells individually comprising a programmable charge storage transistor comprising a control gate and a charge storage structure and method of forming a vertical string of memory cells individually comprising a programmable charge storage transistor comprising a control gate and a charge storage structure | MICRON TECHNOLOGY, INC. |
11018156 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. |
11018157 | Local interconnect structure | -- |
11018158 | Display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11018159 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018160 | Thin-film transistor substrate and luminescent device | JOLED INC. |
11018161 | Display device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018163 | Fan-out structure and method for manufacturing the same, and display panel | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018164 | Thin-film transistor substrate, display panel, and display device | SHARP KABUSHIKI KAISHA |
11018165 | Manufacturing method of array substrate and array substrate | -- |
11018166 | Display substrate and manufacturing method thereof, display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11018167 | Method and system for aging process on transistors in a display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11018168 | Image sensor with improved timing resolution and photon detection probability | -- |
11018169 | Metal-insulator-metal capacitor structure to increase capacitance density | -- |
11018170 | Image sensor and control method for the same | -- |
11018171 | Transistor and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018172 | Solid-state imaging element, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018173 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11018174 | Apparatus and method related to sensor die ESD protection | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018175 | Solid-state imaging device, method for manufacturing same, and electronic device | SONY CORPORATION |
11018176 | Metal shielding layer in backside illumination image sensor chips and methods for forming the same | -- |
11018177 | Backside illuminated global shutter image sensor | -- |
11018178 | Light receiving element, ranging module, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018179 | Semiconductor structure | -- |
11018180 | Packaging methods of semiconductor x-ray detectors | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11018181 | Solid-state imaging device | KABUSHIKI KAISHA TOSHIBA |
11018182 | Pixel structure | -- |
11018183 | Source sensitive optic with reconfigurable chip-on-board light emitting diode array | LUMILEDS LLC |
11018184 | Magnetoresistive random access memory with particular shape of dielectric layer | -- |
11018185 | Layout pattern for magnetoresistive random access memory | -- |
11018186 | Multi-level memristor elements | CIRRUS LOGIC, INC. |
11018187 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11018188 | Three-dimensional stackable multi-layer cross-point memory with bipolar junction transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018189 | Storage apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018190 | Three-dimensional memory apparatuses and methods of use | MICRON TECHNOLOGY, INC. |
11018191 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018192 | Reduction of metal resistance in vertical ReRAM cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018194 | Display substrate and method of manufacturing the same, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11018195 | Full-color light emitting diode display having improved luminance and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11018196 | Display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11018197 | Display panel and fabrication method thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11018198 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11018199 | Method for driving a display panel, display driving device and electronic device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11018200 | Display device having a white emitting area | LG DISPLAY CO., LTD. |
11018201 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018202 | Display device | SAMSUNG DISPLAY CO., LTD. |
11018203 | Display panel including touch sensor, display panel comprising the same and method for detecting defect thereof | LG DISPLAY CO., LTD. |
11018204 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11018205 | Organic light emitting display module and organic light emitting display device having the same | SAMSUNG DISPLAY CO., LTD. |
11018206 | Display panel and information processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018207 | Display device | BOE TECHNOLOGY GROUP CO., LTD. |
11018208 | Image processing device, display device having the same, and image processing method of the same | SAMSUNG DISPLAY CO., LTD. |
11018209 | Display substrate, display apparatus, and method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11018210 | Display device | SAMSUNG DISPLAY CO., LTD. |
11018211 | Array substrate and display pane, having subpixels including corresponding self-luminous units and photosensitive units | BOE TECHNOLOGY GROUP CO., LTD. |
11018212 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11018213 | Array substrate and method of fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018214 | Display device | SHARP KABUSHIKI KAISHA |
11018216 | High voltage capacitor and method | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018217 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11018220 | Device isolation design rules for HAST improvement | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11018224 | Semiconductor device with epitaxial source/drain | -- |
11018229 | Methods of forming semiconductor structures | MICRON TECHNOLOGY, INC. |
11018233 | Flash memory cell structure with step-shaped floating gate | -- |
11018234 | Semiconductor device and manufacturing method thereof | -- |
11018235 | Vertically stacked semiconductor devices having vertical channel transistors | IMEC VZW |
11018236 | Thin film transistor, array substrate, display panel and method for manufacturing thin film transistor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018238 | Structure, method for manufacturing same, semiconductor element, and electronic circuit | IDEMITSU KOSAN CO., LTD. |
11018240 | Vertical field effect transistor with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018241 | Polysilicon design for replacement gate technology | -- |
11018242 | Gate spacer structure of FinFET device | -- |
11018245 | Epitaxial structures for fin-like field effect transistors | -- |
11018246 | Integrated circuit with a fin and gate structure and method making the same | -- |
11018254 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018255 | Devices and systems with string drivers including high band gap material and methods of formation | MICRON TECHNOLOGY, INC. |
11018257 | Semiconductor device structure having a plurality of threshold voltages and method of forming the same | -- |
11018263 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11018264 | Three-dimensional nanoribbon-based logic | INTEL CORPORATION |
11018265 | Transient-voltage-suppression diode structure and manufacturing method thereof | -- |
11018266 | Reduced surface field layer in varactor | -- |
11018269 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSOR SINGAPORE PTE. LTD. |
11018282 | LED device | LEEDARSON LIGHTING CO. LTD. |
11018283 | Method of producing optoelectronic semiconductor components and an optoelectronic semiconductor component | OSRAM OLED GMBH |
11018286 | Method of manufacturing light emitting device and light emitting device | NICHIA CORPORATION |
11018288 | Metal-base substrate and semiconductor device | NICHSA CORPORATION |
11018293 | Magnetoresistance effect element | TDK CORPORATION |
11018298 | Phase change memory structures | INTEL CORPORATION |
11018300 | Self-aligned memory decks in cross-point memory arrays | MICRON TECHNOLOGY, INC. |
11018304 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11018308 | Photoelectric conversion film, photoelectric conversion element and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018312 | Organic light-emitting diode and display device comprising the same | LG DISPLAY CO., LTD. |
11018313 | Light-emitting element, light-emitting device, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018316 | Organic device and method of manufacturing the same | CANON KABUSHIKI KAISHA |
11018317 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11018319 | Electronic device with reduced non-device edge area | UNIVERSAL DISPLAY CORPORATION |
11018320 | Display device | JAPAN DISPLAY INC. |
11018323 | Organic light-emitting diode display including a layer having an inclined portion | SAMSUNG DISPLAY CO., LTD. |
11018324 | Stretchable display device | LG DISPLAY CO., LTD. |
11018325 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11018327 | Mask module, method for manufacturing a film layer, organic electromagnetic light-emitting display panel and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11018328 | Method and apparatus for manufacturing display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11018381 | Battery module with interconnect board assembly having integrated cell sense PCB-flex circuit hardware | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11018404 | Circuit body structure, where planar conductors on different layers of a multilayer board are connected by an interlayers connection | NEC SPACE TECHNOLOGIES, LTD. |
11018410 | Wireless communication module | MERRY ELECTRONICS (SHENZHEN) CO., LTD. |
11018436 | Antenna modules for phased array antennas | SPACE EXPLORATION TECHNOLOGIES CORP. |
11018444 | Multi-mode and/or multi-speed non-volatile memory (NVM) express (NVMe) over fabrics (NVMe-of) device | SAMSUNG ELECTRONICS CO., LTD. |
11018452 | Positioning fastener | -- |
11018471 | Method of producing light emitting device | NICHIA CORPORATION |
11018629 | Integrated multiple-path power amplifier | NXP USA, INC. |
11018649 | Compensation of on-die inductive parasitics in ladder filters through negative mutual inductance between ground inductors | QORVO US, INC. |
11018662 | AC coupling modules for bias ladders | PSEMI CORPORATION |
11018686 | Voltage detector | TEXAS INSTRUMENTS INCORPORATED |
11018713 | Radio frequency shielding within a semiconductor package | INTEL IP CORPORATION |
11019286 | Image sensor and method of driving the same | SAMSUNG ELECTRONICS CO., LTD. |
11019291 | Solid-state imaging device and imaging system | CANON KABUSHIKI KAISHA |
11019292 | Imager and imaging device | FUJIFILM CORPORATION |
11019296 | Solid-state imaging device and electronic apparatus | SONY CORPORATION |
11019297 | Image capturing device | NIKON CORPORATION |
11019689 | Lens heating systems and methods for an LED lighting system | J.W. SPEAKER CORPORATION |
11019718 | Low parasitic inductance structure for power switched circuits | EFFICIENT POWER CONVERSION CORPORATION |
11019719 | Printed circuit board, printed wiring board, and electronic device | CANON KABUSHIKI KAISHA |
11019720 | Method for transforming the impedance of a radio-frequency transmission line of a printed circuit and printed circuit thereof | GATESAIR, INC. |
11019721 | Manufacturing method of flexible electronic device | -- |
11019722 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11019723 | Stretchable electrode, electronic device and manufacturing method thereof | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11019724 | Printed circuit board and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11019725 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11019726 | Light emitting device with extendable and flexible carrier | -- |
11019727 | Methods for producing ceramic substrates and module components | MURATA MANUFACTURING CO., LTD. |
11019728 | Wearable electronics formed on intermediate layer on textiles | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11019729 | Device having a substrate configured to be thermoformed coupled to an electrically conductive member | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11019730 | Contact assembly | HONEYWELL FEDERAL MANUFACTURING & TECHNOLOGIES, LLC |
11019731 | Printed circuit board and method of fabricating the same | LG INNOTEK CO., LTD. |
11019732 | Printed wiring board and method for manufacturing the same | IBIDEN CO., LTD. |
11019733 | Mounting structure for module in electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11019734 | Methods and systems for fabricating miniaturized nanotube sensors | TULA HEALTH, INC. |
11019743 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11019756 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11020917 | Silicone optics | ABL IP HOLDING LLC |
11021107 | Vehicular interior rearview mirror system with display | MAGNA MIRRORS OF AMERICA, INC. |
11021306 | Enhanced product packaging | CURADITE, INC. |
11021406 | Copper-ceramic composite | HERAEUS DEUTSCHLAND GMBH & CO. KG |
11021606 | Multilayer film for electronic circuitry applications | E I DU PONT DE NEMOURS AND COMPANY |
11021623 | Jet ink composition, method and coated article | CORNING INCORPORATED |
11021634 | Adhesive film, preparation method of semiconductor device, and semiconductor device | LG CHEM, LTD. |
11021653 | Lighting apparatus | LEEDARSON LIGHTING CO. LTD. |
11021804 | Plating solution and metal composite and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11021892 | Locking system having an electronic keeper | AMESBURY GROUP, INC. |
11022255 | Reflector lamp with flex DLE | LEDVANCE GMBH |
11022257 | LED light engine features | LUMILEDS LLC |
11022279 | Lighting system with lens assembly | ECOSENSE LIGHTING INC. |
11022280 | Linkage modularized LED display module | SHENZHEN CHIP OPTECH CO. LTD. |
11022525 | Method for fabricating a crack monitoring system | -- |
11022580 | Low impedance structure for PCB based electrodes | FLEX LTD. |
11022590 | Electronic component including sensor device and method of manufacturing same | SENSIRION AG |
11022641 | Electrical component monitoring circuit | VULCAN INC. |
11022704 | Light detection device and operating method thereof | -- |
11022714 | Antenna for downhole communication | WEATHERFORD U.K. LIMITED |
11022851 | Display device | JAPAN DISPLAY INC. |
11022853 | Display panel | SHARP KABUSHIKI KAISHA |
11022854 | Method of forming a top plane connection in an electro-optic device | E INK CORPORATION |
11023011 | Semiconductor device for attaching to a flexible display and a method of manufacturing the same | -- |
11023018 | Electronic device and screen | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11023061 | Panel with multiple conductive patterns | -- |
11023063 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11023064 | Display device having at least one pressure sensor | SAMSUNG DISPLAY CO., LTD. |
11023074 | Display device having touch sensor | LG DISPLAY CO., LTD. |
11023079 | Infrared touch device, touch detection method and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11023083 | Touch panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11023196 | Display system and methods | NANOLUMENS ACQUISTION, INC. |
11023641 | Isolated wells for resistor devices | -- |
11023647 | Integrated circuit stack verification method and system for performing the same | -- |
11024010 | Super-resolution image sensor and producing method thereof | CAPITAL NORMAL UNIVERSITY |
11024203 | Flexible display device | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024208 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11024221 | Pixel arrangement structure, metal mask, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11024225 | Display substrate, method for manufacturing the same, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11024227 | Pixel and organic light emitting display device having the pixel | SAMSUNG DISPLAY CO., LTD. |
11024237 | Pixel and organic light emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
11024270 | Guitar-like digital musical instrument | -- |
11024344 | Landing pad in interconnect and memory stacks: structure and formation of the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024346 | Semiconductor circuit, driving method, and electronic device with less disturbance | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024366 | Under-memory array process edge mats with sense amplifiers | MICRON TECHNOLOGY, INC. |
11024367 | Memory with on-die data transfer | MICRON TECHNOLOGY, INC. |
11024369 | Static random-access memory cell design | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024371 | Method of programming memory device and related memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024374 | Semiconductor memory device | KIOXIA CORPORATION |
11024381 | Resistive random access memory device | -- |
11024384 | Memory device using comb-like routing structure for reduced metal line loading | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024385 | Parallel memory operations in multi-bonded memory device | SANDISK TECHNOLOGIES LLC |
11024386 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11024387 | Memory device with compensation for program speed variations due to block oxide thinning | SANDISK TECHNOLOGIES LLC |
11024398 | Semiconductor device having a diode type electrical fuse (e-fuse) cell array | KEY FOUNDRY CO., LTD. |
11024465 | Mixed three-dimensional and two-dimensional perovskites and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11024514 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
11024516 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024544 | Assembly for 3D circuit with superposed transistor levels | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11024545 | Semiconductor arrangement and method of manufacture | -- |
11024546 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024547 | Method and structure for forming vertical transistors with shared gates and separate gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024548 | Complementary MOS FETS vertically arranged and including multiple dielectric layers surrounding the MOS FETS | -- |
11024549 | Semiconductor device and manufacturing method thereof | -- |
11024550 | Semiconductor device and method | -- |
11024552 | Device arrangement structure assembly having adhesive tape layer | -- |
11024559 | Semiconductor package with electromagnetic interference shielding structures | INTEL CORPORATION |
11024561 | Semiconductor device and method of forming a fan-out PoP device with PWB vertical interconnect units | STATS CHIPPAC PTE. LTD. |
11024564 | Packaged electronic device with film isolated power stack | TEXAS INSTRUMENTS INCORPORATED |
11024566 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11024567 | SMD diode taking a runner as body and manufacturing method thereof | SIYANG GRANDE ELECTRONICS CO., LTD. |
11024569 | Semiconductor package device and method of manufacturing the same | -- |
11024570 | Semiconductor package device and method of manufacturing the same | -- |
11024571 | Coil built-in multilayer substrate and power supply module | MURATA MANUFACTURING CO., LTD. |
11024584 | Electronic device | -- |
11024585 | Integrated circuit packaging system with shielding and method of manufacture thereof | STATS CHIPPAC PTE. LTD. |
11024588 | Power integrated module | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11024590 | And placement of de-coupling capacitors for PDN design | SEAGATE TECHNOLOGY LLC |
11024600 | Unified semiconductor devices having programmable logic device and heterogeneous memories and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024601 | Hyperchip | INTEL CORPORATION |
11024602 | Hybrid bond pad structure | -- |
11024603 | Manufacturing method and a related stackable chip package | -- |
11024604 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11024605 | Integrated circuit package and method | -- |
11024606 | Semiconductor device and manufacturing method thereof | -- |
11024607 | Method for interconnecting stacked semiconductor devices | INTEL CORPORATION |
11024608 | Structures and methods for electrical connection of micro-devices and substrates | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11024609 | Four-in-one mini-LED module, display screen and manufacturing method | SHENZHEN ZHIXUNDA OPTOELECTRONICS CO., LTD. |
11024610 | Module for a video wall, and method of producing same | OSRAM OLED GMBH |
11024611 | Micro-LED array transfer method, manufacturing method and display device | GOERTEK INC. |
11024612 | Display device | LG ELECTRONICS INC. |
11024613 | Lumiphoric material region arrangements for light emitting diode packages | CREELED, INC. |
11024614 | Method for manufacturing micro LED panel and micro LED panel thereof | -- |
11024615 | Display driver integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11024616 | Package structure and method of manufacturing the same | -- |
11024617 | Semiconductor packages having photon integrated circuit (PIC) chips | MICRON TECHNOLOGY, INC. |
11024618 | Wafer-level underfill and over-molding | -- |
11024619 | Semiconductor manufacturing apparatus | TOSHIBA MEMORY CORPORATION |
11024620 | Integrated circuits and processes for protection of standard cell performance from context effects | TEXAS INSTRUMENTS INCORPORATED |
11024621 | Memory circuit layout method | -- |
11024622 | Integrated circuit having angled conductive feature | -- |
11024623 | Layout modification method for exposure manufacturing process | -- |
11024624 | Devices and methods to control clamping devices | ARM LIMITED |
11024625 | ESD protection circuit cell | -- |
11024626 | Apparatus and circuits including transistors with different threshold voltages and methods of fabricating the same | -- |
11024627 | High-K metal gate transistor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11024628 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11024629 | Semiconductor device comprising gate structure sidewalls having different angles | MICRON TECHNOLOGY, INC. |
11024630 | Memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry | MICRON TECHNOLOGY, INC. |
11024631 | Integrated circuit device including field isolation layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11024632 | Semiconductor structure for SRAM cell | -- |
11024633 | SRAM cell word line structure with reduced RC effects | -- |
11024634 | Semiconductor device having an inter-layer via (ILV), and method of making same | -- |
11024635 | Three-dimensional flat NAND memory device having curved memory elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
11024636 | Vertical 3D stack NOR device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024637 | Embedded non-volatile memory | -- |
11024638 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11024639 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11024640 | Three-dimensional semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11024641 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024642 | Vertical memory device | SAMSUNG ELECTRONICS CO., LTD. |
11024643 | Methods of forming integrated structures comprising vertical channel material and having conductively-doped semiconductor material directly against lower sidewalls of the channel material | MICRON TECHNOLOGY, INC. |
11024644 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11024645 | Three-dimensional memory device containing a silicon nitride ring in an opening in a memory film and method of making the same | SANDISK TECHNOLOGIES LLC |
11024646 | Memory device | TOSHIBA MEMORY CORPORATION |
11024647 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
11024648 | Ferroelectric memory devices including a stack of ferroelectric and antiferroelectric layers and method of making the same | SANDISK TECHNOLOGIES LLC |
11024649 | Integrated circuit with resurf region biasing under buried insulator layers | TEXAS INSTRUMENTS INCORPORATED |
11024650 | FinFET device and a method for fabricating the same | -- |
11024651 | Display device and electronic device with microlens array and light emitting element substrates bonded by adhesive layer | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024652 | Flexible display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11024653 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024654 | Display panel including link lines | LG DISPLAY CO., LTD. |
11024655 | Liquid crystal display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024656 | Active matrix substrate, optical shutter substrate, display device, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
11024657 | Transistor, array substrate and method of manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11024658 | Image sensor including grooves | SONY CORPORATION |
11024659 | Image sensor and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11024660 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024661 | Solid-state image pickup device having pixel separation wall | SONY CORPORATION |
11024662 | Dual image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11024663 | Solid-state imaging element, electronic apparatus, and semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024664 | Imaging panel | SHARP KABUSHIKI KAISHA |
11024665 | Imaging device and manufacturing method thereof | PANASONIC CORPORATION |
11024666 | Electromagnetic radiation detector comprising charge transport across a bonded interface | G-RAY SWITZERLAND SA |
11024667 | Light-emitting device | NICHIA CORPORATION |
11024669 | LIDAR system with fiber tip reimaging | AEVA, INC. |
11024670 | Forming an MRAM device over a transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024671 | Resistive random access memory device | -- |
11024672 | Structure of memory device and fabrication method thereof | -- |
11024673 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11024674 | Metal-insulator-semiconductor-insulator-metal (MISIM) device, method of operation, and memory device including the same | -- |
11024675 | Organic photoelectronic device and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11024676 | Organic light-emitting diode display panel and manufacturing method thereof, and display device | HEFEI XINSHENG OPTOELECTRONCS TECHNOLOGY CO., LTD. |
11024677 | Organic EL display apparatus and method of manufacturing organic EL display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11024678 | Organic electroluminescent display apparatus including continuous protection layer having different respective thicknesses formed among a plurality of sub-pixels | LG DISPLAY CO., LTD. |
11024679 | Color filter substrate having a filter layer disposed on quantum dot layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024680 | OLED display panel and fabrication method of the same | BOE TECHNOLOGY GROUP CO., LTD. |
11024681 | Parallax optics for top emitting electroluminescent displays | SHARP KABUSHIKI KAISHA |
11024682 | Fingerprint sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11024683 | Display device including a sensing signal transmitter and a sensing signal receiver | SAMSUNG DISPLAY CO., LTD. |
11024684 | Display device | LG DISPLAY CO., LTD. |
11024685 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11024686 | OLED pixel structure and OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024687 | Array substrate with a pixel defining layer with groove between sub-pixel areas | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11024688 | Pixel structure, display panel and driving method of pixel structure | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024689 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11024690 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11024691 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11024692 | Display panel and method for driving the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024693 | Flexible display and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11024694 | Display unit and electronic apparatus | SONY CORPORATION |
11024695 | Display panel and display apparatus including the same | SHANGHAI TIANMA AM-OLED CO., LTD. |
11024696 | Display apparatus having a reduced non-display area | SAMSUNG DISPLAY CO., LTD. |
11024697 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11024698 | Display device including driving circuit with first and second capacitors | SONY CORPORATION |
11024699 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11024700 | Display device | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024701 | Integrated electronic component suitable for broadband biasing | MURATA INTEGRATED PASSIVE SOLUTIONS |
11024702 | Stacked electronic structure | -- |
11024703 | Semiconductor device and a method for fabricating the same | -- |
11024708 | Semiconductor device and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11024709 | Vertical fin field effect transistor with air gap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024715 | FinFET gate cut after dummy gate removal | TESSERA, INC. |
11024719 | Semiconductor device and production method thereof | TOSHIBA MEMORY CORPORATION |
11024724 | Vertical FET with differential top spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024725 | Semiconductor device including metal oxide film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024728 | Monolithic self-aligned heterojunction bipolar transistor (HBT) and complementary metal-oxide-semiconductor (CMOS) | QUALCOMM INCORPORATED |
11024731 | Power module for supporting high current densities | CREE, INC. |
11024732 | Lateral MOSFET with dielectric isolation trench | -- |
11024733 | Laterally diffused MOSFET with low Rsp*Qg product | SILANNA ASIA PTE LTD |
11024735 | Methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024736 | Transistor and methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024739 | Fin field effect transistor including a single diffusion break with a multi-layer dummy gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024740 | Asymmetric channel threshold voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024742 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024746 | Gate all-around device | APPLIED MATERRIALS, INC. |
11024747 | Light-emitting device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024748 | Nonvolatile memory device including two-dimensional material and apparatus including the nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11024752 | Photoelectric conversion device and imaging system having stacked structure and avalanche amplification-type diode | CANON KABUSHIKI KAISHA |
11024756 | Apparatus for sensing electromagnetic radiation incident substantially perpendicular to the surface of a substrate | NOKIA TECHNOLOGIES OY |
11024757 | Semiconductor device and imaging apparatus | SONY CORPORATION |
11024763 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024772 | Light emitting diode | KOREA POLYTECHNIC UNIVERSITY INDUSTRY ACADEMIC COOPERATION FOUNDATION |
11024773 | Micro-LED with vertical structure, display device, electronics apparatus and manufacturing method | GOERTEK. INC |
11024779 | LED device | LEEDARSON LIGHTING CO. LTD. |
11024780 | LED light tube | LEEDARSON LIGHTING CO. LTD. |
11024782 | Light-emitting device, manufacturing method thereof and display module using the same | -- |
11024783 | Light emitting device and display apparatus | SONY CORPORATION |
11024784 | Display apparatus and manufacturing method thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11024785 | Light-emitting diode packages | CREELED, INC. |
11024786 | Display apparatus and manufacturing method thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11024791 | Magnetically stabilized magnetic Josephson junction memory cell | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11024797 | Under-cut via electrode for sub 60 nm etchless MRAM devices by decoupling the via etch process | -- |
11024798 | Protective passivation layer for magnetic tunnel junctions | -- |
11024799 | Methods of manufacturing a magnetic field sensor | EVERSPIN TECHNOLOGIES, INC. |
11024800 | Film scheme to improve peeling in chalcogenide based PCRAM | -- |
11024804 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11024813 | Photoelectric conversion element, optical sensor, and imaging element | FUJIFILM CORPORATION |
11024817 | Display panel and a manufacturing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024821 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
11024822 | Organic electroluminescent element, lighting device, and display device | XIANYANG CHVT NEW DISPLAY TECHNOLOGY CO., LTD. |
11024823 | Light emitting element, method for manufacturing the same, and display device | SONY CORPORATION |
11024824 | Organic electroluminescent devices, displays and mobile communication apparatuses | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11024825 | Array substrate, method for manufacturing the same, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024826 | Display substrate | SAMSUNG DISPLAY CO., LTD. |
11024828 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11024829 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024830 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024875 | Silicon secondary battery | REKRIX CO., LTD. |
11024960 | Scanned antenna and method of manufacturing scanned antenna | SHARP KABUSHIKI KAISHA |
11024993 | Connecting method, connecting structure and connection terminal assembly | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11024995 | Electrical connector assembly having metal cover | -- |
11025051 | Electronic control unit | BROSE FAHRZEUGTEILE GMBH & CO. KOMMANDITGESELLSCHAFT |
11025054 | Electrostatic discharge protection device | -- |
11025096 | Microwave wireless charger with focusing of microwave field | SAMSUNG ELECTRONICS CO., LTD. |
11025139 | Motor | JOHNSON ELECTRIC INTERNATIONAL AG |
11025194 | Integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11025805 | System-level camera module with electrical support and manufacturing method thereof | NINGBO SUNNY OPOTECH CO., LTD. |
11025832 | Imaging device that generates multiple-exposure image data | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11025847 | Imaging device including an imaging cell having variable sensitivity | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11025850 | Solid-state image-capturing device and method for driving solid-state image-capturing device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11025853 | Comparator circuit, solid-state imaging apparatus, and electronic device | SONY CORPORATION |
11025895 | Directional pixel array for multiple view display | -- |
11025898 | Detecting loss of alignment of optical imaging modules | APPLE INC. |
11026008 | Microphone and terminal device including the same | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11026029 | Hearing aid with a flexible carrier antenna and related method | GN HEARING A/S |
11026301 | Organic EL device, method of manufacturing organic EL device, and electronic apparatus | SEIKO EPSON CORPORATION |
11026321 | Information handling system comprising a processor coupled to a board and including a differential trace pair having a first straight differential trace and a second serpentine shape differential trace | DELL PRODUCTS L.P. |
11026322 | PCB strain relief | TRW AUTOMOTIVE U.S. LLC |
11026323 | Flexible display panel, display device and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11026324 | Creating a secure volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11026325 | Flexible circuit package | ORPYX MEDICAL TECHNOLOGIES INC. |
11026326 | Peripheral end face attachment of exposed copper layers of a first printed circuit board to the surface of a second printed circuit board by surface mount assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11026327 | Printed circuit board | LG INNOTEK CO., LTD. |
11026328 | Display apparatus and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11026329 | Device for fixing camera module circuit board, and camera module | LG INNOTEK CO., LTD. |
11026330 | Display device | SAMSUNG DISPLAY CO., LTD. |
11026331 | SpaceCube V3.0 single-board computer | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11026332 | Reel-to-reel flexible printed circuit fabrication methods and devices | MANAFLEX, LLC |
11026333 | Reel-to-reel laser sintering methods and devices in FPC fabrication | MANAFLEX, LLC |
11026334 | Wired circuit board and producing method thereof | NITTO DENKO CORPORATION |
11026335 | Wiring board manufacturing method and wiring board | NICHIA CORPORATION |
11026341 | Method of changing a switching module using pressure-applying device | LSIS CO., LTD. |
11026349 | Telecommunications enclosure with separate heat sink assembly | COMMSCOPE TECHNOLOGIES LLC |
11026351 | Computing apparatus with closed cooling loop | INTEL CORPORATION |
11026355 | Housing for receiving electronic devices and electronic system having the same | SAMSUNG ELECTRONICS CO., LTD. |
11026356 | Electrical device and shielding method | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11026358 | Biopolymer-based electromagnetic interference shielding materials | SOFTWARE DEFINED TECHNOLOGIES, INC |
11026360 | Method for manufacturing a mounting board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11026565 | Image sensor for endoscopic use | DEPUY SYNTHES PRODUCTS, INC. |
11027354 | Modular power conversion system and method | ILLINOIS TOOL WORKS INC. |
11027458 | Prepreg, metal-clad laminate, printed wiring board, and method for producing prepreg | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11027462 | Polydimethylsiloxane films and method of manufacture | THE BOARD OF TRUSTEES OF WESTERN MICHIGAN UNIVERSITY |
11027481 | Method for treating millimetre and/or micrometre and/or nanometre structures on a surface of a substrate | EV GROUP E. THALLNER GMBH |
11027643 | Mobile unit and system for mobile unit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11027647 | Embossed smart functional premium natural leather | HONDA MOTOR CO., LTD. |
11028059 | Oxazine compound, composition and cured product | DIC CORPORATION |
11028971 | LED filament and lamp, and manufacturing process of LED filament | HANGZHOU BINARY OPTOELECTRONICS & TECH CO., LTD. |
11028973 | Led tube lamp | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11028979 | Lighting source using solid state emitter and phosphor materials | RENSSELAER POLYTECHNIC INSTITUTE |
11028980 | Flexible strip lighting apparatus and methods | ECOSENSE LIGHTING INC. |
11029009 | Downlight apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11029204 | Single photon sensitive element based high throughput analytical system | GENESENSE TECHNOLOGY INC. |
11029205 | Integrated circuit comprising multiple channels with integrated bypass capacitors and photodiodes | INTEL CORPORATION |
11029278 | Ion sensor based on differential measurement, and production method | CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS (CSIC) |
11029331 | Universal test mechanism for semiconductor device | -- |
11029355 | Direct measurement test structures for measuring static random access memory static noise margin | THE BOEING COMPANY |
11029365 | Semiconductor device | ROHM CO., LTD. |
11029406 | Lidar system with AlInAsSb avalanche photodiode | LUMINAR, LLC |
11029424 | X-ray detectors of high spatial resolution | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11029459 | Phase gratings with odd symmetry for high-resolution lensless optical sensing | RAMBUS INC. |
11029557 | Optical laminate film and organic electroluminescent display device | FUJIFILM CORPORATION |
11029559 | Nanostructure based display devices | NANOSYS, INC. |
11029573 | Display device | JAPAN DISPLAY INC. |
11029724 | Display device with pressure sensor | SAMSUNG DISPLAY CO., LTD. |
11029791 | Touch panel including a layered structure with first and second mesh terminal layers directly overlaid on each other and touch panel production method | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11029893 | Storage device including nonvolatile memory device and controller, controller and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11030373 | System for generating standard cell layout having engineering change order (ECO) cells | -- |
11030382 | Integrated circuit with constrained metal line arrangement | -- |
11030739 | Human detection device equipped with light source projecting at least one dot onto living body | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11030948 | Display panel | -- |
11030952 | Pixel and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11030953 | Pixel and organic light emitting display device having the same | SAMSUNG DISPLAY CO., LTD. |
11030957 | Organic light emitting display device with luminance compensation features | LG DISPLAY CO., LTD. |
11031049 | Flexible memory system with a controller and a stack of memory | MICRON TECHNOLOGY, INC. |
11031058 | Spin-transfer torque magnetoresistive memory device with a free layer stack including multiple spacers and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031059 | Magnetic random-access memory with selector voltage compensation | SANDISK TECHNOLOGIES LLC |
11031069 | Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same | OVONYX MEMORY TECHNOLOGY, LLC |
11031072 | Dynamic random access memory including threshold switch | INTEL CORPORATION |
11031073 | SRAM cells with vertical gate-all-round MOSFETs | -- |
11031077 | Resistance variable memory device | SK HYNIX INC. |
11031078 | SEU stabilized memory cells | MICROSEMI SOC CORP. |
11031082 | Non-volatile memory with double capa implant | STMICROELECTRONICS (ROUSSET) SAS |
11031085 | Non-volatile memory with fast partial page operation | SANDISK TECHNOLOGIES LLC |
11031151 | Amphiphilic hybrid nanomaterials | THE UNIVERSITY OF NORTH CAROLINA AT GREENSBORO |
11031156 | 3-d printed electrical cable | RAYTHEON COMPANY |
11031170 | Coil device | TDK CORPORATION |
11031236 | Method for improving surface of semiconductor device | -- |
11031250 | Semiconductor structures of more uniform thickness | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031251 | Self-aligned planarization of low-k dielectrics and method for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11031255 | Stack frame for electrical connections and the method to fabricate thereof | -- |
11031256 | Semiconductor device with tiered pillar and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031275 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11031282 | Three-dimensional memory devices with deep isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031283 | Trench isolation interfaces | MICRON TECHNOLOGY, INC. |
11031285 | Diffusion barrier collar for interconnects | INVENSAS BONDING TECHNOLOGIES, INC. |
11031288 | Passive components in vias in a stacked integrated circuit package | INTEL CORPORATION |
11031290 | Semiconductor structure with cutting depth control and method for fabricating the same | -- |
11031291 | Semiconductor structure and method of forming the same | -- |
11031292 | Multi-gate device and related methods | -- |
11031293 | Method for fabricating a semiconductor device | -- |
11031294 | Semiconductor device and a method for fabricating the same | -- |
11031295 | Gate cap last for self-aligned contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031296 | 3D vertical FET with top and bottom gate contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031297 | Multiple gate length vertical field-effect-transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031298 | Semiconductor device and method | -- |
11031299 | FinFET device with different liners for PFET and NFET and method of fabricating thereof | -- |
11031300 | Semiconductor structure and method for manufacturing the same | -- |
11031301 | Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031302 | High-k metal gate and method for fabricating the same | -- |
11031303 | Deep trench isolation structure and method of making the same | -- |
11031304 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11031305 | Laterally adjacent and diverse group III-N transistors | INTEL CORPORATION |
11031307 | Semiconductor package, buffer wafer for semiconductor package, and method of manufacturing semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11031308 | Connectivity detection for wafer-to-wafer alignment and bonding | SANDISK TECHNOLOGIES LLC |
11031326 | Wiring structure, electronic device and method for manufacturing the same | -- |
11031327 | Through vias and methods of formation thereof | INFINEON TECHNOLOGIES AG |
11031329 | Method of fabricating packaging substrate | -- |
11031330 | Electroconductive substrate, electronic device and display device | TDK CORPORATION |
11031332 | Package panel processing with integrated ceramic isolation | TEXAS INSTRUMENTS INCORPORATED |
11031333 | Three-dimensional memory devices having a plurality of NAND strings | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031334 | Semiconductor device including a conductive feature over an active region | -- |
11031336 | Semiconductor memory device having contact element of rectangular shape | -- |
11031340 | Semiconductor device including a multilayer etch stop layer | SAMSUNG ELECTRONICS CO., LTD. |
11031341 | Side mounted interconnect bridges | INTEL CORPORATION |
11031342 | Semiconductor package and method | -- |
11031343 | Fins for enhanced die communication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031344 | Package having redistribution layer structure with protective layer and method of fabricating the same | -- |
11031347 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11031352 | Routing design of dummy metal cap and redistribution line | -- |
11031354 | Mixing organic materials into hybrid packages | -- |
11031355 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031359 | Capacitor loop structure | INTEL CORPORATION |
11031360 | Techniques for an inductor at a second level interface | INTEL CORPORATION |
11031361 | Semiconductor bonding structure and method of manufacturing the same | -- |
11031362 | 3D-interconnect | INVENSAS CORPORATION |
11031363 | Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices | -- |
11031366 | Shielded electronic component package | AMKOR TECHNOLOGY SINGAPORE PTE. LTD. |
11031370 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031371 | Semiconductor package and method of fabricating semiconductor package | SANDISK INFORMATION TECHNOLOGY (SHANGHAI) CO., LTD. |
11031372 | Semiconductor device including dummy pull-down wire bonds | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031373 | Spacer for die-to-die communication in an integrated circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031374 | Methods of compensating for misalignment of bonded semiconductor wafers | MICRON TECHNOLOGY, INC. |
11031375 | Semiconductor devices having a conductive pillar and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031376 | Chip package and method of forming the same | -- |
11031377 | Integration of three-dimensional NAND memory devices with multiple functional chips | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031378 | Semiconductor device including high speed heterogeneous integrated controller and cache | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031379 | Stray inductance reduction in packaged semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11031380 | Manufacturing method of micro LED display module | SYNDIANT INC. |
11031381 | Optical transceiver and manufacturing method thereof | -- |
11031382 | Passive element, electronic device and method for manufacturing the same | -- |
11031383 | Semiconductor device | -- |
11031384 | Integrated circuits and methods of manufacturing and designing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031385 | Standard cell for removing routing interference between adjacent pins and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11031386 | Semiconductor device | ROHM CO., LTD. |
11031387 | PN diodes and connected group III-N devices and their methods of fabrication | INTEL CORPORATION |
11031388 | Semiconductor structure and driving chip | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11031389 | Semiconductor structures over active region and methods of forming the structures | GLOBALFOUNDRIES U.S. INC. |
11031390 | Bidirectional switch having back to back field effect transistors | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
11031391 | Method for manufacturing a FinFET device | -- |
11031392 | Integrated circuit device having a work function control layer with a step portion located on an element isolation layer | SAMSUNG ELECTRONICS CO., LTD. |
11031393 | III-V fins by aspect ratio trapping and self-aligned etch to remove rough epitaxy surface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031394 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11031395 | Method of forming high performance MOSFETs having varying channel structures | -- |
11031396 | Spacer for dual epi CMOS devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031397 | Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells | -- |
11031398 | Structure and method for semiconductor device | -- |
11031399 | Semiconductor device and manufacturing method of the same | SONY CORPORATION |
11031400 | Integrated memory comprising secondary access devices between digit lines and primary access devices | MICRON TECHNOLOGY, INC. |
11031401 | Memory device comprising electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11031402 | Capacitorless dram cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031403 | Semiconductor device and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031404 | Dynamic memory structure with a shared counter electrode | -- |
11031405 | Peripheral logic circuits under DRAM memory arrays | MICRON TECHNOLOGY, INC. |
11031406 | Semiconductor devices having silicon/germanium active regions with different germanium concentrations | GLOBALFOUNDRIES U.S. INC. |
11031407 | Anti-fuse device, circuit, methods, and layout | -- |
11031408 | Semiconductor device and method of producing semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11031409 | Cell boundary structure for embedded memory | -- |
11031410 | Nonvolatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11031411 | Vertical non-volatile memory device with high aspect ratio | SAMSUNG ELECTRONICS CO., LTD. |
11031412 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11031413 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031414 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11031415 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11031416 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11031417 | Methods used in forming an array of elevationally-extending transistors | MICRON TECHNOLOGY, INC. |
11031418 | Integrated circuit structure and method with hybrid orientation for FinFET | -- |
11031419 | Array substrate, method for manufacturing the same, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11031420 | Image pickup device and electronic apparatus | SONY CORPORATION |
11031421 | Solid-state imaging element and imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11031422 | Solid-state imaging element and imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11031423 | Imaging element and camera system | SONY CORPORATION |
11031424 | Image sensor with selective light-shielding for reference pixels | SAMSUNG ELECTRONICS CO., LTD. |
11031425 | Image sensor and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031426 | Image sensor having grid isolation structure | -- |
11031427 | Solid-state imaging device, manufacturing method thereof, and electronic apparatus | SONY CORPORATION |
11031428 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11031429 | Semiconductor device, solid-state image pickup element, image pickup device, and electronic apparatus | SONY CORPORATION |
11031430 | Image sensor with dummy lines for minimizing fixed pattern noise (FPN) and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11031431 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11031432 | Vertical microbolometer contact systems and methods | FLIR SYSTEMS, INC. |
11031433 | Back-side illuminated image sensor | STMICROELECTRONICS (CROLLES) SAS |
11031434 | Self aligned grids in BSI image sensor | -- |
11031435 | Memory device containing ovonic threshold switch material thermal isolation and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031436 | Display device | SAMSUNG DISPLAY CO., LTD. |
11031437 | Display substrate and manufacturing method thereof, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031438 | Pixel for an organic light-emitting diode microscreen | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11031439 | Light-emitting device and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031440 | Light conversion substrate, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11031441 | Electro-optical device, manufacturing method of electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
11031442 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11031443 | Organic light-emitting diode (OLED) display device including sensor disposed in groove of base substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031444 | Display panel comprising a container portion extends along a length of a row of subpixel regions having grooves connect to subpixel regions of a same color and a method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11031445 | Array substrate and display device with backside camera | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031446 | Display device | SAMSUNG DISPLAY CO., LTD. |
11031447 | Flexible display | LG DISPLAY CO., LTD. |
11031448 | Organic light emitting diode (OLED) display panel and the manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031450 | Light emitting display apparatus for improving light extraction efficiency | LG DISPLAY CO., LTD. |
11031451 | Organic EL display device | JAPAN DISPLAY INC. |
11031452 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11031453 | Flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11031454 | Electronic component, electric device including the same | SAMSUNG DISPLAY CO., LTD. |
11031455 | OLED tiled display and a related tiling method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031456 | Rolled-up electromagnetic component for on-chip applications and method of making a rolled-up electromagnetic component | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11031457 | Low resistance high capacitance density MIM capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031460 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031465 | Semiconductor device incorporating epitaxial layer field stop zone | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11031466 | Method of forming oxygen inserted Si-layers in power semiconductor devices | INFINEON TECHNOLOGIES AUSTRIA AG |
11031470 | Semiconductor device and manufacturing method thereof | -- |
11031471 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11031476 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11031478 | Semiconductor device having body contacts with dielectric spacers and corresponding methods of manufacture | INFINEON TECHNOLOGIES AUSTRIA AG |
11031481 | Semiconductor device and method of manufacturing the same | -- |
11031484 | Silicided gate structures | GLOBALFOUNDRIES U.S. INC. |
11031486 | Semiconductor device and methods of manufacture | -- |
11031487 | Contact over active gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11031491 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031497 | Semiconductor device and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11031498 | Semiconductor structure with improved source drain epitaxy | -- |
11031501 | Isolation structure having different distances to adjacent FinFET devices | -- |
11031506 | Semiconductor device including transistor using oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031509 | Memory device and manufacturing method thereof | -- |
11031521 | Flexible transparent thin film | NEW ASIA GROUP HOLDINGS LIMITED |
11031523 | Manufacturing method of micro LED display module | SYNDIANT INC. |
11031525 | Micro light emitting diode chip and display panel having a backplane and a plurality of sub-pixels regions | -- |
11031528 | Display apparatus and manufacturing method thereof | -- |
11031533 | Light-emitting device, light-emitting module, and backlight module | -- |
11031537 | Systems, methods and apparatus for active compensation of quantum processor elements | D-WAVE SYSTEMS INC. |
11031538 | Liquid ejection apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11031541 | Spin-orbit torque type magnetization rotating element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11031542 | Contact via with pillar of alternating layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031543 | Via landing enhancement for memory device | -- |
11031544 | Memory device with superparamagnetic layer | -- |
11031545 | High stability free layer for perpendicular spin torque transfer memory | INTEL CORPORATION |
11031546 | Method of integration of a magnetoresistive structure | EVERSPIN TECHNOLOGIES, INC. |
11031549 | Magnetoresistive random access memory (MRAM) device | SAMSUNG ELECTRONICS CO., LTD. |
11031550 | Phase-change memory cell having a compact structure | STMICROELECTRONICS (CROLLES 2) SAS |
11031553 | Method, system, and device for phase change memory switch wall cell with approximately horizontal electrode contact cross references | OVONYX MEMORY TECHNOLOGY, LLC |
11031564 | Display device | JAPAN DISPLAY INC. |
11031565 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11031567 | Efficient solar cells using all-organic nanocrystalline networks | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11031568 | Photoelectric conversion element including first electrode, second electrodes, photoelectric conversion film, and conductive layer and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11031570 | Organic EL element, method of manufacturing organic EL element, organic EL panel, organic EL display device and electronic apparatus | JOLED INC. |
11031571 | Display device and method for preparing the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031572 | Display device | LG DISPLAY CO., LTD. |
11031573 | Encapsulation layer of flexible display panel and flexible display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031574 | Bendable electronic device modules, articles and methods of making the same | CORNING INCORPORATED |
11031577 | Multimodal microcavity OLED with multiple blue emitting layers | OLEDWORKS LLC |
11031578 | Display substrate and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11031579 | Method of manufacturing an OLED device | LUMILEDS LLC |
11031675 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO. LTD. |
11031717 | Dual contact member and electronic device therewith | SAMSUNG ELECTRONICS CO., LTD. |
11031734 | Modular electrical connector with reduced crosstalk | TE CONNECTIVITY SERVICES GMBH |
11031762 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
11031778 | High-voltage tolerant bi-directional electrostatic discharge protection circuit | SILICON LABORATORIES INC. |
11031779 | Memory system with a random bit block | -- |
11031801 | Power supply unit for aerosol inhaler | JAPAN TOBACCO INC. |
11031833 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY CORPORATION |
11031843 | Brushless DC motor power tool with combined PCB design | MILWAUKEE ELECTRIC TOOL CORPORATION |
11031874 | Electrical power conversion system | SIEMENS AKTIENGESELLSCHAFT |
11031914 | Diode linearizer | MITSUBISHI ELECTRIC CORPORATION |
11032454 | Circuit board, molded photosensitive assembly and manufacturing method therefor, photographing module, and electronic device | NINGBO SUNNY OPOTECH CO., LTD. |
11032459 | Camera module including reinforcement members for supporting printed circuit board on which plurality of image sensors are disposed and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11032496 | Enhanced shutter efficiency time-of-flight pixel | OMNIVISION TECHNOLOGIES, INC. |
11032497 | Solid state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY CORPORATION |
11032499 | Solid-state image sensor and imaging apparatus | SONY CORPORATION |
11032502 | Solid-state imaging device and driving method thereof, and electronic apparatus | SONY CORPORATION |
11032504 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11032505 | Ramp signal generator and CMOS image sensor using the same | SK HYNIX INC. |
11032506 | Image sensor and image-capturing device | NIKON CORPORATION |
11032509 | Display apparatus with a display area and a non-display area and including a sound generator | LG DISPLAY CO., LTD. |
11032889 | Electroluminescent light source intended to be supplied with power by a voltage source | VALEO VISION |
11032901 | Printed circuit board and electronic device having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11032902 | Electro-optical structure | DEUTSCHES ZENTRUM FüR LUFT- UND RAUMFAHRT E.V. |
11032903 | Support for shaping a flexible portion of a flexible printed circuit board | SAGEMCOM BROADBAND SAS |
11032904 | Interposer substrate and circuit module | MURATA MANUFACTURING CO., LTD. |
11032905 | Unmanned vehicle control systems | GE AVIATION SYSTEMS LLC |
11032906 | Control device for unmanned aerial vehicle and unmanned aerial vehicle | SHANGHAI TOPXGUN ROBOTICS CO., LTD. |
11032907 | Manufacturing method for electronic apparatus with case in which printed boards joined to each other are stored | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11032908 | Circuit board, assembly and method of assembling | UOP LLC |
11032909 | Electronic apparatus | RENESAS ELECTRONICS CORPORATION |
11032910 | System-in-Package device ball map and layout optimization | OCTAVO SYSTEMS LLC |
11032911 | Embedded component package structure and manufacturing method thereof | -- |
11032913 | Wired circuit board and production method thereof | NITTO DENKO CORPORATION |
11032914 | Method of forming a solderable solder deposit on a contact pad | ATOTECH DEUTSCHLAND GMBH |
11032915 | Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor | RICHVIEW ELECTRONICS CO., LTD. |
11032916 | Method for manufacturing circuit board | -- |
11032917 | Circuit carrier board and manufacturing method thereof | -- |
11032918 | Display device, and method and apparatus for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11032919 | Control boxes and system-on-module circuit boards for unmanned vehicles | GE AVIATION SYSTEMS LLC |
11032922 | Cumulative sensor in a foldable device | MOTOROLA MOBILITY LLC |
11032924 | Display device, and method and apparatus for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11032925 | Flexible display panel and flexible display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD |
11032935 | Support structure for a flexible interconnect of a superconductor | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11032946 | Electrical connector cage assembly, electrical connector, and electronic apparatus | -- |
11032947 | Tailored coldplate geometries for forming multiple coefficient of thermal expansion (CTE) zones | RAYTHEON COMPANY |
11032951 | Electronic system comprising an electronic module | INSTITUT VEDECOM |
11032952 | Electronic device including shield can | SAMSUNG ELECTRONICS CO., LTD. |
11032953 | Mutually shielded printed circuit board assembly | MICROSOFT TECHNOLOGY LICENSING, LLC |
11032954 | Shield can | MICROSOFT TECHNOLOGY LICENSING, LLC |
11032955 | Ferrite powder, resin composition, electromagnetic shielding material, electronic circuit substrate, electronic circuit component, and electronic device housing | POWDERTECH CO., LTD. |
11033192 | Wireless sensor for measuring pressure | ST. JUDE MEDICAL LUXEMBOURG HOLDINGS II S.A.R.L. (“SJM LUX 11”) |
11033193 | Light emitting device, biological information measuring apparatus, and method of manufacturing light emitting device | SEIKO EPSON CORPORATION |
11033990 | Low cost approach for depositing solder and adhesives in a pattern for forming electronic assemblies | RAYTHEON COMPANY |
11034068 | Encapsulating electronics in high-performance thermoplastics | RAYTHEON COMPANY |
11034128 | Protection tape for printed circuit board and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11035525 | LED light bulb | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
11035526 | LED tube lamp | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11035563 | Light source device | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11035709 | CMOS thermal fluid flow sensing device employing a flow sensor and a pressure sensor on a single membrane | CAMBRIDGE ENTERPRISE LIMITED |
11035723 | Optical sensor package assembly, manufacturing method thereof and electronic devices | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11035750 | Leak detection in a fluid compression system | TRANE INTERNATIONAL INC. |
11035777 | Light sensing device and particle sensing device | LG INNOTEK CO., LTD. |
11035785 | Hybrid field effect transistor and surface enhanced infrared absorption based biosensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11035908 | Display device including flexible printed circuit board and for detecting separation of the flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11036090 | Array substrate including a transparent conductive strip and a wire and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11036095 | Display panel and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11036100 | Display device | JAPAN DISPLAY INC. |
11036258 | Image display apparatus | LG ELECTRONICS INC. |
11036320 | Organic light emitting diode folding display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036322 | Array substrate and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036324 | Semiconductor device and touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11036325 | Touch display device | LG DISPLAY CO., LTD. |
11036578 | Semiconductor memory devices and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11036660 | Network-on-chip for inter-die and intra-die communication in modularized integrated circuit devices | INTEL CORPORATION |
11036901 | Method and apparatus for simulating flexible panel | BOE TECHNOLOGY GROUP CO., LTD. |
11036955 | Fingerprint sensor device and method for manufacturing a semiconductor sensor device comprising a cover layer having an anisotropic dielectric constant | FINGERPRINT CARDS AB |
11036958 | Light emitting display panel and manufacture method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11036959 | Display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11036960 | Under-screen biometric identification apparatus, biometric identification component and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11036977 | Identity recognition display device, and array substrate and identity recognition circuit thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11037012 | Image acquisition system | ISORG |
11037044 | Smartcard constructions and methods | AMATECH GROUP LIMITED |
11037478 | Stretchable display panel and stretchable display device including the same | LG DISPLAY CO., LTD. |
11037484 | Display device | SAMSUNG DISPLAY CO., LTD. |
11037497 | Display device including shielding layers | SAMSUNG DISPLAY CO., LTD. |
11037504 | Pixel array substrate | -- |
11037608 | Stacked memory device and memory system including the same | SK HYNIX INC. |
11037614 | Imprint-free write driver for ferroelectric memory | INTEL CORPORATION |
11037621 | Sensing techniques using a charge transfer device | MICRON TECHNOLOGY, INC. |
11037622 | Semiconductor device and dynamic logic circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11037626 | Nonvolatile memory devices including memory planes and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037634 | Semiconductor storage device having a group adjacent bit lines connected to sense circuits that are each connected to a different data bus | TOSHIBA MEMORY CORPORATION |
11037641 | Temperature and cycling dependent refresh operation for memory cells | SANDISK TECHNOLOGIES LLC |
11037692 | Articles having silver ion α-oxy carboxylate oxime complexes | EASTMAN KODAK COMPANY |
11037693 | Graphene oxide-metal nanowire transparent conductive film | GLOBAL GRAPHENE GROUP, INC. |
11037701 | Transmission line substrate and electronic device | MURATA MANUFACTURING CO., LTD. |
11037709 | Varistor and manufacturing method thereof | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037729 | Ceramic electronic component and method for manufacturing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11037734 | Mounting structure for capacitor and resistor, input unit, and measuring apparatus | HIOKI E.E. CORPORATION |
11037787 | Method of semiconductor device fabrication | -- |
11037789 | Cut last self-aligned litho-etch patterning | -- |
11037796 | Manufacturing method of semiconductor device structure | -- |
11037797 | Arrays of elevationally-extending strings of memory cells and methods used in forming an array of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
11037800 | Patterning methods | MICRON TECHNOLOGY, INC. |
11037802 | Package substrate having copper alloy sputter seed layer and high density interconnects | INTEL CORPORATION |
11037803 | Method for making redistribution circuit structure | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11037812 | Method for a transfer print between substrates | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11037819 | Wafer level chip scale packaging intermediate structure apparatus and method | -- |
11037826 | Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same | -- |
11037827 | Asymmetric source/drain epitaxy | -- |
11037828 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11037829 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11037830 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11037831 | Gate structure and method | -- |
11037832 | Threshold voltage adjustment by inner spacer material selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037834 | Simple contact over gate on active area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037835 | Isolation manufacturing method for semiconductor structures | -- |
11037836 | Semiconductor device and transistor thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037837 | Epitaxial source/drain and methods of forming same | -- |
11037843 | Apparatuses and methods for TSV resistance and short measurement in a stacked device | MICRON TECHNOLOGY, INC. |
11037847 | Method of manufacturing semiconductor module and semiconductor module | RENESAS ELECTRONICS CORPORATION |
11037848 | Semiconductor module and semiconductor module manufacturing method | FUJI ELECTRIC CO., LTD. |
11037851 | Nitrogen-rich silicon nitride films for thin film transistors | APPLIED MATERIALS, INC. |
11037852 | 3DIC packaging with hot spot thermal management features | -- |
11037853 | Semiconductor package structure and method of manufacturing the same | -- |
11037854 | Thermal dissipation through seal rings in 3DIC structure | -- |
11037856 | Semiconductor chip package comprising a leadframe connected to a substrate and a semiconductor chip, and a method for fabricating the same | INFINEON TECHNOLOGIES AG |
11037857 | IGBT module with heat dissipation structure having copper layers of different thicknesses | -- |
11037859 | Power conversion apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037860 | Multi layer thermal interface material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037861 | Interconnect structure for package-on-package devices | -- |
11037862 | Method for electrically contacting a component by galvanic connection of an open-pored contact piece, and corresponding component module | SIEMENS AKTIENGESELLSCHAFT |
11037870 | Electronic module, lead frame and manufacturing method for electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11037877 | Package structure and method of manufacturing the same | -- |
11037878 | Semiconductor device with EMI protection liners and method for fabricating the same | -- |
11037879 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11037881 | Component carrier with face-up and face-down embedded components | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11037883 | Regulator circuit package techniques | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11037887 | Method of making package assembly including stress relief structures | -- |
11037889 | Display device | -- |
11037890 | Semiconductor assembly with package on package structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037899 | Package structures and methods of forming the same | -- |
11037902 | Light-emitting apparatus including sacrificial pattern and manufacturing method thereof | -- |
11037904 | Singulation and bonding methods and structures formed thereby | -- |
11037905 | Formation of stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037906 | 3D IC package with RDL interposer and related method | GLOBALFOUNDRIES U.S. INC. |
11037907 | Semiconductor package and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037908 | Bonded die assembly containing partially filled through-substrate via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
11037909 | Stacked semiconductor structure and method | -- |
11037910 | Semiconductor device having laterally offset stacked semiconductor dies | MICRON TECHNOLOGY, INC. |
11037911 | Light emitting device | NICHIA CORPORATION |
11037912 | LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11037913 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11037914 | Light emitting display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11037915 | Integrated display devices | FACEBOOK TECHNOLOGIES, LLC |
11037916 | Apparatus with multi-wafer based device comprising embedded active devices and method for forming such | INTEL CORPORATION |
11037917 | Semiconductor device module and method of assembly | LITTELFUSE, INC. |
11037918 | Back-to-back solid state lighting devices and associated methods | MICRON TECHNOLOGY, INC. |
11037919 | Techniques for processing devices | INVENSAS BONDING TECHNOLOGIES, INC. |
11037920 | Pin modification for standard cells | -- |
11037921 | Off chip driver structure | -- |
11037922 | Systems and methods for protecting a semiconductor device | -- |
11037923 | Through gate fin isolation | INTEL CORPORATION |
11037924 | Method for forming source/drain contacts | -- |
11037925 | Structure and method of integrated circuit having decouple capacitance | -- |
11037926 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037927 | Circuit device and electronic apparatus | SEIKO EPSON CORPORATION |
11037928 | Methods and apparatuses including an active area of a tap intersected by a boundary of a well | MICRON TECHNOLOGY, INC. |
11037929 | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making | ZENO SEMICONDUCTOR INC. |
11037930 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11037931 | Method for fabricating semiconductor device | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11037932 | Semiconductor arrangement having capacitor separated from active region | -- |
11037933 | Semiconductor device with selectively formed insulating segments and method for fabricating the same | -- |
11037934 | SRAM circuits with aligned gate electrodes | -- |
11037935 | Semiconductor device including trimmed-gates | -- |
11037936 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037937 | SRAM bit cells formed with dummy structures | GLOBALFOUNDRIES U.S. INC. |
11037938 | Memory cell | STMICROELECTRONICS S.A. |
11037939 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11037940 | Integrated circuit constructions comprising memory and methods used in the formation of integrated circuitry comprising memory | MICRON TECHNOLOGY, INC. |
11037941 | Method for forming an integrated circuit and an integrated circuit | -- |
11037942 | Memory cell and an array of memory cells | MICRON TECHNOLOGY, INC. |
11037943 | Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same | SANDISK TECHNOLOGIES LLC |
11037944 | Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias | MICRON TECHNOLOGY, INC. |
11037945 | Bonded three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11037946 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11037947 | Array of pillars located in a uniform pattern | -- |
11037948 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11037949 | Embedded memory using SOI structures and methods | -- |
11037950 | Semiconductor memory device, semiconductor device, and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037951 | Void formation in charge trap structures | MICRON TECHNOLOGY, INC. |
11037952 | Peripheral circuitry under array memory device and method of fabricating thereof | -- |
11037953 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11037954 | Three dimensional flash memory element with middle source-drain line and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11037955 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11037956 | Integrated assemblies having charge-trapping material arranged in vertically-spaced segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11037957 | Semiconductor structure | -- |
11037958 | Array substrate and manufacturing method thereof | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11037959 | Method of producing array substrate, array substrate, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11037960 | Thin film transistor array panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11037961 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11037962 | Thin-film transistor array substrate and display device | SHARP KABUSHIKI KAISHA |
11037963 | Thin film transistor and method for manufacturing the same, and display device including the same | LG DISPLAY CO., LTD. |
11037964 | Display device and method for driving the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11037965 | Multi-sensor optical device for detecting chemical species and manufacturing method thereof | STMICROELECTRONICS S.R.L. |
11037966 | Solid state image sensor with on-chip filter and extended spectral response | QUALCOMM INCORPORATED |
11037967 | Image sensor including a pixel array having pixel blocks arranged in a zigzag form | SK HYNIX INC. |
11037968 | Image sensor architecture | WAYMO LLC |
11037969 | Solid-state imaging device having an impurity region on an upper surface of a photoelectric conversion film | SONY CORPORATION |
11037970 | Semiconductor package structure and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037971 | Fan-out sensor package and optical fingerprint sensor module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037972 | Imaging device, imaging apparatus, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11037973 | Optical surface-scattering elements and metasurfaces | ELWHA LLC |
11037974 | Optical sensors in semiconductor devices | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11037975 | Apparatuses and packages including a semiconductor substrate with a plurality of photoelectronic conversion regions and a transparent substrate | SONY CORPORATION |
11037976 | Imaging apparatus and image sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037977 | Stacked image sensor capable of simultaneous integration of electrons and holes | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037978 | Dual facing BSI image sensors with wafer level stacking | -- |
11037979 | Imaging element, stacked imaging element, and solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11037980 | Image display device | SHARP KABUSHIKI KAISHA |
11037981 | Semiconductor device with magnetic tunnel junctions | -- |
11037982 | Semiconductor structure integrated with magnetic tunneling junction | -- |
11037983 | Semiconductor structure and method of forming the same | -- |
11037984 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11037985 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11037986 | Stacked resistive memory with individual switch control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037987 | Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells | HEFEI RELIANCE MEMORY LIMITED |
11037988 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037989 | Method to form memory cells separated by a void-free dielectric structure | -- |
11037990 | Method to form memory cells separated by a void-free dielectric structure | -- |
11037991 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
11037992 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
11037993 | Detection device and detector | KABUSHIKI KAISHA TOSHIBA |
11037994 | Display panel and method for manufacturing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11037995 | Organic light-emitting display panel and display apparatus | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11037996 | Display device having an input sensing unit | SAMSUNG DISPLAY CO., LTD. |
11037997 | Display apparatus | LG DISPLAY CO., LTD. |
11037998 | Pixel defining layer, pixel structure, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11038000 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11038001 | Active matrix substrate and method for producing same | SHARP KABUSHIKI KAISHA |
11038002 | Double-sided display device and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11038003 | Foldable display apparatus | SAMSUNG DISPLAY CO., LTD. |
11038004 | Window member and electronic apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11038005 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11038006 | Display panel and bonding method of the same | -- |
11038007 | Display panels | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11038008 | Display apparatus having reduced defects | SAMSUNG DISPLAY CO., LTD. |
11038009 | Shadow mask for OLED evaporation and manufacturing method therefor, and OLED panel manufacturing method | SEEYA OPTRONICS CO., LTD. |
11038012 | Capacitor device and manufacturing method therefor | -- |
11038018 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11038023 | III-nitride material semiconductor structures on conductive silicon substrates | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038027 | Integrated assemblies having polycrystalline first semiconductor material adjacent conductively-doped second semiconductor material | MICRON TECHNOLOGY, INC. |
11038034 | Method and related apparatus for integrating electronic memory in an integrated chip | -- |
11038037 | Sawtooh electric field drift region structure for planar and trench power semiconductor devices | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
11038038 | Transistors and methods of forming transistors | MICRON TECHNOLOGY, INC. |
11038043 | Semiconductor device and manufacturing method thereof | -- |
11038044 | Semiconductor device and manufacturing method thereof | -- |
11038053 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11038058 | Semiconductor device structure and method for forming the same | -- |
11038061 | Semiconductor device structure and method for forming the same | -- |
11038063 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11038065 | Semiconductor device, manufacturing method of the semiconductor device, or display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11038066 | Nanowire transistor structure and nanowire inverter structure | -- |
11038068 | Sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11038072 | Shingled solar cell module | SUNPOWER CORPORATION |
11038086 | Semiconductor light-emitting element and manufacturing method therefor | SEMICON LIGHT CO., LTD. |
11038088 | Light emitting diode package | -- |
11038091 | Light-emitting device packages | SAMSUNG ELECTRONICS CO., LTD. |
11038092 | Fin-based devices based on the thermoelectric effect | GLOBALFOUNDRIES U.S. INC. |
11038093 | Wirebond cross-talk reduction for quantum computing chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038094 | Superconducting qubit with tapered junction wiring | QUANTALA LLC |
11038095 | Systems and methods for fabrication of superconducting integrated circuits | D-WAVE SYSTEMS INC. |
11038098 | Magnetic random access memory with various size magnetic tunneling junction film stacks | -- |
11038099 | Perpendicular magnetoelectric spin orbit logic | INTEL CORPORATION |
11038101 | Semiconductor structure having a phase change memory device | -- |
11038103 | Tightly integrated 1T1R ReRAM for planar technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038104 | Resistive memory crossbar array with top electrode inner spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038105 | Resistive random access memory device | -- |
11038107 | Semiconductor devices including liners, and related systems | MICRON TECHNOLOGY, INC. |
11038108 | Step height mitigation in resistive random access memory structures | -- |
11038109 | Method for fabricating organic light-emitting display panel and display device using ink jet printing to form light-emiting layer | BOE TECHNOLOGY GROUP CO., LTD. |
11038128 | Flexible substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11038129 | Method of manufacturing a support film and flexible organic light emitting diode display device | -- |
11038130 | Stretchable display devices | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11038133 | Organic EL display panel, organic EL display device, and manufacturing method of organic display panel | JOLED INC. |
11038139 | Organic electroluminescent devices, displays and mobile communication devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD |
11038140 | Display device, electronic device, and method of producing display device | SONY CORPORATION |
11038141 | Semiconductor device | JAPAN DISPLAY INC. |
11038142 | Lighting device and display apparatus | LG DISPLAY CO., LTD. |
11038144 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11038146 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11038148 | Organic light-emitting display apparatus including nano-structured mirror | SAMSUNG ELECTRONICS CO., LTD. |
11038149 | Display panel having light-absorbing photoresist layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11038151 | Display device | SAMSUNG DISPLAY CO., LTD. |
11038155 | Film formation device, vapor-deposited film formation method, and organic EL display device production method | SAKAI DISPLAY PRODUCTS CORPORATION |
11038267 | Apparatus and methods for electromagnetic shielding using an outer cobalt layer | SKYWORKS SOLUTIONS, INC. |
11038293 | Power bar package mount arrangement | INTEL CORPORATION |
11038294 | Display device | LG ELECTRONICS INC. |
11038295 | Display device | LG ELECTRONICS INC. |
11038313 | Orthogonal cross-connecting of printed circuit boards without a midplane board | JUNIPER NETWORKS, INC. |
11038346 | ESD protection | NXP B.V. |
11038348 | Two stage power control system for automotive devices | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11038402 | Electronic control unit for operating an electric motor having a braking resistor | ANDREAS STIHL AG & CO. KG |
11038595 | Optical transmission/reception circuit | STMICROELECTRONICS (GRENOBLE 2) SAS |
11039048 | Doorbell camera | GOOGLE LLC |
11039050 | Spiral flexible board and monitoring camera apparatus | -- |
11039052 | Camera module and molding circuit board assembly, circuit board and application thereof | NINGBO SUNNY OPOTECH CO., LTD. |
11039064 | Image processing method and apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11039094 | Light receiving element, imaging element, and imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11039515 | Light emitting semiconductor device for generation of short light pulses | AMS AG |
11039528 | Assembly and sub-assembly for thermal control of electronic devices | DELTA DESIGN, INC. |
11039529 | Cover plates that attenuate electrostatic discharge at printheads | RICOH COMPANY, LTD. |
11039530 | Communication device | TARO06 LLC |
11039531 | System and method for in-molded electronic unit using stretchable substrates to create deep drawn cavities and features | FLEX LTD. |
11039532 | Printed circuit board and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11039533 | Printed wiring board and method for manufacturing printed wiring board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11039534 | Electronic component module and manufacturing method thereof | MURATA MANUFACTURING CO., LTD. |
11039535 | Manufacturing holes in component carrier material | AT&S (CHINA) CO. LTD. |
11039536 | Printed circuit board and printed circuit board strip | LG INNOTEK CO., LTD. |
11039537 | Electronic component embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11039538 | Communication system including antennas on flexible circuit board | WHOBORN, INC. |
11039539 | Manufacturing method for flexible printed circuit board | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11039540 | Multi-layer circuit board with traces thicker than a circuit board layer | CATLAM, LLC |
11039541 | Double-sided and multilayered printed circuit board fabrication using inkjet printing | NANO DIMENSION TECHNOLOGIES, LTD. |
11039558 | Component mounting line production management system and production management method | FUJI CORPORATION |
11039561 | Component mounting system and adhesive inspection device | CKD CORPORATION |
11040517 | Printed wiring board and semiconductor package | SHOWA DENKO MATERIALS CO., LTD. |
11040872 | Semiconductor module | INFINEON TECHNOLOGIES AG |
11041053 | Resin powder, method for its production, composite, molded product, method for producing ceramic molded product, metal laminated plate, printed circuit board and prepreg | AGC INC. |
11041057 | Window film, manufacturing method thereof, and display device including same | SAMSUNG SDI CO., LTD. |
11041087 | Coatings | P2I LTD |
11041088 | Ink blocking layer for display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11041097 | Polishing composition and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11041229 | Silver-coated alloy powder, electrically conductive paste, electronic part, and electric device | DOWA ELECTRONICS MATERIALS CO., LTD. |
11041237 | Vapor deposition mask, vapor deposition mask preparation body, method for producing vapor deposition mask, and method for producing organic semiconductor element | DAI NIPPON PRINTING CO., LTD. |
11041597 | LED module for flashing lamp and flashing lamp | HOTALUX, LTD. |
11041603 | Illumination device and method for manufacturing an illumination device | OSRAM OLED GMBH |
11041891 | Liquid crystal device, method for measuring residual DC voltage in liquid crystal device, method for driving liquid crystal device, and method for manufacturing liquid crystal device | SHARP KABUSHIKI KAISHA |
11041936 | Autonomously reconfigurable surface for adaptive antenna nulling | HRL LABORATORIES, LLC |
11041968 | Edge-on photon-counting detector | PRISMATIC SENSORS AB |
11041980 | Solid-state imaging element, manufacturing method, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11041981 | Optical filter and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11042022 | Manufacturing method of optical unit for endoscope, optical unit for endoscope, and endoscope | OLYMPUS CORPORATION |
11042058 | Image display device | NIPPON KAYAKU KABUSHIKI KAISHA |
11042059 | Color conversion element and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11042062 | Electronic device | -- |
11042066 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11042069 | Array substrate, display panel, and display device | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11042073 | Tunable graphene metamaterials for beam steering and tunable flat lenses | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11042183 | Electronic display with mount-accessible components | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11042211 | Serially connected computing nodes in a distributed computing system | -- |
11042237 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042238 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
11042253 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042686 | Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11042688 | Method of certifying safety levels of semiconductor memories in integrated circuits | -- |
11042981 | Methods and systems for printed circuit board design based on automatic corrections | SVXR, INC. |
11043160 | Common-gate transistor, pixel circuit, driving method and display | EVERDISPLAY OPTRONICS (SHANGHAI) CO., LTD. |
11043162 | OLED on silicon product with separated central control board | SEEYA OPTRONICS CO., LTD. |
11043163 | Display device and electronic shelf label | JAPAN DISPLAY INC. |
11043186 | Semiconductor device, manufacturing method thereof, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043251 | Magnetic tunnel junction device and method of forming same | -- |
11043258 | Memory system topologies including a memory die stack | RAMBUS INC. |
11043260 | Single word line gain cell with complementary read write channel | MICRON TECHNOLOGY, INC. |
11043262 | Write assist circuitry | ARM LIMITED |
11043265 | Memory devices with volatile and non-volatile behavior | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11043266 | Multi-level read after heating event in non-volatile storage | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043267 | Memory device architecture | MICRON TECHNOLOGY, INC. |
11043268 | Resistive memory devices and methods of operating resistive memory devices including adjustment of current path resistance of a selected memory cell in a resistive memory device | SAMSUNG ELECTRONICS CO., LTD. |
11043270 | SoC package with integrated ultraviolet light source | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043279 | Method for reading three-dimensional flash memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043280 | Refresh operations for dedicated groups of blocks of memory cells | SANDISK TECHNOLOGIES LLC |
11043285 | Bioinformatics systems, apparatus, and methods executed on an integrated circuit processing platform | EDICO GENOME CORPORATION |
11043329 | Coil component | TDK CORPORATION |
11043333 | Composite electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11043372 | High-density low temperature carbon films for hardmask and other patterning applications | APPLIED MATERIALS, INC. |
11043391 | Etching method and etching processing apparatus | TOKYO ELECTRON LIMITED |
11043397 | Method of forming a pattern and method of manufacturing a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11043408 | Method of forming FinFET devices with embedded air gaps | -- |
11043410 | Packages with through-vias having tapered ends | -- |
11043411 | Integration of air spacer with self-aligned contact in transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043412 | Methods of forming microelectronic devices, and related microelectronic devices and electronic systems | MICRON TECHNOLOGY, INC. |
11043423 | Threshold voltage adjustment for a gate-all-around semiconductor structure | -- |
11043425 | Methods of reducing parasitic capacitance in semiconductor devices | -- |
11043426 | Dummy MOL removal for performance enhancement | -- |
11043427 | Method of manufacture of a FinFET device | -- |
11043428 | Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11043429 | Semiconductor fins with dielectric isolation at fin bottom | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043430 | Semiconductor device having work-function metal and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11043431 | Integrated circuit devices with well regions | -- |
11043432 | Radio-frequency switching devices having improved voltage handling capability | SKYWORKS SOLUTIONS, INC. |
11043436 | Semiconductor device, manufacturing method, imaging device, and electronic apparatus for enabling component mounting with high flatness | SONY CORPORATION |
11043437 | Transparent substrate with light blocking edge exclusion zone | APPLIED MATERIALS, INC. |
11043438 | Chip on film package and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11043443 | Electric device and heat radiator | TDK CORPORATION |
11043445 | Semiconductor device having a through silicon via and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11043451 | Electrical fuse and/or resistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043455 | Three-dimensional memory device including self-aligned dielectric isolation regions for connection via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
11043456 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11043457 | Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same | INTEL CORPORATION |
11043462 | Solderless interconnection structure and method of forming same | -- |
11043464 | Semiconductor device having upper and lower redistribution layers | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11043466 | Radio frequency modules | SKYWORKS SOLUTIONS, INC. |
11043467 | Flip chip backside die grounding techniques | TEXAS INSTRUMENTS INCORPORATED |
11043469 | Method of forming three dimensional semiconductor structure | -- |
11043470 | Inductor design in active 3D stacking technology | XILINX, INC. |
11043471 | Mixed-orientation multi-die integrated circuit package with at least one vertically-mounted die | MICROCHIP TECHNOLOGY INCORPORATED |
11043472 | 3D integrated ultra high-bandwidth memory | KEPLER COMPUTE INC. |
11043473 | Integrated circuit including a first semiconductor wafer and a second semiconductor wafer, semiconductor device including a first semiconductor wafer and a second semiconductor wafer and method of manufacturing same | -- |
11043474 | Semiconductor device | DENSO CORPORATION |
11043475 | Light emitting device and method of manufacturing the light emitting device | NICHIA CORPORATION |
11043476 | Displaying apparatus having light emitting device, method of manufacturing the same and method of transferring light emitting device | SEOUL SEMICONDUCTOR CO., LTD. |
11043477 | Power converter monolithically integrating transistors, carrier, and components | TEXAS INSTRUMENTS INCORPORATED |
11043478 | Integrated circuit bridge for photonics and electrical chip integration | CISCO TECHNOLOGY, INC. |
11043479 | Opto-coupler with field-shaping end caps | UNIVERSITY OF NEW HAMPSHIRE |
11043480 | Forming and/or configuring stacked dies | XILINX, INC. |
11043481 | Method of manufacturing semiconductor package structure | -- |
11043482 | Semiconductor component, package structure and manufacturing method thereof | -- |
11043483 | Semiconductor device in a level shifter with electrostatic discharge (ESD) protection circuit and semiconductor chip | MAGNACHIP SEMICONDUCTOR, LTD. |
11043484 | Method and apparatus of package enabled ESD protection | XILINX, INC. |
11043485 | Electronic device having semiconductor device with protective resistor | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11043486 | ESD protection devices | -- |
11043487 | ESD protection circuit, semiconductor system including same, and method for operating same | -- |
11043488 | High voltage protection for high-speed data interface | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043489 | Semiconductor device and manufacturing method thereof | -- |
11043490 | Semiconductor device having a dielectric dummy gate | -- |
11043491 | Semiconductor device and a method for fabricating the same | -- |
11043492 | Self-aligned gate edge trigate and finFET devices | INTEL CORPORATION |
11043493 | Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043494 | Structure and method for equal substrate to channel height between N and P fin-FETs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043495 | Integrated circuit semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11043496 | Thin film transistors and related fabrication techniques | MICRON TECHNOLOGY, INC. |
11043497 | Integrated memory having non-ohmic devices and capacitors | MICRON TECHNOLOGY, INC. |
11043498 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11043499 | Memory arrays comprising memory cells | MICRON TECHNOLOGY, INC. |
11043500 | Integrated assemblies comprising twisted digit line configurations | MICRON TECHNOLOGY, INC. |
11043501 | Embedded SRAM and methods of forming the same | -- |
11043502 | Semiconductor devices including ferroelectric materials | MICRON TECHNOLOGY, INC. |
11043503 | Plate node configurations and operations for a memory array | MICRON TECHNOLOGY, INC. |
11043504 | Method for fabricating word lines of NAND memory and NAND memory comprising word lines fabricated by adopting the same | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11043505 | Three-dimensional memory device having multi-deck structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043506 | Three-dimensional memory device having a shielding layer and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043507 | Devices including dummy regions, and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. |
11043508 | Semiconductor integrated circuit device, current control device using semiconductor integrated circuit device, and automatic transmission control device using current control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11043509 | Transistor, liquid crystal display device, and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043510 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043512 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11043513 | Display panel with plurality of non-display area lines and display device including the same | LG DISPLAY CO., LTD. |
11043514 | Method of fabricating array substrate, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11043515 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11043516 | Sensing driving circuit having improved performance and sensing, display panel, and display device | LG DISPLAY CO., LTD. |
11043517 | Semiconductor crystal substrate, infrared detector, method for producing semiconductor crystal substrate, and method for producing infrared detector | FUJITSU LIMITED |
11043518 | Image sensor including a pixel block having 8-shared pixel structure | SK HYNIX INC. |
11043519 | Image capturing apparatus comprising silicon nitride layer of different chlorine concentration, camera, and method of manufacturing image capturing apparatus | CANON KABUSHIKI KAISHA |
11043520 | Light-receiving device, method of manufacturing light-receiving device, imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11043521 | Freeform surface off-axial three-mirror imaging system | TSINGHUA UNIVERSITY |
11043522 | Stacked substrate structure with inter-tier interconnection | -- |
11043523 | Multilevel semiconductor device and structure with image sensors | MONOLITHIC 3D INC. |
11043524 | Device-bonded body, image pickup module, endoscope and method for manufacturing device-bonded body | OLYMPUS CORPORATION |
11043525 | Transmission circuit and electronic device | CANON KABUSHIKI KAISHA |
11043526 | Compact annular field imager optical interconnect | WAVEFRONT RESEARCH, INC. |
11043527 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11043528 | Composition, formed body, laminate, far infrared ray transmitting filter, solid-state imaging element, infrared camera, and infrared sensor | FUJIFILM CORPORATION |
11043529 | CMOS pixels comprising epitaxial layers for light-sensing and light emission | QUANTUM SEMICONDUCTOR LLC |
11043530 | Light-emitting component having light-absorbing layer, light-emitting device, and image forming apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11043531 | Semiconductor structure and manufacturing method of the same | -- |
11043532 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11043533 | Switch and method for fabricating the same, and resistive memory cell and electronic device, including the same | SK HYNIX INC. |
11043534 | Cell pillar structures and integrated flows | MICRON TECHNOLOGY, INC. |
11043535 | High-resistance memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043536 | Two-terminal switching element having bidirectional switching characteristic, resistive memory cross-point array including same, and method for manufacturing two-terminal switching element and cross-point resistive memory array | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11043537 | Three-dimensional phase change memory device including vertically constricted current paths and methods of manufacturing the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043538 | Organic image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11043539 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11043540 | Detecting circuit and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043541 | OLED display device | -- |
11043542 | Organic light-emitting display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11043543 | Touch sensor and touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043544 | Organic light emitting diode display module, manufacturing method thereof and electronic device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11043545 | Display substrate, fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11043546 | Display panel, fabricating method thereof and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043547 | Display panel and display device having same | -- |
11043548 | Light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11043549 | Flexible display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11043550 | Display device | SAMSUNG DISPLAY CO., LTD. |
11043551 | Display device | SAMSUNG DISPLAY CO., LTD. |
11043552 | Dielectric film layer structure and fabricating method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043553 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11043555 | Semiconductor device and manufacturing method of the same | FUJI ELECTRIC CO., LTD. |
11043556 | Local epitaxy nanofilms for nanowire stack GAA device | -- |
11043561 | Multi-gate device and method of fabrication thereof | -- |
11043564 | Integrated circuit devices including transistors having variable channel pitches | SAMSUNG ELECTRONICS CO., LTD. |
11043565 | Three-dimensional memory device with source contacts connected by an adhesion layer and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043566 | Semiconductor structures in a wide gate pitch region of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11043568 | Semiconductor devices having gate structures with skirt regions | SAMSUNG ELECTRONICS CO., LTD. |
11043570 | Semiconductor device and manufacturing method thereof | -- |
11043582 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11043587 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043588 | Vertical field effect transistor | GLOBALFOUNDRIES U.S. INC. |
11043591 | FeFET transistor | STMICROELECTRONICS (CROLLES 2) SAS |
11043593 | Semiconductor device and manufacturing method thereof | -- |
11043601 | Non-volatile memory cell and non-volatile memory | -- |
11043612 | Light emitting diodes using ultra-thin quantum heterostructures | CORNELL UNIVERSITY |
11043617 | LED display device | LUMENS CO., LTD. |
11043619 | LED module with high near field contrast ratio | LUMILEDS LLC |
11043620 | Light emitting device | NICHIA CORPORATION |
11043621 | Light emitting device and method of manufacturing light emitting device | NICHIA CORPORATION |
11043622 | Encoded driver chip for light emitting pixel array | INFINEON TECHNOLOGIES AG |
11043626 | Multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11043627 | Techniques for monolithic co-integration of thin-film bulk acoustic resonator devices and III-N semiconductor transistor devices | INTEL CORPORATION |
11043628 | Multi-layer bottom electrode for embedded memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043631 | Perpendicular magnetoresistive elements | -- |
11043632 | Ion beam etching process design to minimize sidewall re-deposition | HEADWAY TECHNOLOGIES, INC. |
11043644 | Transistor acoustic sensor element and method for manufacturing the same, acoustic sensor and portable device | BOE TECHNOLOGY GROUP CO., LTD. |
11043649 | Organic electroluminescence display device | SAMSUNG DISPLAY CO., LTD. |
11043650 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043651 | OLED display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043652 | Display panel with quantum dot thin film | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043655 | Efficiency organic light emitting diode displays | INTEL CORPORATION |
11043656 | Display device incorporating a scattering pattern | SAMSUNG DISPLAY CO., LTD. |
11043657 | Display panel, mask, and display device | -- |
11043721 | Connection structure of conductor and conductive module | YAZAKI CORPORATION |
11043726 | Radio frequency interconnection device | INTERDIGITAL MADISON PATENT HOLDINGS, SAS |
11043805 | Semiconductor device and a semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11043829 | Power supply unit for aerosol inhaler | JAPAN TOBACCO INC. |
11043945 | Capacitance-variable pressure sensor | -- |
11043952 | 3D stacked integrated circuits having failure management | MICRON TECHNOLOGY, INC. |
11044086 | Apparatus for generating identification key and management method thereof | ICTK HOLDINGS CO., LTD. |
11044387 | Stacked imaging device and solid-state imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11044417 | HDR image sensor with LFM and reduced motion blur | SAMSUNG ELECTRONICS CO., LTD. |
11044426 | Method, apparatus and system providing a storage gate pixel with high dynamic range | MICRON TECHNOLOGY, INC. |
11044428 | Imaging device and electronic apparatus | SONY CORPORATION |
11044429 | Charge collection gate with central collection photodiode in time of flight pixel | OMNIVISION TECHNOLOGIES, INC. |
11044430 | Image sensor and electronic camera | NIKON CORPORATION |
11044431 | Radiation image capturing apparatus | KONICA MINOLTA, INC. |
11044432 | Imaging element and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11044433 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11044446 | Imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11044538 | Environmental sensor or semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11044563 | Film surface sound receiving type sound sensor module | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11044565 | Hearing device with a microphone structure | OTICON A/S |
11044802 | Circuit board | -- |
11044803 | Flexible circuit board | -- |
11044804 | Connector assembly and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11044805 | Double-sided two-dimensional coding, manufacturing method thereof, and flexible printed circuit | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO.LTD. |
11044806 | Method for manufacturing multi-layer circuit board capable of being applied with electrical testing | -- |
11044807 | Polyurethane, curable composition, overcoat film, and flexible wiring board and production method therefor | NIPPON POLYTECH CORP. |
11044808 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11044809 | Flexible circuit board, display panel, and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11044810 | Stretchable wire member | SEKISUI POLYMATECH CO., LTD. |
11044811 | High power RF capacitor | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11044812 | Component carrier with adhesion promoting shape of wiring structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11044813 | All-directions embeded module, method for manufacturing the all-directions embeded module, and all-directions packaging structure | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
11044814 | Method of assembly | UNIVERSAL INSTRUMENTS CORPORATION |
11044815 | Method for manufacturing resin structure, and resin structure | OMRON CORPORATION |
11044816 | Poly-based burr suppressor | ADVANCED COPPER FOIL INC. |
11044817 | Thermally conductive board | -- |
11044818 | Method for bonding plastic component to printed circuit board | -- |
11044819 | Heating of printed circuit board core during laminate cure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11044820 | Display device | LG DISPLAY CO., LTD. |
11044822 | Electronic device with flexible display structures | APPLE INC. |
11044823 | Positioning pins for foldable printed circuit board | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11044839 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11045645 | Implantable lead for electrical stimulation and/or for collecting electrical potentials on an organ, incorporating a passive component function | SORIN CRM SAS |
11045646 | Softening nerve cuff electrodes | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
11046046 | Laminate, method for producing same, and flexible printed circuit board | ZEON CORPORATION |
11046051 | Metal-on-ceramic substrates | MATERION CORPORATION |
11046885 | Layered structures and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11047051 | Large scale manufacturing of hybrid nanostructured textile sensors | NANOWEAR INC. |
11047060 | Electric circuit, electronic module for a chip card formed on the electric circuit, and method for the production of such an electric circuit | LINXENS HOLDING |
11047551 | Light emitting device | NICHIA CORPORATION |
11047614 | Display device for refrigerator door | LG ELECTRONICS INC. |
11047710 | Inductive position sensor assembly | KSR IP HOLDINGS, LLC |
11047822 | Sensor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11047823 | Electronic panel and electronic apparatus comprising the same | SAMSUNG DISPLAY CO., LTD. |
11047893 | Antenna for electromagnetic interference detection and portable electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11047930 | Hall effect sensors with tunable sensitivity and/or resistance | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11047994 | Radiation imaging apparatus | CANON KABUSHIKI KAISHA |
11048028 | Semiconductor chip and electronic apparatus for suppressing degradation of semiconductor chip | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11048029 | Color conversion panel, manufacturing method of the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11048035 | Light-emitting module | NICHIA CORPORATION |
11048065 | Optical image capturing module | -- |
11048066 | Optical image capturing module | -- |
11048117 | Display device | -- |
11048127 | Liquid crystal display and panel therefor | SAMSUNG DISPLAY CO., LTD. |
11048131 | Display panels | -- |
11048134 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11048307 | Dual camera module and portable electronic device | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11048351 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048352 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048365 | Display device including mesh lines overlapping contact holes | SAMSUNG DISPLAY CO., LTD. |
11048370 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11048371 | Organic light emitting display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11048388 | Method and apparatus for configurable systems | INNOVATIONS HOLDINGS, L.L.C. |
11048439 | Device of memory modules | -- |
11048460 | Display module including a light diffusion agent and display apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11048467 | Electronic device with display panel speaker | SAMSUNG ELECTRONICS CO., LTD. |
11048633 | Determining an inactive memory bank during an idle memory cycle to prevent error cache overflow | SPIN MEMORY, INC. |
11048849 | Integrated circuit and method of manufacturing the same | -- |
11048851 | Stretchable electronics generating apparatus and layout method thereof | -- |
11048906 | Method and apparatus for fingerprint identification and terminal device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11049437 | Semiconductor device, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049439 | Display device, tiling electronic device and method for repairing a display device | -- |
11049445 | Electronic devices with narrow display borders | APPLE INC. |
11049449 | Pixel circuits, driving methods thereof and display devices solving an uneven display luminance | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11049452 | Light-emitting driving circuit, driving method, organic light-emitting display panel and device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11049456 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11049466 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049537 | Additive patterning of semiconductor film stacks | APPLIED MATERIALS, INC. |
11049538 | Voltage-controlled interlayer exchange coupling magnetoresistive memory device and method of operating thereof | WESTERN DIGITAL TECHNOLOGIES, INC. |
11049554 | SRAM array | -- |
11049557 | Leakage current compensation in crossbar array | -- |
11049564 | Erasable programmable non-volatile memory | -- |
11049568 | Three-dimensional memory device with depletion region position control and method of erasing same using gate induced leakage | SANDISK TECHNOLOGIES LLC |
11049571 | Semiconductor memory device for executing a read operation at high speed | TOSHIBA MEMORY CORPORATION |
11049573 | Semiconductor storage device | KIOXIA CORPORATION |
11049580 | Modulation of programming voltage during cycling | SANDISK TECHNOLOGIES LLC |
11049584 | Integrated circuit memory devices having buffer dies and test interface circuits therein that support testing and methods of testing same | SAMSUNG ELECTRONICS CO., LTD. |
11049661 | Multilayer electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11049665 | Capacitor explosion-proof device, circuit board and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11049684 | Fuse pad, printed circuit board having the fuse pad, and method of the printed circuit board | MANDO CORPORATION |
11049715 | Method for manufacturing a semiconductor structure | -- |
11049721 | Method and process for forming memory hole patterns | TOKYO ELECTRON LIMITED |
11049729 | Dry etching method, semiconductor device manufacturing method, and chamber cleaning method | CENTRAL GLASS COMPANY, LIMITED |
11049733 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049734 | Method of packaging chip and chip package structure | PEP INNOVATION PTE. LTD. |
11049762 | Electronic circuit comprising electrical insulation trenches | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11049768 | Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11049769 | Self-aligned interconnection for integrated circuits | MICRON TECHNOLOGY, INC. |
11049771 | Semiconductor device and fingerprint identification device | -- |
11049773 | Art trench spacers to enable fin release for non-lattice matched channels | INTEL CORPORATION |
11049774 | Hybrid source drain regions formed based on same Fin and methods forming same | -- |
11049775 | Semiconductor device having FinFET with work function layers and method of manufacturing the same | -- |
11049778 | Component carrier with a stepped cavity and a stepped component assembly embedded within the stepped cavity | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11049780 | Electronic module and method for manufacturing same | TATEYAMA KAGAKU CO., LTD. |
11049785 | Semiconductor device and fabrication method thereof | FUJI ELECTRIC CO., LTD. |
11049786 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11049791 | Heat spreading layer integrated within a composite IC die structure and methods of forming the same | INTEL CORPORATION |
11049794 | Circuit board with phase change material | ADVANCED MICRO DEVICES, INC. |
11049797 | Method for manufacturing a semiconductor structure comprising a semiconductor device layer formed on a tem, porary substrate having a graded SiGe etch stop layer therebetween | -- |
11049798 | Embedded bridge with through-silicon Vias | INTEL CORPORATION |
11049799 | Semiconductor structure and method for forming the same | -- |
11049802 | Semiconductor device and method of manufacture | -- |
11049803 | Semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
11049805 | Semiconductor package and method | -- |
11049807 | Three-dimensional memory device containing tubular blocking dielectric spacers | SANDISK TECHNOLOGIES LLC |
11049808 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11049810 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11049812 | Semiconductor devices and methods of forming the same | -- |
11049813 | Interconnection structure, fabricating method thereof, and semiconductor device using the same | -- |
11049814 | Semiconductor device including a through contact extending between sub-chips and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11049819 | Shielded package assemblies with integrated capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049821 | Circuit module | MURATA MANUFACTURING CO., LTD. |
11049826 | Semiconductor device and semiconductor device manufacturing method | LAPIS SEMICONDUCTOR CO., LTD. |
11049830 | Level shifting between interconnected chips having different voltage potentials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049832 | Formation method of package structure with warpage-control element | -- |
11049834 | Hybrid bonding using dummy bonding contacts | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11049841 | Silicon interposer sandwich structure for ESD, EMC, and EMC shielding and protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049842 | Alignment method, method for connecting electronic component, method for manufacturing connection body, connection body and anisotropic conductive film | DEXERIALS CORPORATION |
11049844 | Semiconductor wafer having trenches with varied dimensions for multi-chip modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049845 | Semiconductor device having wires connecting connection pads | LONGITUDE LICENSING LIMITED |
11049846 | Integrated circuit chip, method of manufacturing the integrated circuit chip, and integrated circuit package and display apparatus including the integrated circuit chip | SAMSUNG ELECTRONICS CO., LTD. |
11049847 | Semiconductor device for preventing defects between bit lines and channels | SAMSUNG ELECTRONICS CO., LTD. |
11049848 | Semiconductor device | -- |
11049849 | Arrangement of LEDs on a leadframe | LUMILEDS LLC |
11049850 | Methods of bonding the strip-shaped under bump metallization structures | -- |
11049851 | Method and system for selectively illuminated integrated photodetectors with configured launching and adaptive junction profile for bandwidth improvement | LUXTERA LLC |
11049852 | ESD protection circuit with isolated SCR for negative voltage operation | TEXAS INSTRUMENTS INCORPORATED |
11049853 | ESD protection device with breakdown voltage stabilization | TEXAS INSTRUMENTS INCORPORATED |
11049854 | MIMCAP creation and utilization methodology | AMPERE COMPUTING LLC |
11049855 | Tunable capacitive compensation for RF switch FET stacks | PSEMI CORPORATION |
11049856 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11049857 | Nanosheet CMOS semiconductor device and the method of manufacturing the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11049858 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049860 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11049861 | Method, device and system to provide capacitance for a dynamic random access memory cell | INTEL CORPORATION |
11049862 | Semiconductor device and fabrication method thereof | HEFECHIP CORPORATION LIMITED |
11049863 | Semiconductor structure with capacitor landing pad and method of making the same | -- |
11049864 | Apparatuses including capacitor structures, and related memory devices, electronic systems, and methods | MICRON TECHNOLOGY, INC. |
11049866 | Three-dimensional memory devices having through array contacts and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11049867 | Semiconductor memory device including an asymmetrical memory core region | TOSHIBA MEMORY CORPORATION |
11049868 | Stacked type semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11049869 | Semiconductor device with recess and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11049870 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11049871 | Semiconductor storage device and manufacturing method of semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11049872 | Semiconductor storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11049873 | Epitaxial monocrystalline channel for storage transistors in 3-dimensional memory structures and methods for formation thereof | SUNRISE MEMORY CORPORATION |
11049874 | NOR-type memory device and method of fabricating the same | -- |
11049875 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11049876 | Three-dimensional memory device containing through-memory-level contact via structures | SANDISK TECHNOLOGIES LLC |
11049877 | Semiconductor memory | KIOXIA CORPORATION |
11049878 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11049879 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11049880 | Three-dimensional memory device containing epitaxial ferroelectric memory elements and methods for forming the same | SANDISK TECHNOLOGIES LLC |
11049881 | Method for manufacturing a top-gate self-aligned indium-tin-zinc oxide thin-film transistor | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11049882 | Display device | JAPAN DISPLAY INC. |
11049883 | COA type array substrate and method for measuring via size on color-resist layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049884 | Display panel and method of manufacturing same, and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049885 | Array substrate and manufacturing method thereof, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11049886 | Thin-film transistor array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049887 | Layer stack for display applications | APPLIED MATERIALS, INC. |
11049888 | Manufacturing method for TFT array substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049889 | Method for preparing array substrate by stripping first photo-resist layer through wet etching before forming ohm contact layer and active layer | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11049890 | Stacked field-effect transistors having proximity electrodes | SKYWORKS SOLUTIONS, INC. |
11049891 | Pixel array substrate | -- |
11049892 | Image sensor | STMICROELECTRONICS (CROLLES 2) SAS |
11049893 | Optical filter array | VIAVI SOLUTIONS INC. |
11049894 | Solder mask dam design | OMNIVISION TECHNOLOGIES, INC. |
11049895 | Solid-state imaging element, electronic device, and fabrication method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049896 | Light receiving element, ranging module, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049897 | Detector | RIGAKU CORPORATION |
11049898 | Systems and methods for manufacturing semiconductor modules | NINGBO SUNNY OPOTECH CO., LTD. |
11049899 | Encapsulation structure of image sensing chip, and encapsulation method therefor | CHINA WAFER LEVEL CSP CO., LTD. |
11049900 | Monolithically integrated nanoemitter light source assembly | ANALOG DEVICES, INC. |
11049901 | Display apparatus including light-receiving device | LG DISPLAY CO., LTD. |
11049902 | Light-emitting element wafer, light emitting element, electronic apparatus, and method of producing light-emitting element wafer | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049903 | Integrated system chip with magnetic module | -- |
11049904 | RRAM structure and method of fabricating the same | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11049905 | Memory device and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049906 | Imaging device, manufacturing device, and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049907 | High resolution low power consumption OLED display with extended lifetime | UNIVERSAL DISPLAY CORPORATION |
11049908 | Light-emitting element, display module, lighting module, light-emitting device, display device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049909 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11049910 | Pixel structure and display device | -- |
11049911 | Light-emitting device and image display apparatus including the same | DIC CORPORATION |
11049912 | Organic light emitting display and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11049913 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049914 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049915 | Display panel and intelligent terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049916 | Organic light-emitting display apparatus having a pixel-defining layer with an under-cut structure and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11049917 | OLED display panel, a method for fabricating the same, and a display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049918 | Organic light emitting display device and method of fabricating thereof | LG DISPLAY CO., LTD. |
11049919 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11049920 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049921 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11049922 | Flexible array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049923 | OLED display panel and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049924 | Display device and manufacturing method of display device | SAMSUNG DISPLAY CO., LTD. |
11049925 | Display device | LG DISPLAY CO., LTD. |
11049926 | Stretchable display device | LG DISPLAY CO., LTD. |
11049927 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049928 | Organic light emitting diode display having an extension substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049929 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11049934 | Transistor comprising a matrix of nanowires and methods of making such a transistor | GLOBALFOUNDRIES U.S. INC. |
11049936 | High ruggedness heterojunction bipolar transistor structure | -- |
11049937 | Gate structures for semiconductor devices | -- |
11049946 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049947 | Non-volatile memory and manufacturing method for the same | NEXCHIP SEMICONDUCTOR CO., LTD. |
11049949 | Gate-all-around gradient-doped nano-sheet complementary inverter and method of making the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11049952 | Integrated enhancement/depletion mode HEMT | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11049965 | Semiconductor device and alternator using the same | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
11049968 | Semiconductor device and method of manufacturing a semiconductor device | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11049972 | Formation method of semiconductor device with low resistance contact | -- |
11049975 | Dual-gate thin film transistor, manufacturing method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11049978 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11049979 | Long channel nanosheet FET having tri-layer spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049985 | Photo detection device using resonance and related method | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11049992 | Dual wavelength light emitting device, dual wavelength light transceiving device and display | -- |
11049998 | Electroluminescent display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11050001 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11050006 | Display device and light source module having high color conversion efficiency thereof | -- |
11050007 | Light emitting device | NICHIA CORPORATION |
11050008 | Display apparatus and method of manufacturing the same | SEOUL SEMICONDUCTOR CO., LTD. |
11050014 | Memory device | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11050015 | Storage device and method for manufacturing storage device | TOSHIBA MEMORY CORPORATION |
11050016 | Semiconductor devices including spin-orbit torque line and contact plug | SAMSUNG ELECTRONICS CO., LTD. |
11050017 | Semiconductor device and method for fabricating the same | -- |
11050018 | Memory device | -- |
11050019 | Memory devices including phase change material elements | OVONYX MEMORY TECHNOLOGY, LLC |
11050020 | Methods of forming devices including multi-portion liners | MICRON TECHNOLOGY, INC. |
11050021 | Method for manufacturing resistive random access memory structure | -- |
11050023 | CBRAM with controlled bridge location | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050030 | OLED display and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050031 | Nanoscale light emitting diode, and methods of making same | UNIVERSITY OF PITTSBURGH-OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
11050032 | Light-emitting element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11050034 | Quantum dot light emitting diode and quantum dot display device including the same | LG DISPLAY CO., LTD. |
11050035 | Light emitting diode and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11050036 | Electrode contacts | IGNIS INNOVATION INC. |
11050037 | Full-screen display device based on flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050038 | Display device with enhanced damage resistance and method for manufacturing the same | LG DISPLAY CO., LTD. |
11050039 | Organic light emitting display device and method for manufacturing cover window thereof | LG DISPLAY CO., LTD. |
11050041 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11050042 | Display panel motherboard, display panel and method of manufacturing the same | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11050043 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11050044 | Electronic devices with light sensors and displays | APPLE INC. |
11050145 | Method for producing a radome and corresponding radome | HELLA GMBH & CO. KGAA |
11050165 | Module comprising antenna and RF element, and base station including same | SAMSUNG ELECTRONICS CO., LTD. |
11050172 | Insertable stubless interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050173 | Arrangement for lowering resistance on power delievery region of electrical connector | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11050252 | Solid state power contactor | GE AVIATION SYSTEMS LLC |
11050339 | Integrated circuit with multiple gallium nitride transistor sets | EFFICIENT POWER CONVERSION CORPORATION |
11050355 | Stacked power supply topologies and inductor devices | INFINEON TECHNOLOGIES AUSTRIA AG |
11050407 | Electronic devices formed in a cavity between substrates | SKYWORKS SOLUTIONS, INC. |
11050415 | Flip-flop with delineated layout for reduced footprint | -- |
11050865 | Ejectable component assemblies in electronic devices | APPLE INC. |
11050921 | Image sensor and electronic apparatus | SONY CORPORATION |
11050952 | Reading circuit for infrared detectors and method for inspecting same | FUJITSU LIMITED |
11050955 | Solid-state imaging device, method for driving solid-state imaging device, and electronic apparatus | SONY CORPORATION |
11050956 | Image sensor and method for increasing signal-noise-ratio thereof | -- |
11050957 | Wide dynamic range image sensor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11050960 | Image sensor | SK HYNIX INC. |
11050962 | Dual mode focal plane array having DI and BDI modes | RAYTHEON COMPANY |
11050969 | Panel device and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050982 | Sub-sampled color channel readout wiring for vertical detector pixel sensors | FOVEON, INC. |
11051106 | Movable embedded microstructure | FORTEMEDIA, INC. |
11051391 | Thermally highly conductive coating on base structure accommodating a component | AT&S (CHINA) CO. LTD. |
11051392 | Heat dissipating device | -- |
11051393 | Mobile terminal and heat dissipation and shielding structure | HUAWEI TECHNOLOGIES CO., LTD. |
11051394 | Optical module and method of manufacturing optical module comprising an optical active device disposed in the recess of a component mounting block | SHUNSIN TECHNOLOGY (ZHONG SHAN) LIMITED |
11051396 | Integrated circuit capable of controlling impedance and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11051397 | Fixture for shielding a printed circuit board from electromagnetic interference and noise during testing | SKYWORKS SOLUTIONS, INC. |
11051398 | Ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11051399 | Flexible printed circuit board | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11051400 | Camera module with compression-molded circuit board and manufacturing method thereof | NINGBO SUNNY OPOTECH CO., LTD. |
11051401 | Method of integrating an electronic module with conductive fabric | FLEXTRONICS AP, LLC |
11051402 | Fluorine-containing substrate, copper clad laminate, and printed circuit board | -- |
11051403 | Overhang-compensating annular plating layer in through hole of component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11051404 | Method for connecting stacked circuits boards | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
11051405 | Flexible display | -- |
11051406 | Component carrier with integrated inductor and manufacturing method | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11051407 | Facilitating filling a plated through-hole of a circuit board with solder | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11051408 | Fixing device | TYCO ELECTRONICS (SHANGHAI) CO. LTD. TE |
11051409 | Electronic circuit | TEKNOLOGIAN TUTKIMUSKESKUS VTT OY |
11051410 | Component carriers sandwiching a sacrificial structure and having pure dielectric layers next to the sacrificial structure | AT&S (CHINA) CO. LTD. |
11051411 | Mobile electronic device and display thereof | TCL COMMUNICATIONS (NINGBO) CO., LTD. |
11051420 | Electronic device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11051428 | Oscillating heat pipe integrated thermal management system for power electronics | HAMILTON SUNDSTRAND CORPORATION |
11051432 | Electronic module, in particular an electronic power module for hybrid vehicles or electric vehicles | ROBERT BOSCH GMBH |
11051762 | Electronic device | LG ELECTRONICS INC. |
11052399 | Powder gathering apparatus | -- |
11052422 | Electronic component manufacturing method and apparatus | CREATIVE COATINGS CO., LTD. |
11052584 | Injection molded plastic object with an embedded electronic circuit printed on a paper base and method of its production | AW BRANDING LIMITED |
11052638 | Metal-clad laminate and manufacturing method for same | KURARAY CO., LTD. |
11053384 | Curable composition and cured product thereof | DIC CORPORATION |
11053593 | Copper or copper alloy article comprising surface-modified polyester-based resin and manufacturing method | ADVANCED TECHNOLOGIES, INC. |
11053594 | Microetchant for copper and method for producing wiring board | MEC COMPANY LTD. |
11054088 | Light emitting bulb | -- |
11054090 | High gamut index solid-state white light emitting devices | INTEMATIX CORPORATION |
11054112 | Ceramic phosphor with lateral light barriers | LUMILEDS LLC |
11054304 | Imaging device and method | SONY CORPORATION |
11054312 | Radiation-defect mitigation in InAs/GaSb strained-layer superlattice infrared detectors and related methods | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11054461 | Test circuits for testing a die stack | XILINX, INC. |
11054463 | Method and system for measuring thermal stability factor of magnetic tunnel junction device, semiconductor integrated circuit, and production management method for semiconductor integrated circuit | TOHOKU UNIVERSITY |
11054487 | Multiple redundancy position sensor device | FICO TRIAD, S.A. |
11054522 | Distance measuring device | HAMAMATSU PHOTONICS K.K. |
11054533 | Sensor chip | FORSCHUNGSZENTRUM JUELICH GMBH |
11054552 | Optoelectronic lighting apparatus and display device | OSRAM OLED GMBH |
11054570 | Liquid crystal display device having a joint portion of a wiring substrate extending from an inside to an outside of the case | JAPAN DISPLAY INC. |
11054597 | Electro-optical package and method of fabrication | ROCKLEY PHOTONICS LIMITED |
11054695 | Chip-mounted board, display device, and method for producing chip-mounted board | SHARP KABUSHIKI KAISHA |
11054706 | Display device | SAMSUNG DISPLAY CO., LTD. |
11054707 | Method of manufacturing via hole, method of manufacturing array substrate, and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11054710 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11054744 | Photosensitive element, laminate, permanent mask resist, method for producing same, and method for producing semiconductor package | SHOWA DENKO MATERIALS CO., LTD. |
11054752 | Device metrology targets and methods | KLA CORPORATION |
11054859 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11054937 | Display device having detection electrode | JAPAN DISPLAY INC. |
11054950 | Display device and touch sensor | SAMSUNG DISPLAY CO., LTD. |
11054953 | Display device with integrated circuits stack structure | SAMSUNG DISPLAY CO., LTD. |
11055459 | Heterogeneous miniaturization platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056025 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056027 | Chip-on-film package, display panel, and display device | SAMSUNG DISPLAY CO., LTD. |
11056036 | Display device and driving method thereof | LG DISPLAY CO., LTD. |
11056052 | Display device and electronic apparatus | SONY CORPORATION |
11056057 | Array substrate, display apparatus, and method of driving array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056089 | Circuit board with dielectric surface switch and embedded metamaterials providing increased arc resistance | SPARTON DELEON SPRINGS, LLC |
11056152 | Semiconductor memory device | KIOXIA CORPORATION |
11056153 | Memory module including battery | SK HYNIX INC. |
11056175 | Semiconductor device and manufacturing method thereof | -- |
11056179 | Techniques to couple high bandwidth memory device on silicon substrate and package substrate | INTEL CORPORATION |
11056181 | Strap cell design for static random access memory (SRAM) array | -- |
11056183 | Multi-port memory circuitry | ARM LIMITED |
11056184 | Static memory based on components with current-voltage hysteresis characteristics | TSINGHUA UNIVERSITY |
11056185 | Apparatus for deep learning operations on resistive crossbar array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056188 | Three dimensional nonvolatile memory device including channel structure and resistance change memory layer | SK HYNIX INC. |
11056193 | Non-volatile memory devices having enhanced erase control circuits therein | SAMSUNG ELECTRONICS CO., LTD. |
11056200 | Nonvolatile memory device and method of controlling initialization of the same | SAMSUNG ELECTRONICS CO., LTD. |
11056210 | Electrical circuit comprising a trim circuit | DIALOG SEMICONDUCTOR (UK) LIMITED |
11056253 | Thin-film resistors with flexible terminal placement for area saving | QUALCOMM INCORPORATED |
11056262 | Inductive element and LC filter | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11056263 | Inductor | MURATA MANUFACTURING CO., LTD. |
11056354 | Semiconductor separation device | LUMENTUM TECHNOLOGY UK LIMITED |
11056363 | Electromagnetic tool for transferring micro devices and methods of transfer | LUX NOUR TECHNOLOGIES, INC. |
11056370 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
11056373 | 3D fanout stacking | APPLE INC. |
11056375 | Micro LED carrier board | -- |
11056381 | Method for producing bonded SOI wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11056383 | Forming array contacts in semiconductor memories | MICRON TECHNOLOGY, INC. |
11056387 | Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11056391 | Subtractive vFET process flow with replacement metal gate and metallic source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056392 | FinFET devices having gate stacks with protruding parts and method of forming the same | -- |
11056393 | Method for FinFET fabrication and structure thereof | -- |
11056394 | Methods for fabricating FinFETs having different fin numbers and corresponding FinFETs thereof | -- |
11056395 | Transistor metal gate and method of manufacture | -- |
11056396 | Gate-all-around devices having gate dielectric layers of varying thicknesses and method of forming the same | -- |
11056397 | Directional spacer removal for integrated circuit structures | INTEL CORPORATION |
11056398 | Forming interconnect without gate cut isolation blocking opening formation | GLOBALFOUNDRIES U.S. INC. |
11056399 | Source and drain EPI protective spacer during single diffusion break formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056400 | Semiconductor device and method | -- |
11056401 | Semiconductor device and method for manufacturing the same | -- |
11056402 | Integrated circuit chip and manufacturing method therefor, and gate drive circuit | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11056408 | Power semiconductor device with active short circuit failure mode | ABB POWER GRIDS SWITZERLAND AG |
11056412 | Semiconductor package and manufacturing method thereof | -- |
11056415 | Semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11056417 | Power conversion apparatus | DENSO CORPORATION |
11056421 | Package structure for power converter and manufacture method thereof | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11056424 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056432 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11056433 | Redistribution layer structures for integrated circuit package | -- |
11056438 | Semiconductor packages and method of forming the same | -- |
11056440 | Methods of manufacturing semiconductor device and semiconductor device | -- |
11056441 | Electromagnetic shielding of compact electronic modules | APPLE INC. |
11056442 | Substrate structure, electronic package having the same, and method for fabricating the same | -- |
11056443 | Apparatuses exhibiting enhanced stress resistance and planarity, and related methods | MICRON TECHNOLOGY, INC. |
11056448 | Covert gates to protect gate-level semiconductors | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11056451 | Semiconductor device manufacturing method and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11056452 | Interface bus for inter-die communication in a multi-chip package over high density interconnects | INTEL CORPORATION |
11056453 | Stackable fully molded semiconductor structure with vertical interconnects | DECA TECHNOLOGIES USA, INC. |
11056454 | Stacked three-dimensional heterogeneous memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11056455 | Negative fillet for mounting an integrated device die to a carrier | ANALOG DEVICES, INC. |
11056457 | Semiconductor device with bond wire reinforcement structure | NXP USA, INC. |
11056460 | Method for producing an electric circuit comprising a circuit carrier, contact areas, and an insulating body | SIEMENS AKTIENGESELLSCHAFT |
11056462 | Locking dual leadframe for flip chip on leadframe packages | TEXAS INSTRUMENTS INCORPORATED |
11056463 | Arrangement of penetrating electrode interconnections | SONY CORPORATION |
11056464 | Packages with metal line crack prevention design | -- |
11056465 | Semiconductor package having singular wire bond on bonding pads | INTEL CORPORATION |
11056466 | Package on package thermal transfer systems and methods | INTEL CORPORATION |
11056467 | Semiconductor devices with through silicon vias and package-level configurability | MICRON TECHNOLOGY, INC. |
11056468 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11056469 | Multimedia device having a pixel array and method for manufacturing the multimedia device | -- |
11056470 | Electronic package and method for fabricating the same | -- |
11056471 | Semiconductor device and method of manufacture | -- |
11056472 | Stretchable display device | LG DISPLAY CO., LTD. |
11056473 | Micro light source array, display device having the same, and method of manufacturing display device | SAMSUNG ELECTRONICS CO., LTD. |
11056474 | Semiconductor package, semiconductor device and method of forming the same | -- |
11056475 | Semiconductor module | FUJI ELECTRIC CO., LTD. |
11056476 | Microcontroller unit and fabrication method thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11056477 | Semiconductor device having a first cell row and a second cell row | SOCIONEXT INC. |
11056478 | Metal gate structure cutting process | -- |
11056479 | Chip, manufacturing method, and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11056480 | Method of forming a TVS semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11056481 | Floating base silicon controlled rectifier | -- |
11056482 | Semiconductor device with electrostatic discharge protection | KEY FOUNDRY CO., LTD |
11056483 | Heterolithic microwave integrated circuits including gallium-nitride devices on intrinsic semiconductor | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11056484 | Semiconductor device with multiple trench structures | MITSUBISHI ELECTRIC CORPORATION |
11056485 | Semiconductor device having three-dimensional structure and method of manufacturing the same | SK HYNIX INC. |
11056486 | Semiconductor device with multiple threshold voltage and method of fabricating the same | -- |
11056487 | Single diffusion break local interconnect | QUALCOMM INCORPORATED |
11056488 | Metal-oxide-semiconductor device | -- |
11056489 | Integrated circuit devices including vertical field-effect transistors (VFETs) | SAMSUNG ELECTRONICS CO., LTD. |
11056490 | Process enhancement using double sided epitaxial on substrate | TEXAS INSTRUMENTS INCORPORATED |
11056491 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056492 | Dense memory arrays utilizing access transistors with back-side contacts | INTEL CORPORATION |
11056493 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056494 | Integrated assemblies having bitline contacts, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11056495 | Structure of memory device having floating gate with protruding structure | -- |
11056496 | Semiconductor memory device and method for programming shared page data in memory cells of two different word lines | SK HYNIX INC. |
11056497 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11056498 | Semiconductor device and manufacturing method therefor | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11056499 | Semiconductor memory device | SK HYNIX INC. |
11056500 | Semiconductor memory device | SK HYNIX INC. |
11056501 | Three-dimensional NAND memory device with source line comprising metallic and semiconductor layers | TOSHIBA MEMORY CORPORATION |
11056502 | Semiconductor device including multi-stack structure | SAMSUNG ELECTRONICS CO., LTD. |
11056503 | Semiconductor memory device including vertical barrier | SK HYNIX INC. |
11056504 | Memory device | -- |
11056505 | Integrated assemblies having one or more modifying substances distributed within semiconductor material, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11056506 | Semiconductor device including stack structure and trenches | SAMSUNG ELECTRONICS CO., LTD. |
11056507 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11056508 | Ferroelectric memory device | SK HYNIX INC. |
11056509 | Display device having a plurality of thin-film transistors with different semiconductors | LG DISPLAY CO., LTD. |
11056510 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056511 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11056512 | Device substrate | -- |
11056513 | Thin film transistor array substrate, display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056514 | Manufacturing method for display device | JAPAN DISPLAY INC. |
11056515 | Logic circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056516 | Power storage element, manufacturing method thereof, and power storage device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056517 | Monolithic thin film elements and performance electronics, solar powered systems and fabrication | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11056518 | Imaging apparatus and imaging method | SONY CORPORATION |
11056519 | Photoelectric conversion device, imaging system, and mobile apparatus | CANON KABUSHIKI KAISHA |
11056520 | Imaging device and imaging system | CANON KABUSHIKI KAISHA |
11056521 | Imaging device and image sensor | NIKON CORPORATION |
11056522 | Optical sensor assembly | VIAVI SOLUTIONS INC. |
11056523 | Optical sensors including a light-impeding pattern | SAMSUNG ELECTRONICS CO., LTD. |
11056524 | Image pickup device, method of manufacturing image pickup device, and electronic apparatus | SONY CORPORATION |
11056525 | Semiconductor photomultiplier | SENSL TECHNOLOGIES LTD |
11056526 | Imaging device camera system and driving method of the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11056527 | Metal oxide interface passivation for photon counting devices | GENERAL ELECTRIC COMPANY |
11056528 | Image sensor with phase-sensitive pixels | INVISAGE TECHNOLOGIES, INC. |
11056529 | Image-sensor chip-scale package and method for manufacture | OMNIVISION TECHNOLOGIES, INC. |
11056530 | Semiconductor structure with metal connection layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11056531 | Method of fabricating a monolithic sensor device from a layered structure | THE UNIVERSITY COURT OF THE UNIVERSITY OF GLASGOW |
11056532 | Techniques for monolithic co-integration of polycrystalline thin-film bulk acoustic resonator devices and monocrystalline III-N semiconductor transistor devices | INTEL CORPORATION |
11056533 | Bipolar junction transistor device with piezoelectric material positioned adjacent thereto | GLOBALFOUNDRIES U.S. INC. |
11056534 | One selector one resistor MRAM crosspoint memory array fabrication methods | SANDISK TECHNOLOGIES LLC |
11056535 | Non-volatile memory element arrays in a wheatstone bridge arrangement | GLOBALFOUNDRIES U.S. INC. |
11056536 | Semiconductor device and method for fabricating the same | -- |
11056537 | Self-aligned gate contact integration with metal resistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056539 | Photoelectric conversion element and solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11056540 | Plasmonic PHOLED arrangement for displays | UNIVERSAL DISPLAY CORPORATION |
11056541 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11056542 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11056543 | Display panel and manufacturing method thereof | -- |
11056544 | Display panel and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056545 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11056546 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11056547 | Organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11056548 | Display panel | -- |
11056549 | Active matrix organic light emitting diode panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056550 | Display panel, manufacturing method thereof, and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056551 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056552 | Display panel and method of manufacturing same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056553 | Organic light emitting diode display substrate, manufacturing method thereof, and display device comprising organic light emitting diode display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11056554 | Display device | SONY CORPORATION |
11056558 | Semiconductor device and semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11056560 | Hetero-epitaxial output device array with serial connections | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11056561 | Silicided source/drain terminals for field-effect transistors | GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG. |
11056563 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056564 | Method of manufacturing a memory device | -- |
11056565 | Flash memory device and method | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
11056566 | Split gate memory device and method of fabricating the same | -- |
11056570 | Nanosheet transistor with dual inner airgap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056571 | Memory cells and integrated structures | MICRON TECHNOLOGY, INC. |
11056573 | Implantation and annealing for semiconductor device | -- |
11056575 | Power semiconductor device with alternating source region and body contact region and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11056578 | Method of forming shaped source/drain epitaxial layers of a semiconductor device | -- |
11056579 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11056580 | Semiconductor device and manufacturing method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11056588 | Vertical transport field effect transistor with bottom source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056589 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056591 | Epitaxial structures of semiconductor devices that are independent of local pattern density | GLOBALFOUNDRIES U.S. INC. |
11056592 | Silicon substrate modification to enable formation of thin, relaxed, germanium-based layer | INTEL CORPORATION |
11056594 | Semiconductor device having fin structures | -- |
11056605 | Detection panel and manufacturing method thereof | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056608 | Infrared detection film, infrared detection sensor and infrared detection display apparatus including the infrared detection film, and method of making the infrared detection film | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11056610 | Method of forming a metal silicide transparent conductive electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056614 | Micro light-emitting diode chip | -- |
11056620 | Light emitting device and plant cultivation method | NICHIA CORPORATION |
11056628 | Method of producing an optoelectronic semiconductor chip and optoelectronic semiconductor chip | OSRAM OLED GMBH |
11056629 | Mounting an LED element on a flat carrier | LUMILEDS LLC |
11056630 | Display module having glass substrate on which side wirings are formed and manufacturing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11056637 | Metal strip and coil coating process | VOESTALPINE STAHL GMBH |
11056641 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11056642 | Magnetoresistance effect element | TDK CORPORATION |
11056643 | Magnetic tunnel junction (MTJ) hard mask encapsulation to prevent redeposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056645 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11056646 | Memory device having programmable impedance elements with a common conductor formed below bit lines | ADESTO TECHNOLOGIES CORPORATION |
11056648 | Semiconductor device including variable resistance element | SK HYNIX INC. |
11056659 | Display panels and display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11056661 | Color conversion layer, manufacturing method thereof, and display panel | -- |
11056662 | Organic light-emitting device and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11056663 | Electroluminescent device having color layers in patterned pixel define layer | -- |
11056665 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11056667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056668 | Display device | JAPAN DISPLAY INC. |
11056670 | Organic light-emitting diode (OLED) display and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11056671 | Backplate having graphene layer on polyethylene terephthalate layer and flexible display panel thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056672 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11056674 | Organic light-emitting display device including protective layer having edges over pixel-defining layer | SAMSUNG DISPLAY CO., LTD. |
11056675 | Display panel and display device comprising the same | LG DISPLAY CO., LTD. |
11056753 | Bus bar module | YAZAKI CORPORATION |
11056756 | Multilayer substrate connecting body and transmission line device | MURATA MANUFACTURING CO., LTD. |
11056765 | Microelectronic devices designed with foldable flexible substrates for high frequency communication modules | INTEL CORPORATION |
11056807 | Float connector for interconnecting printed circuit boards | AMPHENOL CORPORATION |
11056808 | Resin multilayer substrate, transmission line, module, and method of manufacturing module | MURATA MANUFACTURING CO., LTD. |
11056825 | High voltage bus connection insulator | HAMILTON SUNDSTRAND CORPORATION |
11056838 | Transceiver receptacle with EMI cage and bezel clips that provide high shielding effectiveness | SAMTEC, INC. |
11056844 | Connector, device provided with the same and method of manufacturing the same | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11056850 | Systems and methods for providing a soldered interface on a printed circuit board having a blind feature | EAGLE TECHNOLOGY, LLC |
11056860 | Bus structure for parallel connected power switches | EATON INTELLIGENT POWER LIMITED |
11056871 | Vehicle interleaved busbars | LEAR CORPORATION |
11056879 | Snapback clamps for ESD protection with voltage limited, centralized triggering scheme | NXP USA, INC. |
11056880 | Snapback electrostatic discharge protection for electronic circuits | WESTERN DIGITAL TECHNOLOGIES, INC. |
11056933 | Transceiver assembly for free space power transfer and data communication system | PHION TECHNOLOGIES CORP. |
11057035 | Multi-voltage input output device | -- |
11057183 | Nonvolatile semiconductor devices including non-circular shaped channel patterns and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11057223 | Anti-counterfeit communication system | -- |
11057507 | Electronic assembly and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11057551 | Image capturing and display apparatus and wearable device | CANON KABUSHIKI KAISHA |
11057554 | Display device with hollowed part in backlight | SHARP KABUSHIKI KAISHA |
11057578 | Image-capturing device and image-capturing system | NIKON CORPORATION |
11057709 | Flexible printed circuit board, method for manufacturing the same and loudspeaker with the same | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
11057983 | PCB assembly and method of manufacturing a PCB assembly | ROHDE & SCHWARZ GMBH & CO. KG |
11057984 | High-speed hybrid circuit | II-VI DELAWARE, INC. |
11057985 | Printed wiring board | DENSO TEN LIMITED |
11057986 | Printed circuit board and optical transceiver with the printed circuit board | LUMENTUM JAPAN, INC. |
11057987 | Asymmetric dual bend skew compensation for reducing differential mode to common mode conversion | CISCO TECHNOLOGY, INC. |
11057988 | Electrostatic protection circuit, circuit board, and electrostatic protecting method | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11057989 | Adjustable mount for contacting probes to a dense pattern of pads in a circuit board | ARISTA NETWORKS, INC. |
11057990 | Flexible substrate and a method thereof | WELLNESYS INC. |
11057991 | Waterproof stretchable optoelectronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11057992 | Connection structure | MIKUNI ELECTRON CORPORATION |
11057993 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11057994 | Conductive graphene interfacial barriers for liquid metal electronics | NORTHWESTERN UNIVERSITY |
11057995 | Backplane footprint for high speed, high density electrical connectors | AMPHENOL CORPORATION |
11057996 | Circuit board, method of manufacturing circuit board, and electronic device | FUJITSU INTERCONNECT TECHNOLOGIES LIMITED |
11057997 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
11057998 | Method for the spaced connection of printed circuit boards as well as installation unit and installation assembly | WüRTH ELEKTRONIK EISOS GMBH & CO. KG |
11057999 | Motherboard and memory module | -- |
11058000 | Flexible display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11058001 | Integrated circuit with laminated magnetic core inductor and magnetic flux closure layer | FERRIC INC. |
11058002 | Method of producing a wired circuit board | NITTO DENKO CORPORATION |
11058003 | Capacitor and board having the same | SAMSUNG ELECTRO-MECHANICS CO, , LTD. |
11058004 | Metallic layer as carrier for component embedded in cavity of component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11058005 | Electronic component and method for producing an electronic component | VITESCO TECHNOLOGIES GERMANY GMBH |
11058006 | Component-embedded substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11058007 | Component carrier with two component carrier portions and a component being embedded in a blind opening of one of the component carrier portions | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11058008 | PCB panel, PCB, and manufacturing method | LENOVO (SINGAPORE) PTE. LTD. |
11058009 | Component carrier comprising a photo-imageable dielectric and method of manufacturing the same | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11058010 | Evaporation apparatus for depositing material on a flexible substrate and method therefore | APPLIED MATERIALS, INC. |
11058011 | Barrier layer | -- |
11058012 | Circuit board structure and manufacturing method thereof | -- |
11058013 | Method of manufacturing battery module and interconnect board assembly with integrated PCB and flex circuit | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11058014 | Method of manufacturing circuit board with embedded conductive circuits | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
11058030 | Cold plate with flex regions between fin areas | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11058035 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11058395 | Ultrasonic device unit, ultrasonic probe, and ultrasonic apparatus | SEIKO EPSON CORPORATION |
11059098 | Direct printing and writing using undercooled metallic core-shell particles | SAFI-TECH, INC. |
11059120 | Non-destructive identifying of plating dissolution in soldered, plated through-hole | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11059260 | Prepreg, metal-clad laminated board, and printed wiring board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11059977 | Near-infrared-absorbing dye, optical filter, and imaging device | AGC INC. |
11060019 | Compositions, quantum dot polymer composite, and layered structures and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11060672 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11060686 | Method for manufacturing a printed circuit board for a light module of a lighting device of a motor vehicle | MARELLI AUTOMOTIVE LIGHTING REUTLINGEN (GERMANY) GMBH |
11060689 | Light-emitting devices, headlamps for vehicles, and vehicles including the same | SAMSUNG ELECTRONICS CO., LTD. |
11060695 | LED lighting element and method of manufacturing same | DESIGNS FOR VISION, INC. |
11060698 | Phosphor, light emitting device package, and electronic apparatus | LG DISPLAY CO., LTD. |
11060702 | Lighting system with lens assembly | ECOSENSE LIGHTING INC. |
11060908 | Display module and method for monitoring backlight brightness comprising an array substrate with plural gate lines, data lines and a photosensitive unit | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11060916 | Adjustable hyperspectral detection chip enhanced by multi-resonance plasmonic mechanism | SOUTHEAST UNIVERSITY |
11060919 | Infrared image sensor | LYNRED |
11061117 | Devices with ultra-small vertical cavity surface emitting laser emitters incorporating beam steering | SENSE PHOTONICS, INC. |
11061119 | Pixels for time of flight (TOF) imaging | SENSORS UNLIMITED, INC. |
11061193 | Semiconductor chip package having optical interface | LIPAC CO., LTD. |
11061263 | Touch-panel-equipped display device | SHARP KABUSHIKI KAISHA |
11061264 | Display device and manufacturing method thereof | SEMINCONDUCTOR ENERGY LABORATORY CO., LTD. |
11061265 | COA substrate and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11061276 | Laser array display | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11061291 | Electronic apparatus | LENOVO (SINGAPORE) PTE. LTD. |
11061293 | Ground structure and mobile terminal | VIVO MOBILE COMMUNICATION CO., LTD. |
11061317 | Method of fabricating an integrated circuit with non-printable dummy features | -- |
11061455 | Systems and methods for integrating batteries with stacked integrated circuit die elements | ARBOR COMPANY, LLLP |
11061459 | Hybrid computing module | -- |
11061498 | Display module | SAMSUNG DISPLAY CO., LTD. |
11061505 | Display device | LG DISPLAY CO., LTD. |
11061773 | Fault tolerant memory systems and components with interconnected and redundant data interfaces | RAMBUS INC. |
11062039 | Secure hardware threat protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062070 | Die to die interconnect structure for modularized integrated circuit devices | INTEL CORPORATION |
11062075 | Integrated circuit and method for manufacturing same | -- |
11062109 | Display apparatus with fingerprint identification and fabrication method thereof | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11062205 | Hybrid neuromorphic computing display | UNIVERSAL DISPLAY CORPORATION |
11062648 | Display device and method of sensing the same | -- |
11062666 | Semiconductor device and electronic device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11062668 | Electro-optical device | JAPAN DISPLAY INC. |
11062739 | Semiconductor chip having memory and logic cells | -- |
11062745 | FDSOI sense amplifier configuration in a memory device | -- |
11062751 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11062752 | Spin orbit torque memory devices and methods of fabrication | INTEL CORPORATION |
11062762 | Storage device applying a cancel circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11062763 | Memory array with multiplexed digit lines | MICRON TECHNOLOGY, INC. |
11062765 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11062771 | Variable resistance memory with lattice array using enclosing transistors | OVONYX MEMORY TECHNOLOGY, LLC |
11062778 | Nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11062782 | Three-dimensional memory device programming with reduced disturbance | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11062784 | Non-volatile memory devices, operating methods thereof and memory systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11062824 | Microfluidic channels and pumps for active cooling of cables | AMAZON TECHNOLOGIES, INC. |
11062845 | Multilayer ceramic capacitor and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11062851 | Thin film capacitor embedded substrate and its manufacturing method | TDK CORPORATION |
11062864 | Circuit protection element | BOURNS KK |
11062876 | Evaluation method and evaluation apparatus for electronic device | TOHOKU UNIVERSITY |
11062884 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11062904 | Method of forming polysilicon film and film forming apparatus | TOKYO ELECTRON LIMITED |
11062911 | Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic | TESSERA, INC. |
11062913 | Etching process with in-situ formation of protective layer | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11062932 | Method of transferring micro devices | MIKRO MESA TECHNOLOGY CO., LTD. |
11062940 | Organometallic precursors, methods of forming a layer using the same and methods of manufacturing semiconductor devices using the same | SAMSUNG ELECTRONICS CO., LTD. |
11062950 | Electronic devices and systems, and methods for making and using the same | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11062953 | Semiconductor device and method for fabricating the same | -- |
11062954 | Semiconductor device and method for fabricating the same | -- |
11062955 | Vertical transistors having uniform channel length | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062956 | Low resistance source-drain contacts using high temperature silicides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062957 | FinFET device with wrapped-around epitaxial structure and manufacturing method thereof | -- |
11062959 | Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062960 | Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062961 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11062962 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11062963 | Structure and process of integrated circuit having latch-up suppression | -- |
11062965 | Flipped vertical field-effect-transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062967 | Display device | SAMSUNG DISPLAY CO., LTD. |
11062971 | Package structure and method and equipment for forming the same | -- |
11062972 | Electronic module for power control and method for manufacturing an electronic module power control | ZF FRIEDRICHSHAFEN AG |
11062975 | Package structures | -- |
11062976 | Functional stiffener that enables land grid array interconnections and power decoupling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062977 | Shield structure for backside through substrate vias (TSVs) | -- |
11062978 | Semiconductor package and method | -- |
11062979 | High-frequency device and manufacturing method thereof | -- |
11062983 | Substrate for mounting semiconductor element | OHKUCHI MATERIALS CO., LTD. |
11062985 | Wiring structure having an intermediate layer between an upper conductive structure and conductive structure | -- |
11062987 | Semiconductor device | -- |
11062989 | Assemblies which include wordlines over gate electrodes | MICRON TECHNOLOGY, INC. |
11062990 | Semiconductor package of using insulating frame | NEPES LAWEH CORPORATION |
11062995 | Interconnect fabricated with flowable copper | INTEL CORPORATION |
11062997 | Method for forming chip package structure | -- |
11062998 | Semiconductor package and manufacturing method thereof | -- |
11062999 | Semiconductor package and antenna module comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11063004 | Semiconductor device, control device, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11063007 | Semiconductor device and method of manufacture | -- |
11063008 | Semiconductor structure and manufacturing method thereof | -- |
11063013 | Semiconductor package structure | -- |
11063016 | Integrated fan-out package including voltage regulators and methods forming same | -- |
11063017 | Embedded organic interposer for high bandwidth | INVENSAS CORPORATION |
11063018 | Semiconductor device assemblies with electrically functional heat transfer structures | MICRON TECHNOLOGY, INC. |
11063019 | Package structure, chip structure and method of fabricating the same | -- |
11063020 | Semiconductor device, manufacturing method for semiconductor device, and electronic device | SONY CORPORATION |
11063021 | Microelectronics package with vertically stacked dies | QORVO US, INC. |
11063022 | Package and manufacturing method of reconstructed wafer | -- |
11063023 | Semiconductor package | -- |
11063024 | Method to form a 3D semiconductor device and structure | MONLITHIC 3D INC. |
11063025 | Semiconductor module and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11063026 | Display module and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11063027 | Semiconductor die with improved thermal insulation between a power portion and a peripheral portion, method of manufacturing, and package housing the die | STMICROELECTRONICS S.R.L. |
11063028 | Optical navigation module capable of performing lateral detection and adjusting tracking distance | -- |
11063029 | Method for forming an electro-optical system | STMICROELECTRONICS S.R.L. |
11063030 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11063031 | Semiconductor memory system | TOSHIBA MEMORY CORPORATION |
11063032 | Semiconductor device layout | -- |
11063033 | Integrated circuits including standard cells and method of manufacturing the integrated circuits | SAMSUNG ELECTRONICS CO., LTD. |
11063034 | Capacitor structures | MICRON TECHNOLOGY, INC. |
11063035 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11063036 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11063037 | Devices, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11063038 | Through silicon via design for stacking integrated circuits | -- |
11063039 | Semiconductor device structure and method for forming the same | -- |
11063040 | Quantum dot devices | INTEL CORPORATION |
11063041 | Integrated circuit device including a power supply line and method of forming the same | -- |
11063042 | Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures | -- |
11063043 | Method for forming fin field effect transistor (FinFet) device structure | -- |
11063044 | Metal gate modulation to improve kink effect | -- |
11063045 | Semiconductor device and method of manufacturing the same | -- |
11063046 | Multi-die fine grain integrated voltage regulation | APPLE INC. |
11063047 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063048 | Dual-port semiconductor memory and first in first out (FIFO) memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11063049 | Semiconductor device with self-aligning landing pad and method of manufacturing the same | -- |
11063050 | Semiconductor device with air gaps and method for fabricating the same | -- |
11063051 | Semiconductor device and method for fabricating the same | -- |
11063052 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION SHANGHAI, CHINA |
11063053 | Integrated circuit and static random access memory thereof | -- |
11063054 | Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors | MICRON TECHNOLOGY, INC. |
11063055 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11063056 | Non-volatile memory device and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11063057 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11063058 | Memory device with metal gate | -- |
11063059 | Semiconductor structures including dielectric materials having differing removal rates | MICRON TECHNOLOGY, INC. |
11063060 | Methods of manufacturing a vertical memory device | SAMSUNG ELECTRONICS CO., LTD. |
11063061 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11063062 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11063063 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11063064 | Semiconductor memory device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11063065 | Semiconductor device having a negative capacitance using ferroelectrical material | SAMSUNG ELECTRONICS CO., LTD. |
11063066 | C-axis alignment of an oxide film over an oxide semiconductor film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063067 | Display substrate and repairing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11063068 | Display apparatus | LG DISPLAY CO., LTD. |
11063069 | Method for manufacturing display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11063070 | Substrate and fabricating method thereof, and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063071 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
11063072 | Image capturing apparatus and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11063073 | Apparatus and methods for curved focal plane array | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11063074 | Autozero of buffered direct injection pixels | RAYTHEON COMPANY |
11063075 | Semiconductor image sensor including separate low-voltage and high-voltage regions | SAMSUNG ELECTRONICS CO., LTD. |
11063076 | Imaging apparatus, imaging system, and mobile object having pixels with different saturation charge quantities | CANON KABUSHIKI KAISHA |
11063077 | Semiconductor image sensor structure for enhancing light reception and manufacturing method thereof | -- |
11063078 | Anti-flare semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11063079 | Germanium based focal plane array for the short infrared spectral regime | TRIEYE LTD. |
11063080 | Implant damage free image sensor and method of the same | -- |
11063081 | Device over photodetector pixel sensor | -- |
11063082 | Methods of making semiconductor X-ray detector | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11063083 | Light-shielded cameras and methods of manufacture | OMNIVISION TECHNOLOGIES, INC. |
11063084 | Method for manufacturing light-emitting element | NICHIA CORPORATION |
11063086 | Semiconductor devices and methods for manufacturing the same | -- |
11063087 | Light-emitting device | -- |
11063088 | Magnetic memory devices and methods of fabrication | INTEL CORPORATION |
11063089 | Resistive memory device with meshed electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063090 | Image sensor and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11063091 | Display panel | -- |
11063092 | Display device | SAMSUNG DISPLAY CO., LTD. |
11063093 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11063094 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063095 | Array substrate, display panel having the same, and method of fabricating array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11063096 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11063097 | Transparent display device | LG DISPLAY CO., LTD. |
11063098 | Method for fabricating display panel having carbon quantum dot layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063099 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
11063100 | Display device to prevent a cathode electrode from being shorted | LG DISPLAY CO., LTD. |
11063101 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
11063102 | Light emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063103 | Display device comprising thin film transistors and method for manufacturing the same | LG DISPLAY CO., LTD. |
11063104 | Light emitting display device | LG DISPLAY CO., LTD. |
11063105 | Display panel and fabrication method, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11063106 | Top emission device and organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11063107 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11063108 | Organic light emitting diode array substrate and electronic device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11063109 | Display unit | JOLED INC. |
11063110 | Conductive pattern with tapered angle, display device including the same, and method of manufacturing conductive pattern | SAMSUNG DISPLAY CO., LTD. |
11063112 | DRAM interconnect structure having ferroelectric capacitors exhibiting negative capacitance | STMICROELECTRONICS, INC. |
11063116 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11063119 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11063120 | Metal-graphene structures forming a lattice of interconnected segments | TEXAS INSTRUMENTS INCORPORATED |
11063125 | Metal oxide film and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063126 | Metal contact isolation for semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063132 | Semiconductor device layout structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11063133 | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11063134 | Vertical transistors with top spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063137 | Asymmetric spacer for low capacitance applications | INTEL CORPORATION |
11063138 | Quantum dot devices | INTEL CORPORATION |
11063140 | Complementary transistor structures formed with the assistance of doped-glass layers | GLOBALFOUNDRIES U.S. INC. |
11063146 | Back-to-back power field-effect transistors with associated current sensors | TEXAS INSTRUMENTS INCORPORATED |
11063148 | High voltage depletion mode MOS device with adjustable threshold voltage and manufacturing method thereof | -- |
11063151 | Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures | INTEL CORPORATION |
11063153 | Thin-film transistor structure and manufacturing method thereof, and display panel having the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063154 | TFT circuit board and display device having the same | JAPAN DISPLAY INC. |
11063155 | Display device including thin film transistor with active layer portions having different thicknesses | SAMSUNG DISPLAY CO., LTD. |
11063156 | Memory device and manufacturing method thereof | -- |
11063165 | Optocoupler | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11063172 | Method for producing a device with light emitting and/or light receiving diodes and with self-aligned collimation grid | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11063173 | Method of manufacturing light emitting device | NICHIA CORPORATION |
11063175 | Display device, substrate for display device and method for repairing display device | SEOUL SEMICONDUCTOR CO., LTD. |
11063177 | Process for producing adjacent chips comprising LED wires and device obtained by the process | ALEDIA |
11063180 | Support structure with sacrifice structure for light-emitting diode and manufacturing method thereof | -- |
11063184 | Light emitting diode and fabrication method thereof | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063187 | Light emitting device | NICHIA CORPORATION |
11063191 | Forming a multicolor phosphor-converted LED array | LUMILEDS LLC |
11063193 | Colour micro-LED display apparatus | REALD SPARK, LLC |
11063194 | Ultra-small LED electrode assembly | SAMSUNG DISPLAY CO., LTD. |
11063195 | Electronic device and method for manufacturing the same | -- |
11063201 | Method for fabricating superconducting devices using a focused ion beam | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11063206 | Semiconductor device and method for fabricating the same | -- |
11063207 | Semiconductor device and method for fabricating the same | -- |
11063209 | Method and system for providing magnetic junctions utilizing oxygen blocking, oxygen adsorber and tuning layer(s) | SAMSUNG ELECTRONICS CO., LTD. |
11063211 | Method for manufacturing an integrated magnetoresistive device | STMICROELECTRONICS S.R.L. |
11063212 | Magnetic tunnel junction device and formation method thereof | -- |
11063213 | Method for manufacturing memory device | -- |
11063214 | Two-terminal reversibly switchable memory device | HEFEI RELIANCE MEMORY LIMITED |
11063215 | Spacial arrangments of and critical dimensions for bit line contacts of three-dimensional phase-change memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11063217 | Semiconductor device | -- |
11063218 | Method of fabricating semiconductor devices using a two-step gap-fill process | SAMSUNG ELECTRONICS CO., LTD. |
11063219 | Organic photoelectric conversion element, and solar cell module and sensor provided with the same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11063227 | Electronic switching element | MERCK PATENT GMBH |
11063230 | Flexible display apparatus | LG DISPLAY CO., LTD. |
11063233 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11063234 | Organic light emitting diode display panel and method for manufacturing the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063235 | Display panel comprising auxiliary electrode layer and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063236 | Light-emitting element and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063237 | Flexible organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11063238 | Display device | SAMSUNG DISPLAY CO., LTD. |
11063239 | Barrier film laminate for a touch-sensing display, method of manufacture, and displays comprising the barrier film laminate | SHPP GLOBAL TECHNOLOGIES B.V. |
11063240 | Display device having a buffer layer comprising a plurality of sub layers and interfaces | SAMSUNG DISPLAY CO., LTD. |
11063241 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11063242 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11063243 | Display apparatus and electronic device | SONY CORPORATION |
11063244 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11063245 | Display apparatus | LG DISPLAY CO., LTD. |
11063246 | Manufacturing method of organic light emitting diode back plate and the organic light emitting diode back plate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063322 | Circuit body and battery module | YAZAKI CORPORATION |
11063370 | Module comprising antenna and RF element, and base station including same | SAMSUNG ELECTRONICS CO., LTD. |
11063371 | Module comprising antenna and RF element, and base station including same | SAMSUNG ELECTRONICS CO., LTD. |
11063378 | Printed circuit board cable clip for signal sensitive applications | WEST AFFUM HOLDINGS CORP. |
11063382 | Waterproof and explosion-proof circuit board and electronic valve actuator for flow control applications | FLOWSERVE MANAGEMENT COMPANY |
11063385 | Power interface, mobile terminal, and power adapter | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11063391 | Circuit card assemblies for a communication system | TE CONNECTIVITY SERVICES GMBH |
11063398 | Hub | C-SMARTLINK INFORMATION TECHNOLOGY CO., LIMITED |
11063429 | Low leakage MOSFET supply clamp for electrostatic discharge (ESD) protection | STMICROELECTRONICS INTERNATIONAL N.V. |
11063476 | Microstrip antennas for wireless power transmitters | ENERGOUS CORPORATION |
11063524 | Electrical device having a semiconductor circuit | SIEMENS ENERGY GLOBAL GMBH & CO. KG |
11063569 | Common mode noise suppression filter | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11063586 | Main-auxiliary field-effect transistor configurations with an auxiliary stack and interior parallel transistors | SKYWORKS SOLUTIONS, INC. |
11063772 | Multi-cell per bit nonvolatile memory unit | -- |
11063789 | Galvanic isolation circuit | NXP B.V. |
11064099 | Imager and imaging device | FUJIFILM CORPORATION |
11064139 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11064140 | Solid-state image pickup device having buffers connected to gates of transistors with first gate insulating film thicker than second gate insulating film | CANON KABUSHIKI KAISHA |
11064143 | Image processing device and image pickup apparatus for processing divisional pixal signals to generate divisional image data | OLYMPUS CORPORATION |
11064144 | Imaging element, imaging apparatus, and electronic equipment | SONY CORPORATION |
11064145 | Imaging device, imaging system, and moving body | CANON KABUSHIKI KAISHA |
11064148 | Image sensor module and image sensor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11064276 | Panel bottom member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11064583 | Light sources that increase object chroma when dimmed | THE PENN STATE RESEARCH FOUNDATION |
11064585 | Systems for providing tunable white light with high color rendering | ECOSENSE LIGHTING, INC. |
11064590 | Optoelectronic component, method for manufacturing an optoelectronic component and method for operating an optoelectronic component | OSRAM OLED GMBH |
11064602 | Circuit board interconnect system and method for an array antenna | ROCKWELL COLLINS, INC. |
11064603 | Electronic apparatus having package base substrate | SAMSUNG ELECTRONICS CO., LTD. |
11064604 | Flexible circuit board for a conformal wearable battery | INVENTUS POWER, INC. |
11064605 | Polymer thick film dielectric paste composition | DUPONT ELECTRONICS, INC. |
11064606 | Multilayer substrate and electric element | MURATA MANUFACTURING CO., LTD. |
11064607 | Flexible display panel and lower bezel structure thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11064608 | Display device | LG DISPLAY CO., LTD. |
11064609 | Printable 3D electronic structure | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11064610 | Laminated magnetic core inductor with insulating and interface layers | FERRIC INC. |
11064611 | Patterning and removal of circuit board material using ultrafast lasers | IPG PHOTONICS CORPORATION |
11064612 | Buried electrical debug access port | INTEL CORPORATION |
11064613 | Electronics encapsulation through hotmelt lamination | FLEX LTD. |
11064614 | Carrier | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11064615 | Wafer level bump stack for chip scale package | TEXAS INSTRUMENTS INCORPORATED |
11064616 | Method of implementing stub-less PCB vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11064622 | Display screen and display apparatus | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11064625 | Interface circuit, substrate, electronic device, and programmable controller | MITSUBISHI ELECTRIC CORPORATION |
11064626 | Densely packed electronic systems | MOUNTAIN VIEW |
11064637 | Systems and methods for magnetic shielding for a superconducting computing system | D-WAVE SYSTEMS INC. |
11065619 | Cassettes with offset vias | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11066012 | Lighting device | MITSUBA CORPORATION |
11066520 | Vinyl-modified maleimide, composition and article made thereby | -- |
11066548 | Polyphenylene ether resin composition, prepreg, metal-clad laminate, and printed wiring board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11067230 | Lighting device | SHENZHEN XLX LIGHT SOURCES CO. LTD. |
11067250 | Light emitting device and integrated light emitting device | NICHIA CORPORATION |
11067260 | Lighting systems incorporating connections for signal and power transmission | COOLEDGE LIGHTING INC. |
11067266 | Heat dissipating LED light structure | -- |
11067269 | System and method for backlight integration with electrical contact foil in piezoelectric haptic keyboard | DELL PRODUCTS L.P. |
11067436 | Optical sensor arrangement | AMS AG |
11067451 | Photo-detection system comprising photo-detection apparatus including light-shielding film, optically-coupled layer, and photodetector and arithmetic circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11067554 | Gas sensor package and sensing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11067600 | Multilayer circuit board used for probe card and probe card including multilayer circuit board | MURATA MANUFACTURING CO., LTD. |
11067603 | Connector having contact members | GITECH INC. |
11067622 | Printed circuit board with a bent connecting section and method for testing and producing said printed circuit board, and also electronic control unit and method for operating said electronic control unit | VITESCO TECHNOLOGIES GMBH |
11067628 | Replication of a first interface onto a second interface and related systems, methods, and devices | MICRON TECHNOLOGY, INC. |
11067645 | Magnetic sensor component and assembly | MELEXIS TECHNOLOGIES SA |
11067673 | Sensor, apparatus for lidar application and method of background cancellation | CMOS SENSOR, INC. |
11067763 | Integrated packaged light engine and signal transmitting and receiving method thereof | HANGZHOU MO-LINK TECHNOLOGY CO. LTD |
11067850 | Multi-mode display | 3M INNOVATIVE PROPERTIES COMPANY |
11067861 | Thin film transistor substrate having electrostatic protection structure and liquid crystal display panel | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11067864 | Display device, liquid crystal display device, and organic EL display device | SHARP KABUSHIKI KAISHA |
11068029 | Flexible display apparatus | LG DISPLAY CO., LTD. |
11068090 | Electroluminescent display panel with reduced thickness, production method, driving method and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11068092 | Display apparatus having input sensing unit | SAMSUNG DISPLAY CO., LTD. |
11068093 | Touch display panel | -- |
11068099 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11068100 | Electronic apparatus | TPK TOUCH SOLUTIONS (XIAMEN) INC. |
11068104 | Touch panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11068110 | Electronic apparatus and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11068114 | Display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11068166 | Hybrid memory device using different types of capacitors and operating method thereof | MICRON TECHNOLOGY, INC. |
11068635 | Method of designing a mask and method of manufacturing a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11068636 | Method for semiconductor package and semiconductor package design system | SAMSUNG ELECTRONICS CO., LTD. |
11068683 | Display device and method for attaching fingerprint module | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11068685 | Optical ID sensing using illumination light sources positioned at a periphery of a display screen | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11069267 | Display device and method for checking display device | JOLED INC. |
11069268 | Flexible display panel and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11069288 | Mitigating shorted pixels in an organic light emitting display panel | LG DISPLAY CO., LTD. |
11069290 | Display substrate, fabrication method of the display substrate and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069294 | Organic light-emitting diode (OLED) display and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11069303 | Organic light-emitting display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11069311 | Colorblind video adapter | -- |
11069383 | Thermal interface materials for immersion cooled data storage devices | SEAGATE TECHNOLOGY LLC |
11069385 | Integrated assemblies comprising folded-digit-line-configurations | MICRON TECHNOLOGY, INC. |
11069389 | Magnetic memory and magnetic memory recording method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069390 | Spin-orbit torque magnetoresistive random access memory with magnetic field-free current-induced perpendicular magnetization reversal | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11069399 | 3-dimensional memory device | SAMSUNG ELECTRONICS CO., LTD. |
11069405 | Semiconductor memory device | KIOXIA CORPORATION |
11069407 | Semiconductor memory device | KIOXIA CORPORATION |
11069410 | Three-dimensional NOR-NAND combination memory device and method of making the same | SANDISK TECHNOLOGIES LLC |
11069419 | Test line letter for embedded non-volatile memory technology | -- |
11069472 | Coil pattern, method for forming same, and chip device including same | MODA-INNOCHIPS CO., LTD. |
11069476 | Self-contained device with planar overlapping coils | VAYYAR IMAGING LTD. |
11069481 | Multilayer ceramic electronic component and board for mounting of the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069482 | Capacitive element | MURATA MANUFACTURING CO., LTD. |
11069529 | Semiconductor device with at least one lower-surface side lifetime control region | FUJI ELECTRIC CO., LTD. |
11069540 | Package on package and a method of fabricating the same | -- |
11069551 | Method of dampening a force applied to an electrically-actuatable element | ROHINNI, LLC |
11069555 | Die attach systems, and methods of attaching a die to a substrate | ASSEMBLEON B.V. |
11069558 | Dummy fin structures and methods of forming same | -- |
11069569 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11069571 | High speed, high density, low power die interconnect system | GULA CONSULTING LIMITED LIABILITY COMPANY |
11069573 | Wafer level package structure and method of forming same | -- |
11069576 | Method of forming multi-threshold voltage devices using dipole-high dielectric constant combinations and devices so formed | SAMSUNG ELECTRONICS CO., LTD. |
11069577 | Nanosheet transistors with different gate dielectrics and workfunction metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069578 | Method of manufacturing a semiconductor device | -- |
11069579 | Semiconductor device and method | -- |
11069580 | Method of manufacturing a semiconductor device including a plurality of channel patterns | SAMSUNG ELECTRONICS CO., LTD. |
11069581 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11069584 | Inspection method for micro LED | POINT ENGINEERING CO., LTD. |
11069589 | Circuit board and circuit module | TAIYO YUDEN CO., LTD. |
11069590 | Wafer-level fan-out package with enhanced performance | QORVO US, INC. |
11069597 | Semiconductor chips and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069598 | Memory arrays and methods used in forming a memory array and conductive through-array-vias (TAVs) | MICRON TECHNOLOGY, INC. |
11069602 | Package and terminal arrangement for semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
11069603 | Semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11069604 | Semiconductor package and method of making the same | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. GRAND |
11069605 | Wiring structure having low and high density stacked structures | -- |
11069606 | Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect | COMPASS TECHNOLOGY COMPANY LIMITED |
11069608 | Semiconductor structure and manufacturing method thereof | -- |
11069609 | Techniques for forming vias and other interconnects for integrated circuit structures | INTEL CORPORATION |
11069612 | Semiconductor devices having electrically and optically conductive vias, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11069615 | Inductor, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11069617 | Semiconductor device and nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11069618 | Line structure and a method for producing the same | DAI NIPPON PRINTING CO., LTD. |
11069623 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11069626 | Molding compound and semiconductor package with a molding compound | INFINEON TECHNOLOGIES AG |
11069628 | Integrated circuit provided with decoys against reverse engineering and corresponding fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
11069631 | Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
11069632 | Array substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069636 | Package structure and method of forming the same | -- |
11069637 | Semiconductor device, manufacturing method, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069638 | Method for fabricating the electronic component, and method for transposing a micro-element | -- |
11069639 | Semiconductor module, electronic component and method of manufacturing a semiconductor module | INFINEON TECHNOLOGIES AUSTRIA AG |
11069640 | Package for power electronics | CREE FAYETTEVILLE, INC. |
11069641 | Integrated circuit package and display device using the same | LG DISPLAY CO., LTD. |
11069647 | Semiconductor wafer, bonding structure and wafer bonding method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11069648 | Semiconductor structure and method for obtaining light emitting diodes reconstituted over a carrier substrate | IMEC VZW |
11069649 | Laser assisted solder bonding of direct conversion compound semiconductor detector | DETECTION TECHNOLOGY OY |
11069655 | Semiconductor device including two or more chips mounted over wiring substrate | MICRON TECHNOLOGY, INC. |
11069656 | Three-layer package-on-package structure and method forming same | -- |
11069657 | Chip package having die structures of different heights and method of forming same | -- |
11069658 | System on integrated chips and methods of forming same | -- |
11069660 | Display device | -- |
11069661 | Electronic package | -- |
11069662 | Semiconductor package and manufacturing method thereof | -- |
11069663 | Method of producing an optoelectronic semiconductor component, and optoelectronic semiconductor component | OSRAM OLED GMBH |
11069664 | Micro-LED module and method for fabricating the same | LUMENS CO., LTD. |
11069665 | Trimmable banked capacitor | APPLE INC. |
11069666 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069667 | Wafer level proximity sensor | STMICROELECTRONICS PTE LTD |
11069668 | Electronic device for reducing a border edge of the non-display areas | -- |
11069669 | Micro LED display panel and method for making same | -- |
11069670 | Camera assembly and packaging method thereof, lens module, and electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11069671 | Semiconductor package and method | -- |
11069672 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11069673 | Semiconductor package and manufacturing method thereof | -- |
11069674 | Semiconductor device | INFINEON TECHNOLOGIES AG |
11069675 | ESD protection device with bidirectional diode string-triggering SCR structure | JIANGNAN UNIVERSITY |
11069676 | Semiconductor device and method for fabricating the same | -- |
11069677 | Semiconductor device comprising metal-insulator-metal (MIM) capacitor | GLOBALFOUNDRIES INC. |
11069678 | Logic gate cell structure | QORVO US, INC. |
11069679 | Reducing gate resistance in stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069680 | FinFET-based integrated circuits with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069681 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11069682 | Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods | STMICROELECTRONICS, INC. |
11069683 | Self restoring logic structures | ICS LLC |
11069684 | Stacked field effect transistors with reduced coupling effect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069685 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069686 | Techniques for enhancing vertical gate-all-around FET performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069687 | Integrated assemblies having shield lines between digit lines, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11069688 | Vertical transistor with eDRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069689 | Manufacturing method of semiconductor memory device | -- |
11069690 | DRAM and flash structure and method of fabricating the same | -- |
11069691 | Memory cell array with large gate widths | GLOBALFOUNDRIES U.S. INC. |
11069692 | FinFET SRAM cells with dielectric fins | -- |
11069693 | Method for improving control gate uniformity during manufacture of processors with embedded flash memory | -- |
11069694 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11069695 | Floating gate test structure for embedded memory device | -- |
11069696 | Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto | SUNRISE MEMORY CORPORATION |
11069697 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. |
11069698 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11069699 | NAND memory cell string having a stacked select gate structure and process for forming same | CYPRESS SEMICONDUCTOR CORPORATION |
11069700 | Semiconductor storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11069701 | Semiconductor memory device and manufacturing method therefor | TOSHIBA MEMORY CORPORATION |
11069702 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11069703 | Three-dimensional device with bonded structures including a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
11069704 | 3D NOR memory having vertical gate structures | -- |
11069705 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069706 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11069707 | Variable die size memory device and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11069708 | Memory device and method for manufacturing the same | -- |
11069709 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11069710 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11069711 | 3-dimensional nor memory array with very fine pitch: device and method | SUNRISE MEMORY CORPORATION |
11069712 | Three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069713 | Semiconductor memory element, other elements, and their production methods | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11069714 | Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit | -- |
11069715 | Memory structure | -- |
11069716 | Glass substrate for display and method for producing same | AVANSTRATE INC. |
11069717 | Metal oxide and field-effect transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069718 | Display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069719 | Array substrate and method of manufacturing the same, display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11069720 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11069721 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11069722 | Active matrix substrate and method of manufacturing same | SHARP KABUSHIKI KAISHA |
11069723 | Method for manufacturing thin film transistor, thin film transistor, and display apparatus | CHENGDU CEC PANDA DISPLAY TECHNOLOGY CO., LTD. |
11069724 | Array substrate, manufacturing method thereof and display device using the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069725 | Display substrate and method of preparing the same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069726 | Method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11069727 | Imaging element having transfer gate structure comprising a trench | SONY CORPORATION |
11069728 | Low noise vertical gate device structure | -- |
11069729 | Photoelectric conversion device, and equipment | CANON KABUSHIKI KAISHA |
11069730 | Solid-state imaging apparatus, method for manufacturing the same, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069731 | Apparatus for reducing optical cross-talk in image sensors | -- |
11069732 | Semiconductor device and method for manufacturing semiconductor device | CANON KABUSHIKI KAISHA |
11069733 | Image sensor having improved full well capacity and related method of formation | -- |
11069734 | Image sensor device | INVENSAS CORPORATION |
11069735 | Semiconductor device and imaging device | SONY CORPORATION |
11069736 | Via support structure under pad areas for BSI bondability improvement | -- |
11069737 | Shallow trench textured regions and associated methods | SIONYX, LLC |
11069738 | Infrared detector and infrared sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11069739 | Imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069740 | Image sensor grid and method of manufacturing same | -- |
11069741 | Electric field controllable spin filter tunnel junction magnetoresistive memory devices and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11069742 | Crossbar array circuit with parallel grounding lines | TETRAMEM INC. |
11069743 | Non-volatile memory elements with a multi-level cell configuration | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11069744 | Steep-switch vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069745 | Memory device | TOSHIBA MEMORY CORPORATION |
11069746 | Electronic device | SK HYNIX INC. |
11069747 | Display device and electronic device having multiple overlapping display panels | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069748 | Organic electroluminescence device and electronic apparatus | SONY CORPORATION |
11069749 | Pixel display module and mask for manufacturing the pixel display module | KUNSHAN GO-VISIONOX OPTO-ELECTRONLCS CO., LTD. |
11069750 | Flexible color filter, flexible organic light emitting display device comprising same, and manufacturing method therefor | DONGWOO FINE-CHEM CO., LTD. |
11069751 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11069752 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11069753 | Display apparatus and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069754 | Display device | TIANMA MICROELECTRONICS CO., LTD. |
11069755 | Flexible display panel and display apparatus including electrochromic part | SAMSUNG DISPLAY CO., LTD. |
11069756 | Display panel, display device and method for driving display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11069757 | Organic light emitting diode display panel and method for making same | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
11069758 | Organic light-emitting diode display substrate, method for manufacturing organic light-emitting diode display substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069759 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
11069760 | Display device with through hole defined in electronic element setup region corresponding to electronic elements | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069761 | Display panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11069762 | Display device | SAMSUNG DISPLAY CO., LTD. |
11069763 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11069764 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069765 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069766 | Display panel with irregular shape and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11069767 | Display driving circuit and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11069768 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11069769 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11069773 | Contact-to-gate monitor pattern and fabrication thereof | -- |
11069775 | Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069783 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11069784 | Semiconductor device and method of manufacture | -- |
11069785 | Semiconductor device and fabrication method thereof | -- |
11069786 | Controlling execution of software by combining secure boot and trusted boot features | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069791 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11069792 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11069793 | Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers | -- |
11069795 | Transistors with channel and sub-channel regions with distinct compositions and dimensions | INTEL CORPORATION |
11069796 | Manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069799 | Amorphous metal hot electron transistor | AMORPHYX, INCORPORATED |
11069806 | Integrated circuit including a low-noise amplifying circuit with asymmetrical source and drain regions and a logic circuit with symmetrical source and drain regions | -- |
11069814 | Transistor having vertical structure and electric device | LG DISPLAY CO., LTD. |
11069817 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069818 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069821 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069823 | Photoelectric conversion device, photoelectric conversion system, and movable object comprising a comparison unit to compare the count value of pulse with a predetermined threshold value | CANON KABUSHIKI KAISHA |
11069834 | Optoelectronic device having a boron nitride alloy electron blocking layer and method of production | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11069835 | Optoelectronic semiconductor chip and method of manufacturing the same | OSRAM OLED GMBH |
11069837 | Sub pixel light emitting diodes for direct view display and methods of making the same | GLO AB |
11069845 | Light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
11069846 | Ultraviolet ray emitting device having maximized electrode area for improved heat dissipation | SEOUL VIOSYS CO., LTD. |
11069850 | Magnetic memory device and manufacturing method of the same | TOSHIBA MEMORY CORPORATION |
11069852 | Magnetoresistance effect element | TDK CORPORATION |
11069853 | Methods for forming structures for MRAM applications | APPLIED MATERIALS, INC. |
11069854 | Laser anneal for MRAM encapsulation enhancement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069855 | Dielectric barrier at non-volatile memory tile edge | INTEL CORPORATION |
11069856 | Solution for organic EL, method of producing organic EL device and organic EL device | JOLED INC. |
11069857 | Display device and method of inspecting the same | SAMSUNG DISPLAY CO., LTD. |
11069865 | Flexible display panel and fabrication method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069866 | Active device substrate | -- |
11069869 | Photoelectric conversion element and method for producing the same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11069871 | Organic white light emitting element | CANON KABUSHIKI KAISHA |
11069872 | Delocalizer and light emitting device using the same | -- |
11069873 | Formation of a two-layer via structure to mitigate damage to a display device | -- |
11069874 | Light emitting element, light emitting device, and electronic apparatus | SEIKO EPSON CORPORATION |
11069876 | Organic electroluminescence display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
11069877 | Display apparatus and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11069878 | Display unit with moisture proof film outside of seal section and electronic apparatus with said display unit | JOLED, INC. |
11069879 | Organic light emitting diode display device with micro lenses | LG DISPLAY CO., LTD. |
11069880 | Display device and fabrication method thereof | SAMSUNG DISPLAY CO., LTD. |
11069881 | Flexible organic light-emitting diode display | LG DISPLAY CO., LTD. |
11069882 | Optical unit and display device | SEIKO EPSON CORPORATION |
11069963 | Radio frequency (RF) antenna containing element and methods of making the same | AVERY DENNSON CORPORATION |
11069992 | Connector part comprising a circuit board | PHOENIX CONTACT E-MOBILITY GMBH |
11070005 | Multistage capacitive crosstalk compensation arrangement | COMMSCOPE TECHNOLOGIES LLC |
11070006 | Connector for low loss interconnection system | AMPHENOL CORPORATION |
11070036 | Multl-phase layered busbar for conducting electric energy wherein the layers are glued together, method of manufactoring the same and switchboard cabinet including such a busbar | ABB SCHWEIZ AG |
11070046 | Short-circuit protection circuit for self-arc-extinguishing type semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11070102 | Motor using printed circuit board and method for manufacturing the same | HYUNDAI MOTOR COMPANY |
11070209 | Programmable logic device with fine-grained disaggregation | INTEL CORPORATION |
11070244 | Integrated RF front end with stacked transistor switch | PSEMI CORPORATION |
11070715 | Image shift amount calculation apparatus and method, image capturing apparatus, defocus amount calculation apparatus, and distance calculation apparatus | CANON KABUSHIKI KAISHA |
11070747 | Segmented focal plane array architecture | FLIR SYSTEMS, INC. |
11070748 | Infrared detector, infrared imaging apparatus using the same, and controlling method of infrared detector | FUJITSU LIMITED |
11070752 | Imaging device including first and second imaging cells and camera system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11070758 | Solid state imaging device and electronic device | SONY CORPORATION |
11070773 | Systems and methods for creating full-color image in low light | CHROMATRA, LLC |
11071195 | Heatsink and stiffener mount with integrated alignment | GOOGLE LLC |
11071196 | Electronic device module and method of manufacturing electronic device module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11071197 | Multilayer ceramic electronic package with modulated mesh topology and alternating rods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11071198 | Highly integrated miniature radiometer chip | VU SYSTEMS, LLC |
11071199 | Optical printed circuit board and its fabrication method | CITY UNIVERSITY OF HONG KONG |
11071200 | Metal trace | -- |
11071201 | Method and apparatus for terminating an electrical cable to an integrated circuit | SAMTEC, INC. |
11071203 | Circuit substrate arrangement with improved electrical contact | ROBERT BOSCH GMBH |
11071204 | Parking management system | EXPOSURE ILLUMINATION ARCHITECTS, INC. |
11071205 | Control unit | JAGUAR LAND ROVER LIMITED |
11071206 | Electronic system and processor substrate having an embedded power device module | INFINEON TECHNOLOGIES AUSTRIA AG |
11071207 | Electronic module | IMBERATEK, LLC |
11071208 | Circuit board component layout determination method | -- |
11071209 | Fitting structure for conductive sheet and electronic device | NEC PLATFORMS, LTD. |
11071210 | Anisotropic etching using highly branched polymers | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11071211 | Electronic component mounting method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11071212 | Semiconductor device manufacturing method | FUJI ELECTRIC CO., LTD. |
11071213 | Methods of manufacturing a high impedance surface (HIS) enhanced by discrete passives | THE BOEING COMPANY |
11071214 | Method for manufacturing multilayer wiring board | MITSUI MINING & SMELTING CO., LTD. |
11071218 | Electronic devices having sliding expandable displays | APPLE INC. |
11071225 | Smart high-voltage relay | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11071240 | Power converting apparatus | HYUNDAI MOTOR COMPANY |
11071513 | Test key design to enable X-ray scatterometry measurement | -- |
11072155 | Laminating apparatus and method of fabricating display device using the same | SAMSUNG DISPLAY CO., LTD. |
11072276 | Lighting apparatus for a vehicle, method of installing a lighting apparatus onto a vehicle, and lighting apparatus kit for a vehicle | AAC ENTERPRISES LLC |
11072689 | Gel polymer electrolyte, electrochromic device comprising the same and production method thereof | LG CHEM, LTD. |
11072744 | Covalently-bound polybromocyclododecane flame retardants | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11073251 | Flexible printed wiring structure for LED light engine | LUMILEDS LLC |
11073256 | Light-emitting device | NICHIA CORPORATION |
11073428 | Conductive line-based temperature-sensing device | -- |
11073575 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION |
11073643 | Near-infrared absorbing film, optical filter comprising the same and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11073692 | Display apparatus and head mount display | SHARP KABUSHIKI KAISHA |
11073702 | Camera lens suspension with limiter | HUTCHINSON TECHNOLOGY INCORPORATED |
11073712 | Electronic device display for through-display imaging | APPLE INC. |
11073725 | Method of manufacturing light emitting module, and light emitting module | NICHIA CORPORATION |
11073727 | Low blue light displays | ECOSENSE LIGHTING, INC. |
11073729 | Liquid crystal display device, semiconductor device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11073731 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11073734 | Array substrate and method of manufacturing the same, display panel and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11073760 | Coloring composition, color filter, pattern forming method, solid-stage imaging element, and image display device | FUJIFILM CORPORATION |
11073872 | Distributed auxiliary hub for a portable electronic device | APPLE INC. |
11073914 | Vibration generation device, and display apparatus and vehicle comprising the same | LG DISPLAY CO., LTD. |
11073927 | Touch sensing utilizing integrated micro circuitry | APPLE INC. |
11073928 | Display device | LG DISPLAY CO., LTD. |
11073953 | Transparent electrode member, method of manufacturing the same, and capacitive sensor that uses transparent electrode member | ALPS ALPINE CO., LTD. |
11073955 | Display device | SAMSUNG DISPLAY CO., LTD. |
11073956 | Conductive member, touch panel, and display device | FUJIFILM CORPORATION |
11074835 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11074855 | Devices and methods for providing access to internal component | APPLE INC. |
11074858 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11074866 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11074867 | Light-emitting device and electronic apparatus | SEIKO EPSON CORPORATION |
11074944 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11074951 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11074953 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11074962 | Semiconductor device, memory device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11074965 | Memory device | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11074966 | Method and system to balance ground bounce | -- |
11074975 | Non-volatile register and implementation of non-volatile register | -- |
11074976 | Temperature dependent impedance mitigation in non-volatile memory | SANDISK TECHNOLOGIES LLC |
11074978 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11074985 | One-time programmable memory device and method for operating the same | HEFECHIP CORPORATION LIMITED |
11075075 | Semiconductor device including metal oxide with multiple regions | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075076 | Method for manufacturing a semiconductor device and film deposition apparatus | TOKYO ELECTRON LIMITED |
11075081 | Semiconductor device with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075082 | Semiconductor device and manufacturing method thereof | -- |
11075084 | Chemistries for etching multi-stacked layers | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11075088 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075089 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075092 | Multi-layer substrate | MURATA MANUFACTURING CO., LTD. |
11075097 | 3D IC bump height metrology APC | -- |
11075107 | Semiconductor structure and manufacturing method thereof | -- |
11075108 | Mechanism for FinFET well doping | -- |
11075109 | Radio frequency silicon on insulator structure with superior performance, stability, and manufacturability | -- |
11075111 | Vertical semiconductor device and method for fabricating the same | SK HYNIX INC. |
11075115 | Tungsten feature fill | NOVELLUS SYSTEMS, INC. |
11075117 | Die singulation and stacked device structures | XILINX, INC. |
11075119 | Vertically stacked transistors in a pin | INTEL CORPORATION |
11075120 | FinFET device and method | -- |
11075121 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11075122 | Semiconductor device and manufacturing method thereof | KIOXIA CORPORATION |
11075123 | Method for forming isolation structure having improved gap-fill capability | -- |
11075125 | Semiconductor device and manufacturing method thereof | -- |
11075132 | Integrated fan-out package, package-on-package structure, and manufacturing method thereof | -- |
11075133 | Underfill structure for semiconductor packages and methods of forming the same | -- |
11075135 | Semiconductor structure and method of forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11075137 | High power module package structures | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075148 | Stacked transistor assembly with dual middle mounting clips | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075150 | Semiconductor package and method of manufacturing the same | -- |
11075152 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075162 | Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics | -- |
11075163 | Vertical NAND string multiple data line memory | MICRON TECHNOLOGY, INC. |
11075164 | Semiconductor device including a conductive feature over an active region | -- |
11075166 | Microelectronic structures having multiple microelectronic devices connected with a microelectronic bridge embedded in a microelectronic substrate | INTEL CORPORATION |
11075167 | Pillared cavity down MIS-SIP | DIALOG SEMICONDUCTOR (UK) LIMITED |
11075168 | InFO-POP structures with TIVs having cavities | -- |
11075170 | Semiconductor package with EMI shield and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11075182 | Semiconductor package and method of forming the same | -- |
11075183 | Semiconductor chip and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11075184 | Semiconductor package and method of fabricating semiconductor package | -- |
11075188 | Package structure and assembly structure | -- |
11075189 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075190 | Semiconductor device and semiconductor device fabrication method | FUJI ELECTRIC CO., LTD. |
11075191 | Flexible LED lighting strip with homogeneous flexibility | LUMILEDS LLC |
11075192 | Microelectronic diode with optimised active surface | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075193 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075194 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11075195 | Integrated hybrid standard cell structure with gate-all-around device | -- |
11075196 | Integrated resistor for semiconductor device | POWER INTEGRATIONS, INC. |
11075197 | Resistor with doped regions and semiconductor devices having the same | SAMSUNG ELECTRONICS CO., LTD. |
11075198 | Stacked transistor architecture having diverse fin geometry | INTEL CORPORATION |
11075199 | Method of forming semiconductor structure | -- |
11075200 | Integrated device with vertical field-effect transistors and hybrid channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075201 | Tuning tensile strain on FinFET | -- |
11075202 | Bottom fin trim isolation aligned with top gate for stacked device architectures | INTEL CORPORATION |
11075203 | Semiconductor structure | -- |
11075204 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11075205 | Apparatuses including conductive structures and layouts thereof | MICRON TECHNOLOGY, INC. |
11075206 | SRAM source-drain structure | QUALCOMM INCORPORATED |
11075207 | SRAM using 2T-2S | INTEL CORPORATION |
11075208 | IC including standard cells and SRAM cells | -- |
11075209 | Semiconductor device including an electrode lower layer and an electrode upper layer and method of manufacturing semiconductor device | ROHM CO., LTD. |
11075210 | Method for fabricating a circular printed memory device with rotational detection | XEROX CORPORATION |
11075211 | Semiconductor device with nonvolatile memory | ROHM CO., LTD. |
11075212 | Semiconductor device and method of manufacturing | -- |
11075213 | Semiconductor memory device and manufacturing method for same | TOSHIBA MEMORY CORPORATION |
11075214 | NOR memory cell with vertical floating gate | GREENLIANT IP, LLC |
11075215 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11075216 | Non-volatile memory | SAMSUNG ELECTRONICS CO., LTD. |
11075217 | Vertical semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11075218 | Method of making a three-dimensional memory device using silicon nitride etching end point detection | SANDISK TECHNOLOGIES LLC |
11075219 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11075220 | Semiconductor device | KIOXIA CORPORATION |
11075221 | Thin-film transistor substrate having overlapping thin-film transistor | SAMSUNG DISPLAY CO., LTD. |
11075222 | Display apparatus | LG DISPLAY CO., LTD. |
11075223 | Thin film transistor array panel with integrated gate driver including noise removal unit | SAMSUNG DISPLAY CO., LTD. |
11075224 | Display device and method for manufacturing same | -- |
11075225 | Display device including a crack detecting line | SAMSUNG DISPLAY CO., LTD. |
11075226 | Display device | -- |
11075227 | Display substrate and method of manufacturing same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075228 | Display substrate, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075229 | Foldalbe display screen and method for manufacturing same | -- |
11075230 | Thin film transistor, manufacturing method thereof, array substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075231 | Display apparatus having a stepped part | SAMSUNG DISPLAY CO., LTD. |
11075232 | Display device, manufacturing method of display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075233 | Semiconductor device and fabricating method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11075234 | Multiplexed exposure sensor for HDR imaging | MICROSOFT TECHNOLOGY LICENSING, LLC |
11075235 | Image sensor mounting base, imaging device, and imaging module | KYOCERA CORPORATION |
11075236 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11075237 | Solid-state image sensor, signal processing method and electronic apparatus | SONY CORPORATION |
11075238 | Method of manufacturing image sensor | -- |
11075239 | Anti-reflective coating with high refractive index material at air interface | OMNIVISION TECHNOLOGIES, INC. |
11075240 | Texture recognition assembly and method of manufacturing the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11075241 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11075242 | Semiconductor devices for image sensing | -- |
11075243 | Image sensors and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11075244 | Die stacked image sensors and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075245 | Image sensing device | SK HYNIX INC. |
11075246 | Method for generation of electrical power within a three-dimensional integrated structure and corresponding link device | STMICROELECTRONICS (ROUSSET) SAS |
11075247 | Circuit structure and method for resistive RAM with self aligned contacts in zero-via layer | GLOBALFOUNDRIES U.S. INC. |
11075248 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
11075249 | Method for producing organic electroluminescent display device comprising polydiacetylene layers | SAKAI DISPLAY PRODUCTS CORPORATION |
11075250 | Light-emitting device package, display device including the same, and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11075251 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11075252 | Display device | SAMSUNG DISPLAY CO., LTD. |
11075253 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
11075254 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11075255 | Display panel, display device, input/output device, and data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075256 | Display panel having fingerprint recognition function, manufacturing method and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075257 | Electroluminescence display and method for driving the same | LG DISPLAY CO., LTD. |
11075258 | Display substrate, manufacturing method thereof, corresponding display panel and encapsulation method for the same | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11075259 | Display device having reduced cell seal area and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11075261 | Structure for use in a metal-insulator-metal capacitor | IMEC VZW |
11075265 | Trigate device with full silicided epi-less source/drain for high density access transistor applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075266 | Vertically stacked fin semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075268 | Transistors with separately-formed source and drain | GLOBALFOUNDRIES U.S. INC. |
11075272 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
11075273 | Nanosheet electrostatic discharge structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075275 | Metal gate fill for short-channel and long-channel semiconductor devices | -- |
11075281 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075282 | Semiconductor structure and fabrication method thereof | -- |
11075283 | Dielectric constant reduction of gate spacer | -- |
11075289 | Heterojunction bipolar transistor including ballast resistor and semiconductor device | MURATA MANUFACTURING CO., LTD. |
11075293 | Qubit-detector die assemblies | INTEL CORPORATION |
11075304 | Thin-film transistor and fabrication method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075305 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11075306 | Filled through silicon vias for semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075307 | Compact electro-optical devices with laterally grown contact layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075309 | Sinterable composition for use in solar photovoltaic cells | -- |
11075327 | Hybrid chip-on-board LED module with patterned encapsulation | LUMILEDS LLC |
11075334 | Spin-orbit-torque magneto-resistive random access memory with stepped bottom electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075335 | Techniques for MRAM MTJ top electrode connection | -- |
11075336 | Magnetic random access memory and manufacturing method thereof | -- |
11075338 | Resistive memory cell structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075341 | Organic microcavity photodetectors with narrow and tunable spectral response | CAMBRIDGE DISPLAY TECHNOLOGY LIMITED |
11075344 | Organic device and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11075348 | Thin film transistor and thin film transistor array and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11075349 | Photoelectric conversion element, imaging device, optical sensor and method of manufacturing photoelectric conversion element | SONY CORPORATION |
11075353 | Organic light-emitting diode display panel and manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075354 | Display panel and method for manufacturing thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075356 | Display substrate, manufacturing method thereof, display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11075358 | Display apparatus and mobile terminal | SAMSUNG DISPLAY CO., LTD. |
11075359 | Display panel and fabrication method thereof | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11075360 | Display panel, display device and method for manufacturing display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11075361 | Organic electroluminescent device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11075362 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11075365 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075469 | Apparatus and method for outputting beamforming signal based on state of electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11075474 | Display module | -- |
11075603 | Integrated LC oscillator and method thereof | -- |
11075635 | Display device and manufacturing method of same | -- |
11075658 | Multilayer substrate, filter, multiplexer, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11076071 | Device having a camera overlaid by display and method for implementing same | LENOVO (SINGAPORE) PTE. LTD. |
11076074 | Camera module | LG INNOTEK CO., LTD. |
11076078 | Solid-state imaging device with uneven structures and method for manufacturing the same, and electronic apparatus | SONY CORPORATION |
11076081 | Device for acquiring a 2D image and a depth image of a scene | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11076092 | Image processing apparatus, image processing method, and image processing program | KABUSHIKI KAISHA TOSHIBA |
11076115 | Solid-state imaging apparatus, imaging system, and distance measurement method | SONY CORPORATION |
11076116 | Imaging device and camera system that controls a period in which the clock signal is supplied to the counter in the counting period of a second pixel based on a signal level of a first pixel | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11076118 | Image sensor supporting various operating modes and operating method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11076119 | Solid state imaging device, method of controlling solid state imaging device, and program for controlling solid state imaging device | SONY CORPORATION |
11076234 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11076235 | Speaker assembly | AAC TECHNOLOGIES PTE. LTD. |
11076477 | Cooling and compression clamp for short lead power devices | MKS INSTRUMENTS, INC. |
11076478 | Electronic assemblies having embedded passive heat pipes and associated method | EAGLE TECHNOLOGY, LLC |
11076480 | Component carrier with embedded filament | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11076481 | Stretchable substrate, method for manufacturing stretchable substrate, device for manufacturing stretchable substrate structure, and method for manufacturing stretchable substrate structure | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION, SEJONG CAMPUS |
11076482 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11076483 | Direct bonded copper ceramic substrate | -- |
11076484 | Circuit module | MURATA MANUFACTURING CO., LTD. |
11076485 | Component mounted board and electronic device comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11076486 | Electronic unit and method for forming an electronic unit | ROBERT BOSCH GMBH |
11076487 | Electronic component embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11076488 | Board having electronic component embedded therein | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11076489 | RF integrated power condition capacitor | 3D GLASS SOLUTIONS, INC. |
11076490 | Method and device for applying solder paste flux | MYCRONIC AB |
11076491 | Integrated electro-optical flexible circuit board | COMPASS TECHNOLOGY COMPANY LIMITED |
11076492 | Three dimensional circuit formation | AVERATEK CORPORATION |
11076493 | Implementing high-speed signaling via dedicated printed circuit-board media | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11076500 | Electronic apparatus and connection structure | LENOVO (BEIJING) CO., LTD. |
11076502 | Apparatus, system, and method for cooling multi-chip modules via clustered fluid-cooled plates | JUNIPER NETWORKS, INC. |
11076511 | Self-contained electromagnetic tracking unit | NORTHERN DIGITAL INC. |
11076513 | Circuit module | TDK CORPORATION |
11076516 | Methods of making Z-shielding | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11076519 | Selective inking head for semiconductor devices | FACEBOOK TECHNOLOGIES, LLC |
11076775 | Strain sensor unit and skin sensor module comprising the same | AMOREPACIFIC CORPORATION |
11076822 | Photon detector, method for producing a photon detector, and x-ray apparatus | SIEMENS HEALTHCARE GMBH |
11077827 | Vehicle card key and method of manufacturing the same | HYUNDAI MOBIS CO., LTD. |
11078075 | Packaging method and associated packaging structure | -- |
11078120 | Oxide sintered body, sputtering target and oxide semiconductor film | IDEMITSU KOSAN CO., LTD. |
11078324 | Seal material composition, liquid crystal cell, and scanned antenna | SHARP KABUSHIKI KAISHA |
11078361 | Resin composition, method for producing resin composition, prepreg, film with resin, metal foil with resin, metal-clad laminate, and wiring board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11079077 | LED lighting system and installation methods | LYNK LABS, INC. |
11079094 | Light emitting device with a light-transmissive member | NICHIA CORPORATION |
11079253 | Wiegand module and methods of forming the same | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11079270 | Optical sensor and apparatus comprising an optical sensor having a photodetector and a semiconductor guard ring are dimensioned so that a fill factor of each pixel is less than or equal to 50% | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11079282 | Flexible interconnect sensing devices and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11079294 | Operation lever | KOMATSU LTD. |
11079354 | Josephson toroidal vortex quantum superconductive/memcapacitive and superconductive/memristive devices of making and their applications at room temperature thereto | -- |
11079427 | Inspection device, inspection system, intelligent power module, inspection method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
11079529 | N4 phase retardation film, display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11079540 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11079560 | Transceiver module | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11079590 | Modulating retroreflective piezoelectric multilayer film | ANDREW SIMON FILO |
11079611 | Optical module for protecting human eyes | -- |
11079620 | Optimization of electronic display areas | FLEXTERRA, INC. |
11079622 | Display panel having reflectors in light adjusting layer and drive method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11079637 | Display device | LG DISPLAY CO., LTD. |
11079639 | Liquid crystal display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079640 | Display panel, active matrix substrate , and method for repairing white defect of display panel | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11079641 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079643 | Active matrix substrate and liquid crystal display device with touch sensor | SHARP KABUSHIKI KAISHA |
11079742 | Automated breadboard wiring assembly | -- |
11079796 | Electronic device including flexible printed circuit board adjacent to antenna | SAMSUNG ELECTRONICS CO., LTD. |
11079879 | Flexible touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079884 | Touch display panel, operating method thereof and touch display device | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11079885 | Display device having touch sensor | LG DISPLAY CO., LTD. |
11079997 | Display apparatus and methods | NANLUMENS ACQUISITION, INC. |
11080229 | Processor for calculating mathematical functions in parallel | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11080453 | Integrated circuit fin layout method, system, and structure | -- |
11080455 | Layout design of integrated circuit with through-substrate via | -- |
11080461 | Method for improved cut metal patterning | -- |
11080501 | Fingerprint sensing display apparatus | LG DISPLAY CO., LTD. |
11080502 | Display device including ultrasonic fingerprint sensor | LG DISPLAY CO., LTD. |
11081028 | Light-emitting device assembly, method of producing the same, and display apparatus | SONY CORPORATION |
11081043 | Array substrate, display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081045 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081047 | Pixel structure, driving method therefor and preparation method therefor, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11081050 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081055 | Active matrix-based electronic apparatus including a light emitting device that may be non-foward biased to sense light, and method of driving the same | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
11081057 | Display apparatus and electronic device | SONY CORPORATION |
11081059 | Display panels and display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11081063 | Organic light emitting display device and method of testing the same | SAMSUNG DISPLAY CO., LTD. |
11081072 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081147 | Pseudo-cryogenic semiconductor device having pseudo-cryogenic temperature sensor and voltage supplier and pseudo-cryogenic semiconductor stack | SK HYNIX INC. |
11081153 | Magnetic memory device with balancing synthetic anti-ferromagnetic layer | -- |
11081154 | Synthetic magnetic pinning element having strong antiferromagnetic coupling | -- |
11081155 | MRAM reference current | -- |
11081169 | Semiconductor device and data retention method | RENESAS ELECTRONICS CORPORATION |
11081173 | Via formation for cross-point memory | MICRON TECHNOLOGY, INC. |
11081174 | Set/reset methods for crystallization improvement in phase change memories | SANDISK TECHNOLOGIES LLC |
11081180 | Memory device with bit lines disconnected from NAND strings for fast programming | SANDISK TECHNOLOGIES LLC |
11081185 | Non-volatile memory array driven from both sides for performance improvement | SANDISK TECHNOLOGIES LLC |
11081186 | Non-volatile memory device and erasing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11081188 | Semiconductor memory device | KIOXIA CORPORATION |
11081190 | Reverse sensing for data recovery in non-volatile memory structures | SANDISKTECHNOLOGIES LLC |
11081196 | Non-volatile memory with erase verify skip | SANDISK TECHNOLOGIES LLC |
11081198 | Non-volatile memory with countermeasure for over programming | SANDISK TECHNOLOGIES LLC |
11081291 | Photosensor including photoelectric conversion layer containing perovskite compound, and optical detection device including the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081293 | Manufacturing method of a composite photovoltaic structure | -- |
11081310 | Photocathode including silicon substrate with boron layer | KLA-TENCOR CORPORATION |
11081326 | Sputtering target and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081337 | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials | VERSUM MATERIALS U.S., LLC |
11081340 | Argon addition to remote plasma oxidation | APPLIED MATERIALS, INC. |
11081345 | Method of post-deposition treatment for silicon oxide film | ASM IP HOLDING B.V. |
11081352 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | -- |
11081353 | Semiconductor device and manufacturing method thereof | -- |
11081356 | Method for metal gate cut and structure thereof | -- |
11081363 | Guard ring structure of semiconductor arrangement | -- |
11081364 | Reduction of crystal growth resulting from annealing a conductive material | MICRON TECHNOLOGY, INC. |
11081366 | MCM package isolation through leadframe design and package saw process | TEXAS INSTRUMENTS INCORPORATED |
11081368 | Method of dicing wiring substrate, and packaging substrate | TOPPAN PRINTING CO., LTD. |
11081369 | Package structure and manufacturing method thereof | -- |
11081370 | Methods of manufacturing an encapsulated semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11081372 | Package system for integrated circuits | -- |
11081389 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11081392 | Dicing method for stacked semiconductor devices | -- |
11081394 | Method of making a FinFET device | -- |
11081395 | Fin field effect transistor having air gap and method for manufacturing the same | -- |
11081398 | Method and structure to provide integrated long channel vertical FinFet device | GLOBALEOUNDRIES U.S. INC. |
11081399 | Method of producing microelectronic components | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081400 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081401 | Semiconductor device and method for manufacturing the same | -- |
11081403 | Methods of forming contact features in field-effect transistors | -- |
11081404 | Source/drain for gate-all-around devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081407 | Methods for assessing semiconductor structures | -- |
11081408 | Methods for wafer warpage control | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11081410 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11081416 | Configuring a sealing structure sealing a component embedded in a component carrier for reducing mechanical stress | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11081421 | IGBT module with heat dissipation structure having ceramic layers corresponding in position and in area to chips | -- |
11081422 | Self-healing PDMS encapsulation and repair of power modules | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11081423 | Power distribution by a working fluid contained in a conduit | THE BOEING COMPANY |
11081425 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11081426 | 3D IC power grid | -- |
11081427 | Semiconductor device with through silicon via structure | -- |
11081436 | Component carrier with integrated strain gauge | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11081437 | Imaging element mounting board, producing method of imaging element mounting board, and mounting board assembly | NITTO DENKO CORPORATION |
11081439 | Integrated circuit and electronic circuit comprising the same | KABUSHIKI KAISHA TOSHIBA |
11081440 | Interposer and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11081443 | Multi-tier three-dimensional memory device containing dielectric well structures for contact via structures and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11081446 | Semiconductor device | SK HYNIX INC. |
11081448 | Embedded die microelectronic device with molded component | INTEL CORPORATION |
11081449 | Semiconductor device and method for manufacturing the same and wireless communication apparatus | MITSUBISHI ELECTRIC CORPORATION |
11081451 | Die stack with reduced warpage | INTEL CORPORATION |
11081457 | Semiconductor package and methods of manufacturing a semiconductor package | INFINEON TECHNOLOGIES AUSTRIA AG |
11081467 | Apparatuses and methods for arranging through-silicon vias and pads in a semiconductor device | MICRON TECHNOLOGY, INC. |
11081468 | Stacked die package including a first die coupled to a substrate through direct chip attachment and a second die coupled to the substrate through wire bonding and related methods, devices and apparatuses | MICRON TECHNOLOGY, INC. |
11081469 | Three-dimensional integrated circuit test and improved thermal dissipation | ARM LIMITED |
11081470 | Semiconductor device and method of manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11081471 | LED module with hermetic seal of wavelength conversion material | LUMILEDS LLC |
11081472 | Stacked die multichip module package | TEXAS INSTRUMENTS INCORPORATED |
11081473 | Semiconductor device package and method of manufacturing the same | -- |
11081474 | Dynamic resource management in circuit bound array architecture | SANDISK TECHNOLOGIES LLC |
11081475 | Integrated circuit structure and method for reducing polymer layer delamination | -- |
11081476 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081477 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081478 | Interconnect structure having a fluorocarbon layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11081479 | Integrated circuit layout with asymmetric metal lines | -- |
11081480 | Semiconductor structure, capacitor structure thereof and manufacturing method of the same | -- |
11081481 | Semiconductor device with an IGBT region and a non-switchable diode region | INFINEON TECHNOLOGIES AG |
11081482 | Fabrication of vertical fin field effect transistors having top air spacers and a self aligned top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081483 | CMOS circuit with a group III-nitride transistor and method of providing same | INTEL CORPORATION |
11081484 | IC unit and method of manufacturing the same, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11081485 | Monolithic integrated circuit device having gate-sinking pHEMTs | -- |
11081486 | Integrated circuit having memory cell array including barriers, and method of manufacturing same | OVONYX MEMORY TECHNOLOGY, LLC |
11081487 | Devices having a transistor and a capacitor along a common horizontal level, and methods of forming devices | MICRON TECHNOLOGY, INC. |
11081488 | Integrated circuit with vertically structured capacitive element, and its fabricating process | STMICROELECTRONICS (ROUSSET) SAS |
11081489 | Semiconductor structure and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11081490 | Integrated assemblies which include metal-containing interconnects to active-region pillars, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081491 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081492 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11081493 | Method for forming semiconductor memory device with sacrificial via | -- |
11081494 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
11081495 | Integrated structures | MICRON TECHNOLOGY, INC. |
11081496 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11081497 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081498 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081499 | Nonvolatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11081500 | Semiconductor structure and method of forming the same | -- |
11081501 | Thin film transistor and method of fabricating the same, array substrate and method of fabricating the same, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081502 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081503 | Array substrate and method of mounting integrated circuit using the same | SAMSUNG DISPLAY CO., LTD. |
11081504 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081505 | Semiconductor device and manufacturing method of the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081506 | Display component and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11081507 | Semiconductor device and method for manufacturing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11081508 | Solid state imaging element and electronic device | SONY CORPORATION |
11081509 | Detector for fast-gated detection of electromagnetic radiation | VRIJE UNIVERSITEIT BRUSSEL |
11081510 | Photosensitive module having transparent plate and image sensor | -- |
11081511 | Imaging element, manufacturing method of imaging element, metal thin film filter, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081512 | Optical crosstalk mitigation for a detector array in an optical receiver | INFINEON TECHNOLOGIES AG |
11081513 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11081514 | Image sensors and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11081515 | Semiconducting device, and appliance having the semiconducting device | CANON KABUSHIKI KAISHA |
11081516 | Display screen, electronic device and method for three-dimensional feature recognition | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11081517 | Active matrix substrate, x-ray imaging panel with the same, and method of manufacturing the same | SHARP KABUSHIKI KAISHA |
11081518 | Semiconductor packaging method and semiconductor device based on molding process | NINGBO SUNNY OPOTECH CO., LTD. |
11081519 | Light emitting device, projector, and method of manufacturing light emitting device | SEIKO EPSON CORPORATION |
11081520 | Luminescence diode with first and second layer sequences having an arrangement of microprisms and method for producing the same | OSRAM OLED GMBH |
11081521 | Process for manufacturing a plurality of crystalline semiconductor islands having a variety of lattice parameters | SOITEC |
11081522 | Wiring line layout in a semiconductor memory device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081523 | Memory devices and methods of forming memory devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11081524 | Three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11081525 | Storage device | TOSHIBA MEMORY CORPORATION |
11081526 | Nonvolatile memory device | KIOXIA CORPORATION |
11081527 | Solid-state image pickup device and manufacturing method thereof | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081528 | Imaging device including photoelectric conversion layer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081529 | Display device | SONY CORPORATION |
11081530 | Pixel arrangement structure, display panel, mask component, and evaporation apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081531 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11081532 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081533 | Display apparatus and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11081534 | Display panel and display device | LG DISPLAY CO., LTD. |
11081535 | Display panel, method for manufacturing the same, and display device | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11081536 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081537 | Substrate and manufacturing method thereof | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081538 | Organic light emitting diode display device having a circuit structure buried in a substrate thereof | SAMSUNG DISPLAY CO., LTD. |
11081539 | Display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11081540 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11081542 | Buried MIM capacitor structure with landing pads | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081546 | Isolation structure for stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081547 | Method for making superimposed transistors | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081549 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11081553 | Method of forming split gate memory cells | SILICON STORAGE TECHNOLOGY, INC. |
11081561 | Field-effect transistors with vertically-serpentine gates | GLOBALFOUNDRIES U.S. INC. |
11081562 | Semiconductor device with a programmable contact and method for fabricating the same | -- |
11081563 | Formation of silicide contacts in semiconductor devices | -- |
11081565 | Memory modules and memory packages including graphene layers for thermal management | MICRON TECHNOLOGY, INC. |
11081569 | Resistor loaded inverter structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081578 | III-V depletion mode semiconductor device | CAMBRIDGE GAN DEVICES LIMITED |
11081581 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081583 | FinFET with dielectric isolation after gate module for improved source and drain region epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081588 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11081591 | Semiconductor device and display unit | JOLED INC. |
11081592 | Semiconductor device and manufacturing method thereof | -- |
11081594 | Thin film transistor and display panel using the same | LG DISPLAY CO., LTD. |
11081596 | Semiconductor device and manufacturing device of the same | RENESAS ELECTRONICS CORPORATION |
11081599 | Single photon avalanche diode and array of single photon avalanche diodes | AMS AG |
11081606 | Flexible and rollable photovoltaic cell having enhanced properties of mechanical impact absorption | SOLARPAINT LTD. |
11081610 | Anode up—cathode down silicon and germanium photodiode | NEWPORT FAB, LLC |
11081611 | Photodetector architectures for efficient fast-gating comprising a control system controlling a current drawn by an array of photodetectors with a single photon avalanche diode | HI LLC |
11081621 | Display panel | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11081622 | III-nitride multi-wavelength LED for visible light communication | LUMILEDS LLC |
11081625 | Packaged LEDs with phosphor films, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11081632 | Micro-LED chips and methods for manufacturing the same and display devices | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11081640 | Magnetic random access memory bottom electrode self-aligned to underlying interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081641 | Magnetoresistance effect element, magnetic memory, and method for manufacturing magnetoresistance effect element | TOHOKU UNIVERSITY |
11081643 | Bevel metal removal using ion beam etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081644 | Apparatuses including electrodes having a conductive barrier material and methods of forming same | MICRON TECHNOLOGY, INC. |
11081645 | Mask assembly with surface roughened mask sheet at welding location, method of manufacturing the same, and method of manufacturing display device using the same | SAMSUNG DISPLAY CO., LTD. |
11081648 | Organic compound, and organic light emitting diode and organic light emitting display device including the same | LG DISPLAY CO., LTD. |
11081657 | Radiation detector | KABUSHIKI KAISHA TOSHIBA |
11081660 | Display device and support film structure for display device | SAMSUNG DISPLAY CO., LTD. |
11081661 | Flexible organic light-emitting diode panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081663 | Organic electroluminescent display panel with auxiliary electrodes, method for manufacturing the same, and display device using the same | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11081664 | Organic electroluminescent element having stacked light emitting units | XIANYANG CHVT NEW DISPLAY TECHNOLOGY CO., LTD. |
11081665 | Display device having buffer layer | JAPAN DISPLAY INC. |
11081667 | OLED display motherboard with crack stop slits | BOE TECHNOLOGY GROUP CO., LTD. |
11081668 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081670 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081673 | Reflective display device | LG DISPLAY CO., LTD. |
11081675 | Display unit and electronic apparatus | JOLED INC. |
11081676 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11081678 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11081679 | Method for forming an organic electroluminescence (EL) element with annealing temperatures for different pixels | MERCK PATENT GMBH |
11081680 | Pixel structure, method for forming the same, and display screen | SEEYA OPTRONICS CO., LTD. |
11081810 | TFT substrate and scanned antenna having TFT substrate | SHARP KABUSHIKI KAISHA |
11081818 | Method and sleeve for connecting power-electronics structural elements and printed circuit boards | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11081820 | Adjustable circuit board assembly | LEAR CORPORATION |
11081821 | Direct mate cable assembly | TE CONNECTIVITY CORPORATION |
11081822 | Printed circuit board having commoned ground plane | SAMTEC, INC. |
11081836 | Circuits and methods for wearable device charging and wired control | SNAP INC. |
11081881 | Full swing positive to negative MOSFET supply clamp for electrostatic discharge (ESD) protection | STMICROELECTRONICS INTERNATIONAL N.V. |
11081969 | Printed circuit board arrangement for welding and cutting apparatus | THE ESAB GROUP INC. |
11082039 | GaN transistor with integrated drain voltage sense for fast overcurrent and short circuit protection | GAN SYSTEMS INC. |
11082040 | Devices and methods for improving voltage handling and/or bi-directionality of stacks of elements when connected between terminals | PSEMI CORPORATION |
11082645 | Imaging apparatus and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11082646 | Imaging unit, imaging apparatus, and computer readable medium storing thereon an imaging control program | NIKON CORPORATION |
11082651 | Imaging apparatus and imaging method, camera module, and electronic apparatus capable of detecting a failure in a structure in which substrates are stacked | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11082655 | Solid state imaging device, method of controlling solid state imaging device, and program for controlling solid state imaging device | SONY CORPORATION |
11082656 | Solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11082680 | Imaging apparatus and image sensor array | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11082788 | Composite electrode, acoustic sensor using the same, and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11083054 | Flexible display panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11083059 | Lumiphoric arrangements for light emitting diode packages | CREELED, INC. |
11083060 | Lighting apparatus and lighting system including the same | SEOUL SEMICONDUCTOR CO., LTD. |
11083076 | Anti-vibration and heat dissipation structure for memory socket | -- |
11083077 | Structure for delivering power | RAMBUS INC. |
11083078 | Electronic assembly | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
11083079 | Terminal device | MITSUBISHI ELECTRIC CORPORATION |
11083080 | Virtual silk screen for printed circuit boards | ARRIS ENTERPRISES LLC |
11083081 | Electronic package comprising a decoupling layer structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11083082 | Enclosure-to-board interface with tamper-detect circuit(s) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11083083 | Inductor bridge and electronic device | MURATA MANUFACTURING CO., LTD. |
11083084 | Stretchable platform formation method and stretchable platform | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11083085 | System for transmitting electrical signals | CLOTHING PLUS MBU OY |
11083086 | Printed wiring board and method for manufacturing printed wiring board | IBIDEN CO., LTD. |
11083087 | Insulated metal substrate and manufacturing method thereof | -- |
11083088 | Micro power distribution boxes and methods of manufacturing same using application specific electronics packaging techniques | MOLEX, LLC |
11083089 | Integrated device package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11083090 | Multi-layer ceramic electronic component, method of producing a multi-layer ceramic electronic component, and substrate with a built-in electronic component | TAIYO YUDEN CO., LTD. |
11083091 | Hole connecting layer manufacturing method, circuit board manufacturing method and circuit board | GUANGZHOU FASTPRINT CIRCUIT TECH CO., LTD. |
11083092 | Planar coil element and method for producing planar coil element | SUMITOMO ELECTRIC PRINTED CIRCUITS, INC. |
11083095 | Flexible display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11083098 | Display device | LG ELECTRONICS INC. |
11083103 | Electronic module | BROTHER KOGYO KA BUSH IKI KAISHA |
11083107 | Electronic device and power module thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11083119 | Charging apparatus for a vehicle and vehicle having a charging apparatus | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
11083589 | Multi-walled placeholder | BIEDERMANN TECHNOLOGIES GMBH & CO. KG |
11084033 | Drive circuit and drive method thereof, and panel and drive method thereof | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11084250 | Display and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11084265 | Manufacturing system for laminated film and manufacturing method for laminated film | SHANJIN OPTOELECTRONICS (SUZHOU) CO., LTD. |
11084521 | Electronic control unit and electric power steering device using the same | DENSO CORPORATION |
11084607 | Process and apparatus for continuously encapsulating elongated components and encapsulated elongated components obtained | ADIDAS AG |
11084902 | Aliphatic polycarbonate resin, partition material, substrate and production method therefor, production method for wiring substrate, and wiring forming method | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11084928 | Transparent siloxane encapsulant and adhesive | INKRON OY |
11084934 | Color material dispersion liquid, color resin composition, color filter, liquid crystal display device, and light-emitting display device | DAI NIPPON PRINTING CO., LTD. |
11084950 | Fast conductivity polymer silver | FERRO CORPORATION |
11084955 | Pressure sensitive adhesive with thermally conductive release tab | MICROSOFT TECHNOLOGY LICENSING, LLC |
11085376 | Integration of a phase-change material for limiting the temperature of fuel from an electronic module | SAFRAN HELICOPTER ENGINES |
11085605 | Lighting apparatus | NICHIA CORPORATION |
11085760 | Shape measurement sensor | HAMAMATSU PHOTONIC K.K. |
11086013 | Micro-optics for imaging module with multiple converging lenses per channel | OUSTER, INC. |
11086017 | LIDAR system | ANALOG VALUE LTD. |
11086030 | Radiation imaging apparatus, manufacturing method thereof, and radiation imaging system | CANON KABUSHIKI KAISHA |
11086031 | Radiation image detector | IRAY TECHNOLOGY COMPANY LIMITED |
11086033 | Method of determining x-ray image for liquid crystal x-ray detector | SESIM. LTD |
11086060 | Color film substrate, display panel and method for detecting a display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11086069 | Electronic device with illumination | APPLE INC. |
11086159 | Electronic device provided with input detection panel | SAMSUNG ELECTRONICS CO., LTD. |
11086168 | Display panel | -- |
11086175 | Display device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11086219 | Negative-type photosensitive resin composition, cured film, display device that includes the cured film, and production method therefor | TORAY INDUSTRIES, INC. |
11086221 | Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces | -- |
11086349 | Reference voltage generator capable of reducing hot carrier stress | -- |
11086360 | Semiconductor package | -- |
11086364 | Display device, electronic device, and system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11086425 | Touch display apparatus and driving method therefor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11086439 | Display panel with metal mesh units and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11086444 | Integrated touch and display architectures for self-capacitive touch sensors | APPLE INC. |
11086452 | Pixel array substrate | -- |
11086458 | Fabricated electrical circuit on touch sensor substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11086461 | Array substrate, embedded touch screen, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11086465 | Touch sensor and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11086466 | Electronic device with touch sensing function and touch sensing method | TPKTOUCH SOLUTIONS (XIAMEN) INC. |
11086467 | Display device | SAMSUNG DISPLAY CO., LTD. |
11086569 | Memory system and method | TOSHIBA MEMORY CORPORATION |
11086573 | Memory system | TOSHIBA MEMORY CORPORATION |
11087110 | Display device including an optical fingerprint sensor | SAMSUNG DISPLAY CO., LTD. |
11087111 | Method and apparatus for fingerprint collection | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11087112 | Display panel and method for fabricating the same, display device and fingerprint recognition method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087646 | Electrode or wiring comprising amorphous metal layer, flexible display device comprising the same and manufacturing method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION, SEJONG CAMPUS |
11087648 | Display device | LG DISPLAY CO., LTD. |
11087670 | Electronic device display with monitoring circuitry utilizing a crack detection resistor | APPLE INC. |
11087671 | Pixel structure | -- |
11087675 | Display device, operation method thereof, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11087678 | Organic light-emitting display | SAMSUNG DISPLAY CO., LTD. |
11087689 | Non-quadrangular display | SAMSUNG DISPLAY CO., LTD. |
11087691 | Display device and a method of driving a gate driver | SAMSUNG DISPLAY CO., LTD. |
11087692 | Method of driving a display panel and organic light emitting display device employing the same | SAMSUNG DISPLAY CO., LTD. |
11087695 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
11087699 | Display device | SAMSUNG DISPLAY CO., LTD. |
11087701 | Head mounted display with angle compensation | FACEBOOK TECHNOLOGIES, LLC |
11087795 | Magnetic disk device | KABUSHIKI KAISHA TOSHIBA |
11087800 | Sense amplifier architecture providing small swing voltage sensing | SANDISK TECHNOLOGIES LLC |
11087804 | Memory device with configurable input/output interface | MICRON TECHNOLOGY, INC. |
11087808 | Word-line structure, memory device and method of manufacturing the same | -- |
11087811 | NVM synaptic element with gradual reset capability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11087813 | Control circuit, semiconductor memory device, information processing device, and control method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11087818 | Semiconductor storage element, semiconductor storage device, semiconductor system, and control method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11087827 | Edge memory array mats with sense amplifiers | MICRON TECHNOLOGY, INC. |
11087831 | Gate-all-around memory devices | -- |
11087832 | Three-dimensional nanoribbon-based static random-access memory | INTEL CORPORATION |
11087839 | Nonvolatile memory device with vertical string including semiconductor and resistance change layers, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11087840 | Method of operating resistive memory device to increase read margin | SAMSUNG ELECTRONICS CO., LTD. |
11087841 | Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations | UNITY SEMICONDUCTOR CORPORATION |
11087842 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. |
11087843 | Memory with FRAM and SRAM of IC and method for accessing memory | -- |
11087850 | Sensing in floating-source memory architecture | SUNRISE MEMORY CORPORATION |
11087909 | Electronic component, electronic apparatus, and method for manufacturing electronic component | TAIYO YUDEN CO., LTD. |
11087927 | Substrates employing surface-area amplification, for use in fabricating capacitive elements and other devices | MURATA MANUFACTURING CO., LTD. |
11087978 | Oxide semiconductor layer and preparation method thereof, device, substrate and means | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087980 | Laser crystallization device | SAMSUNG DISPLAY CO., LTD. |
11087981 | Poly-silicon layer and method of manufacturing the same, methods of manufacturing thin film transistor and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11087985 | Manufacturing method of TFT array substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087987 | Semiconductor device and method | -- |
11087990 | Semiconductor device with a stacked structure and a capping insulation layer | SAMSUNG ELECTRONICS CO., LTD. |
11087991 | Integrated structures, capacitors and methods of forming capacitors | MICRON TECHNOLOGY, INC. |
11087995 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11087996 | Dry cleaning apparatus and dry cleaning method | SAMSUNG ELECTRONICS CO., LTD. |
11088016 | Method for locating devices | SOITEC |
11088017 | Stair step structures including insulative materials, and related devices | MICRON TECHNOLOGY, INC. |
11088022 | Different isolation liners for different type FinFETs and associated isolation feature fabrication | -- |
11088023 | Method of forming a semiconductor structure | -- |
11088026 | Wimpy device by selective laser annealing | ELPIS TECHNOLOGIES INC. |
11088027 | Transistor structure | -- |
11088029 | Gate stack treatment | -- |
11088031 | Semiconductor and method of fabricating the same | KEY FOUNDRY CO., LTD. |
11088032 | Electronic device based on two-dimensional semiconductor and method for manufacturing electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11088033 | Low resistance source-drain contacts using high temperature silicides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088034 | Gate structures for semiconductor devices | -- |
11088040 | Cell-like floating-gate test structure | -- |
11088041 | Semiconductor packages with shortened talking path | -- |
11088042 | Semiconductor device and production method therefor | HITACHI METALS, LTD. |
11088048 | Semiconductor structure | -- |
11088050 | 3D semiconductor device with isolation layers | MONOLITHIC 3D INC. |
11088059 | Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same | -- |
11088064 | Fine pitch copper pillar package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11088066 | Multilayer structure and related method of manufacture for electronics | TACTOTEK OY |
11088067 | Semiconductor device and layout design thereof | -- |
11088071 | Tank circuit structure and method of making the same | -- |
11088072 | Semiconductor device including a fuse and a transistor coupled to the fuse | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088076 | Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners | SANDISK TECHNOLOGIES LLC |
11088078 | Semiconductor device and method for manufacturing the same | -- |
11088079 | Package structure having line connected via portions | -- |
11088081 | Semiconductor package having a connection structure with tapering connection via layers | SAMSUNG ELECTRONICS CO., LTD. |
11088082 | Semiconductor device with partial EMI shielding and method of making the same | STATS CHIPPAC PTE. LTD. |
11088083 | DC and AC magnetic field protection for MRAM device using magnetic-field-shielding structure | -- |
11088084 | Electromagnetic shielding metal-insulator-metal capacitor structure | -- |
11088086 | Chip package structure and method for forming the same | -- |
11088088 | Microelectronic devices with polysilicon fill material between opposing staircase structures, and related devices, systems, and methods | MICRON TECHNOLOGY, INC. |
11088091 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11088096 | Transistor outline housing with high return loss | SCHOTT AG |
11088099 | Multi-metal contact structure in microelectronic component | INVENSAS BONDING TECHNOLOGIES, INC. |
11088100 | Semiconductor package and manufacturing method thereof | -- |
11088102 | Bonded structures for package and substrate | -- |
11088106 | Stack of electrical components and method of producing the same | TDK CORPORATION |
11088109 | Packages with multi-thermal interface materials and methods of fabricating the same | -- |
11088110 | Semiconductor device, circuit board structure and manufacturing method thereof | -- |
11088111 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11088112 | Radio frequency system-in-package with stacked clocking crystal | SKYWORKS SOLUTIONS, INC. |
11088113 | Semiconductor storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11088114 | High density pillar interconnect conversion with stack to substrate connection | MICRON TECHNOLOGY, INC. |
11088115 | Interposer and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11088116 | Bonded assembly containing horizontal and vertical bonding interfaces and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11088117 | Semiconductor package including stacked semiconductor chips | SK HYNIX INC. |
11088118 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11088119 | High efficiency chip-on-board light-emitting diode | BRIDGELUX, INC. |
11088120 | Panel for display by micro LED and method for making same | -- |
11088121 | Printed LED arrays with large-scale uniformity | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11088122 | Method and device for manufacturing flexible light emission device | SAKAI DISPLAY PRODUCTS CORPORATION |
11088123 | Package system having laterally offset and ovelapping chip packages | MARVELL ISRAEL (M.I.S.L) LTD. |
11088124 | Package and manufacturing method thereof | -- |
11088125 | IPD modules with flexible connection scheme in packaging | -- |
11088126 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11088127 | Multichannel monostatic rangefinder | WAYMO LLC |
11088129 | Display apparatus | -- |
11088130 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11088131 | Semiconductor device that uses bonding layer to join semiconductor substrates together | -- |
11088132 | Semiconductor device for enhancing electrostatic discharge protection and layout structure thereof | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11088133 | Electrostatic discharge protection device | SK HYNIX INC. |
11088134 | Electrostatic discharge device and split multi rail network with symmetrical layout design technique | DIALOG SEMICONDUCTOR (UK) LIMITED |
11088135 | Electrostatic discharge protection apparatus and integrated passive device with capacitors | -- |
11088136 | Semiconductor device and manufacturing method thereof | -- |
11088137 | Method for enlarging tip portion of a fin-shaped structure | -- |
11088138 | Semiconductor device for testing characteristics of transistors and method for testing semiconductor device | THE INDUSTRY & ACADEMIC COOPERATION IN CHUNGNAM NATIONAL UNIVERSITY (IAC) |
11088139 | Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088140 | Multiple semiconductor elements with different threshold voltages | -- |
11088141 | Semiconductor device and method for fabricating the same | -- |
11088142 | Integrated assemblies having voids along regions of gates, and methods of forming conductive structures | MICRON TECHNOLOGY, INC. |
11088143 | Semiconductor and manufacturing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11088144 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088145 | Semiconductor device including insulating element | -- |
11088146 | Thin-film transistor embedded dynamic random-access memory | INTEL CORPORATION |
11088147 | Apparatus with doped surfaces, and related methods with in situ doping | MICRON TECHNOLOGY, INC. |
11088148 | Semiconductor memory devices including separate upper and lower bit line spacers | SAMSUNG ELECTRONICS CO., LTD. |
11088149 | Static random-access memory and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11088150 | Semiconductor device and manufacturing method thereof | -- |
11088151 | 4Cpp SRAM cell and array | -- |
11088152 | Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11088153 | Integrated arrangements of pull-up transistors and pull-down transistors, and integrated static memory | MICRON TECHNOLOGY, INC. |
11088154 | Ferroelectric device and methods of fabrication thereof | -- |
11088155 | Method for fabricating split-gate non-volatile memory | NEXCHIP SEMICONDUCTOR CO., LTD |
11088156 | Memory cells with extended erase gate, and process of fabrication | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11088157 | Three-dimensional semiconductor device having stepped gate electrodes | SAMSUNG ELECTRONICS CO., LTD. |
11088158 | SONOS memory and method for manufacturing the same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11088159 | Inter-digitated capacitor in flash technology | -- |
11088160 | Vertical semiconductor device and fabrication method thereof | SK HYNIX INC. |
11088161 | Three-dimensional semiconductor memory device and method of detecting electrical failure thereof | SAMSUNG ELECTRONICS CO., LTD. |
11088162 | Semiconductor memory device and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
11088163 | Semiconductor devices including upper and lower selectors | SAMSUNG ELECTRONICS CO., LTD. |
11088164 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11088165 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11088166 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11088167 | Transistor, three dimensional memory device including such transistor and method of fabricating such memory device | -- |
11088168 | Semiconductor devices and methods of fabrication | MICRON TECHNOLOGY, INC. |
11088169 | Integrated assemblies having thicker semiconductor material along one region of a conductive structure than along another region, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11088170 | Three-dimensional ferroelectric memory array including integrated gate selectors and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11088171 | Array substrate, display panel and method of manufacturing the same | HKC CORPORATION LIMITED |
11088172 | Array substrate and manufacturing method thereof, liquid crystal display panel and liquid crystal apparatus | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11088173 | Method for making displays | -- |
11088174 | Display substrate with gate insulation layers having different thicknesses, manufacturing method of the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088175 | Display panel, method for driving the same, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11088176 | Display device | HKC CORPORATION LIMITED |
11088177 | Array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088178 | Array substrate, display panel and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088179 | Liquid crystal display device | FUNAI ELECTRIC CO., LTD. |
11088180 | Conductive wire structure and manufacturing method thereof, array substrate and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088181 | Method of fabricating array substrate, array substrate, and display apparatus thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11088182 | Method for transferring light emitting elements, display panel, method for making display panel, and substrate | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11088183 | Manufacturing method of low temperature poly-silicon (LTPS) thin film transistor (TFT) substrate and the LTPS TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088184 | Array substrate and method of manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11088185 | Image sensor including particular readout circuit arrangement | SAMSUNG ELECTRONICS CO., LTD. |
11088187 | Solid-state imaging device and method for manufacturing solid-state imaging device, and electronic device | SONY CORPORATION |
11088188 | Image sensor device | -- |
11088189 | High light absorption structure for semiconductor image sensor | -- |
11088190 | Optical semiconductor device | HAMAMATSU PHOTONICS K.K. |
11088191 | Photoelectric conversion device having isolation portions, and imaging system and moving body having photoelectric conversion device | CANON KABUSHIKI KAISHA |
11088192 | Metal block and bond pad structure | -- |
11088193 | Image sensor and an image processing device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11088195 | Solid-state image pickup element, method of manufacturing solid-state image pickup element, and electronic apparatus | SONY CORPORATION |
11088196 | Metal reflector grounding for noise reduction in light detector | -- |
11088197 | Light emitting device and manufacturing method of the light emitting device | SAMSUNG DISPLAY CO., LTD. |
11088198 | Display device and manufacturing method of display device | SAMSUNG DISPLAY CO., LTD. |
11088199 | Semiconductor device | -- |
11088200 | Lattice matched seed layer to improve PMA for perpendicular magnetic pinning | -- |
11088201 | Magnetic tunneling junction (MTJ) element with an amorphous buffer layer and its fabrication process | -- |
11088202 | Method of forming memory cell | -- |
11088203 | 3D RRAM cell structure for reducing forming and set voltages | -- |
11088204 | Three terminal selectors for memory applications and their methods of fabrication | INTEL CORPORATION |
11088205 | High-density field-enhanced ReRAM integrated with vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088206 | Methods of forming a phase change memory with vertical cross-point structure | SANDISK TEHNOLOGIES LLC |
11088207 | Solid-state image sensor, photoelectric conversion film, electron blocking layer, imaging apparatus, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11088208 | Display apparatus | LG DISPLAY CO., LTD. |
11088209 | Pixel structure of organic light emitting diode display | SHANGHAI TUO KUANG OPTOECLECTRONIC TECHNOLOGY CO., LTD. |
11088210 | Display device including a first pixel, a second pixel and a third pixel at least partially separated from each of the first pixel and the second pixel | SAMSUNG DISPLAY CO., LTD. |
11088211 | Display substrate, manufacturing method thereof, and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088212 | OLED display substrate, manufacturing method and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088213 | Display substrate, display apparatus, method of controlling display substrate, and method of fabricating display substrate | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11088214 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
11088215 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11088216 | Color control member and display device employing the same | SAMSUNG DISPLAY CO., LTD. |
11088217 | OLED module and display device having the same | LG DISPLAY CO., LTD. |
11088218 | Electric panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11088219 | Display device | SAMSUNG DISPLAY CO., LTD. |
11088220 | Display device including touch sensor and method of manufacturing the same | LG DISPLAY CO., LTD. |
11088221 | Display device including a blocking unit | SAMSUNG DISPLAY CO., LTD. |
11088222 | Display device comprising a thin glass material layer | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088223 | Display panel and manufacturing method thereof, and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11088224 | Display substrate, method for manufacturing the same and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088225 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088226 | Display substrate, display apparatus, method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11088227 | Display panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11088228 | Light-emitting device and light-emitting system | PIONEER CORPORATION |
11088229 | Pixel driving circuit and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088230 | Pixel circuit, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088231 | Organic light emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088232 | Display device | SAMSUNG DISPLAY CO., LTD. |
11088233 | Display device | SAMSUNG DISPLAY CO., LTD. |
11088234 | Array substrate and manufacturing method thereof, and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088235 | Display panel and display device for improving display effect | SHANGHAI TIANMA AM-OLED CO., LTD. |
11088236 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11088237 | Self-light emitting display device | LG DISPLAY CO., LTD. |
11088238 | Display device | LG DISPLAY CO., LTD. |
11088240 | Capacitor structure | SAMSUNG ELECTRONICS CO., LTD. |
11088248 | LDD-free semiconductor structure and manufacturing method of the same | -- |
11088252 | Three-dimensional memory device with a silicon carbon nitride interfacial layer in a charge storage layer and methods of making the same | SANDISK TECHNOLOGIES LLC |
11088255 | Semiconductor devices | -- |
11088256 | Semiconductor devices | -- |
11088257 | Semiconductor device and method of manufacturing the same | -- |
11088258 | Method of forming multiple-Vt FETs for CMOS circuit applications | SAMSUNG ELECTRONICS CO., LTD. |
11088261 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11088265 | Semiconductor structure having a repaired dielectric layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11088267 | Semiconductor device with diode and silicon controlled rectifier (SCR) | -- |
11088268 | Methods and devices for fabricating and assembling printable semiconductor elements | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11088273 | Semiconductor device with integrated clamp diode | NEXPERIA B.V. |
11088277 | Power MOSFETs structure | -- |
11088279 | Channel strain formation in vertical transport FETS with dummy stressor materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088280 | Transistor and method of forming same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088282 | TFT substrate, scanned antenna having TFT substrate, and method for manufacturing TFT substrate | SHARP KABUSHIKI KAISHA |
11088284 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11088286 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088287 | Thin film transistor and manufacturing method thereof, array substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088289 | NAND flash memory with vertical cell stack structure and method for manufacturing same | MOSAID TECHNOLOGIES INCORPORATED |
11088290 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
11088293 | Methods and apparatus for producing copper-indium-gallium-selenium (CIGS) film | APPLIED MATERIALS, INC. |
11088296 | Light-emitting diode substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088298 | Light-emitting device | -- |
11088301 | Display device using semiconductor light-emitting element | LG ELECTRONICS INC. |
11088302 | Light-emitting device | OSRAM OPTO SEMICONDUCTORS GMBH |
11088303 | Light emitting device | TOYODA GOSEI CO., LTD. |
11088304 | Display device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088305 | Method for forming light-transmissive member including pressing die into resin body and irradiating resin body with ultraviolet rays | NICHIA CORPORATION |
11088306 | Light-emitting devices and methods for manufacturing the same | -- |
11088309 | Thermoelectric conversion element and thermoelectric conversion module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11088317 | Structures and methods for shielding magnetically sensitive components | EVERSPIN TECHNOLOGIES, INC. |
11088318 | Spin orbit torque magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
11088319 | Magnetic tunnel junction including a free layer structure and magnetic memory device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11088320 | Fabrication of large height top metal electrode for sub-60nm magnetoresistive random access memory (MRAM) devices | -- |
11088321 | Highly selective ion beam etch hard mask for sub 60nm MRAM devices | -- |
11088323 | Top electrode last scheme for memory cell to prevent metal redeposit | -- |
11088326 | Substrate for use in manufacturing display device and method for forming element on substrate | SAMSUNG DISPLAY CO., LTD. |
11088327 | Method for patterning a coating on a surface and device including a patterned coating | OTI LUMIONICS INC. |
11088329 | Carrier substrate and fabricating method thereof, flexible substrate and fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088332 | Compound, light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088336 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11088337 | Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors | -- |
11088339 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11088340 | Display device and method for manufacturing display device | JAPAN DISPLAY INC. |
11088342 | Display device | -- |
11088343 | Electronic device including display panel including electrodes having different shapes for respective areas | SAMSUNG ELECTRONICS CO., LTD. |
11088345 | Organic light emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088346 | WOLED display panel with CF layer arranged inside thin-film encapsulation layer for reducing thickness and achieving flexibility and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088348 | Method for producing organic electroluminescent display device comprising polydiacetylene layer | SAKAI DISPLAY PRODUCTS CORPORATION |
11088349 | Display module | SAMSUNG DISPLAY CO., LTD. |
11088350 | Display device and method for manufacturing the same | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11088351 | Display panels and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11088352 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088353 | Solid-state total reflection display and manufacture method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11088354 | Light-emitting panel and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088355 | Display unit with prevented current leakage, method of manufacturing the same, and method of manufacturing electronic apparatus | SONY CORPORATION |
11088399 | Current sensor and battery comprising such a current sensor | ROBERT BOSCH GMBH |
11088432 | Waveguide coupler | TEXAS INSTRUMENTS INCORPORATED |
11088453 | Vertical coupling structure for antenna feeds | AMAZON TECHNOLOGIES, INC. |
11088462 | Quick-change circularly polarized antenna fitment | VIDEO AERIAL SYSTEMS, LLC |
11088479 | Sockets including wicking regions mounted on a system board | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11088494 | High speed communication jack | SENTINEL CONNECTOR SYSTEMS, INC. |
11088498 | Universal isolator arranged for repositionable connection to a base module | EATON INTELLIGENT POWER LIMITED |
11088522 | Circuit assembly and electrical junction box | SUMITOMO WIRING SYSTEMS, LTD. |
11088536 | Circuit and method for protecting a voltage regulating circuit against electrostatic discharges | STMICROELECTRONICS (ROUSSET) SAS |
11088541 | Integrated circuit and electrostatic discharge protection circuit thereof | -- |
11088542 | System and method for temperature compensated ESD protection | INFINEON TECHNOLOGIES AG |
11088649 | Power conversion unit | MITSUBISHI ELECTRIC CORPORATION |
11088686 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11088688 | Configurations of composite devices comprising of a normally-on FET and a normally-off FET | LOGISIC DEVICES, INC. |
11088690 | Switch | AUTONICS CORPORATION |
11088715 | Communication system having a receptacle cage with an airflow channel | TE CONNECTIVITY SERVICES GMBH |
11089201 | Dual-core focusing image sensor, focusing control method for the same, and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11089223 | Image-capturing unit and image-capturing apparatus | NIKON CORPORATION |
11089241 | Pixel cell with multiple photodiodes | FACEBOOK TECHNOLOGIES, LLC |
11089243 | Image sensor element for outputting an image signal, and method for manufacturing an image sensor element for outputting an image signal | ROBERT BOSCH GMBH |
11089244 | Small pixel high dynamic range pixel sensor | BAE SYSTEMS IMAGING SOLUTIONS INC. |
11089245 | Image sensor circuit and image depth sensor system | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11089248 | Imaging apparatus and imaging method, camera module, and electronic apparatus capable of detecting a failure in a structure in which substrates are stacked | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11089251 | Image sensor and image capturing apparatus | CANON KABUSHIKI KAISHA |
11089253 | Image sensor with controllable conversion gain | SAMSUNG ELECTRONICS CO., LTD. |
11089256 | Image sensor with correction of detection error | CANON KABUSHIKI KAISHA |
11089286 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11089406 | Display device | SAMSUNG DISPLAY CO., LTD. |
11089671 | Integrated circuit / printed circuit board assembly and method of manufacture | ERIDAN COMMUNICATIONS, INC. |
11089672 | Radiation beam window assembly comprising fiber bound core panels and methods for the same | RADIATION DETECTION AND IMAGING TECHNOLOGIES, LLC |
11089673 | Wall for isolation enhancement | RAYTHEON COMPANY |
11089674 | Wiring substrate and method for manufacturing wiring substrate | IBIDEN CO., LTD. |
11089675 | Tamper sensor | TE CONNECTIVITY CORPORATION |
11089676 | Multi-layered fabrication processing | THE CHARLES STARK DRAPER LABORATORY, INC. |
11089677 | Flexible printed circuit board and mobile terminal comprising same | LG ELECTRONICS INC. |
11089678 | Composite conductive substrate and manufacturing method thereof | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
11089679 | Printed wiring board and method for manufacturing printed wiring board | MITSUBISHI ELECTRIC CORPORATION |
11089680 | Multilayer substrate, interposer, and electronic device | MURATA MANUFACTURING CO., LTD. |
11089681 | Interposer and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11089682 | Flexible circuit board, chip package including the same, and electronic device including the chip package | LG INNOTEK CO., LTD. |
11089683 | Optical module | CIG PHOTONICS JAPAN LIMITED |
11089684 | Motherboard module and electronic device | -- |
11089685 | Stackable via package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11089686 | Data acquisition device for the instrumentation of a structure | SAFRAN DATA SYSTEMS |
11089687 | Additive manufacturing technology (AMT) low profile signal divider | RAYTHEON COMPANY |
11089688 | Sensor device having printed circuit board substrate with built-in media channel | TT ELECTRONICS PLC |
11089689 | Fine feature formation techniques for printed circuit boards | INTEL CORPORATION |
11089690 | Method for depositing a functional material on a substrate | NCC NANO, LLC |
11089691 | Microcircuit forming method and etching fluid composition | INKTEC CO., LTD. |
11089692 | Catalytic ink comprising metallic material made from diamminesilver hydroxide, and uses thereof | -- |
11089693 | PCB structure with a silicone layer as adhesive | -- |
11089694 | Printed wiring board and method for manufacturing printed wiring board | IBIDEN CO., LTD. |
11089699 | Rollable display | LG DISPLAY CO., LTD. |
11089700 | Display device | LG DISPLAY CO., LTD. |
11089705 | Electronics device having a plastic cover with a sealed center boss | CONTINENTAL AUTOMOTIVE SYSTEMS, INC. |
11089712 | Ventilated shield can | MICROSOFT TECHNOLOGY LICENSING, LLC |
11089714 | Electronic device and its heat dissipation assembly | -- |
11089737 | Light emission source LED component, horticultural light, and horticultural lighting fixture | VALOYA OY |
11090751 | Reflow device and method for manufacturing substrate using the reflow device | DENSO CORPORATION |
11090768 | Lead-free and antimony-free tin solder reliable at high temperatures | ALPHA ASSEMBLY SOLUTIONS INC. |
11090858 | Method and system for fabricating cross-layer pattern | STRATASYS LTD. |
11091094 | Capacitive sensing car-door pre-opening warning device based on a flexible printed circuit | -- |
11091594 | Dispersion composition, curable composition, light-shielding film, color filter, and solid-state imaging device | FUJIFILM CORPORATION |
11091627 | Epoxy resin composition | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11091659 | Solder mask inkjet inks for manufacturing printed circuit boards | AGFA-GEVAERT |
11091663 | Method for producing dispersion liquid containing silver nanoparticles, and dispersion liquid containing silver nanoparticles | DAICEL CORPORATION |
11091849 | Bath and method for filling a vertical interconnect access or trench of a work piece with nickel or a nickel alloy | ATOTECH DEUTSCHLAND GMBH |
11091850 | Producing method of wired circuit board | NITTO DENKO CORPORATION |
11091855 | Electronically functional yarn and textile | MICROSOFT TECHNOLOGY LICENSING, LLC |
11092318 | LED flexible light bar | ZHONGSHAN LANDE ELECTRONICS CO., LTD. |
11092321 | Chip-on-board modular lighting system and method of manufacture | LUMILEDS LLC |
11092584 | Composite LED module and water quality monitoring device using the same | NANJING UNIVERSITY |
11092620 | Conduction inspection device member and conduction inspection device | SEKISUI CHEMICAL CO., LTD. |
11092728 | Polarizing plate and optical display device comprising same | SAMSUNG SDI CO., LTD. |
11092763 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092815 | Metasurface lens assembly for chromatic separation | MAGNA INTERNATIONAL INC. |
11092836 | Array substrate, manufacturing method for the same and in-cell touch panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11092841 | Color filter and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11092854 | Liquid crystal display device comprising a pixel electrode having a plurality of branch portions and a second edge portion that is spaced apart from the branch portions | SAMSUNG DISPLAY CO., LTD. |
11092856 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11092857 | Thin film transistor substrate | LG DISPLAY CO., LTD. |
11092860 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11092861 | Electronic device | -- |
11092864 | Display panel and display device | HKC CORPORATION LIMITED |
11092865 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11092990 | Apparatuses and related methods for staggering power-up of a stack of semiconductor dies | MICRON TECHNOLOGY, INC. |
11093011 | Display device | SAMSUNG DISPLAY CO., LTD. |
11093059 | Display device | SAMSUNG DISPLAY CO., LTD. |
11093064 | Touch panel display | MIKUNI ELECTRON CORPORATION |
11093065 | Display device | SAMSUNG DISPLAY CO., LTD. |
11093071 | Touch panel and trace structure thereof | TPK GLASS SOLUTIONS (XIAMEN) INC. |
11093089 | Touch sensor and touch panel with touch electrodes and insulating layer | FUJIFILM CORPORATION |
11093091 | Display panel | SHANGHAI TIANMA AM-OLED CO., LTD. |
11093094 | Display device including a touch sensor with a reduced thickness to increase touch sensitivity and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11093095 | Wiring structure manufacturing method and wiring structure | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11093096 | Touch sensing unit including connection line coupled to multiple blocks of touch sensing electrodes and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11093098 | Touch panel and display device | -- |
11093204 | Display device, display unit, and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11093677 | Logic drive based on standard commodity FPGA IC chips | -- |
11093812 | RFIC module, RFID tag, and article | MURATA MANUFACTURING CO., LTD. |
11093825 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11094265 | Display device | JAPAN DISPLAY INC. |
11094358 | Semiconductor chip manufacturing process for integrating logic circuitry, embedded DRAM and embedded non-volatile ferroelectric random access memory (FERAM) on a same semiconductor die | INTEL CORPORATION |
11094359 | High retention multi-level-series magnetic random-access memory | SPIN MEMORY, INC. |
11094360 | Storage device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094361 | Transistorless memory cell | -- |
11094373 | Oxide semiconductor based memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094376 | In-memory compute array with integrated bias elements | STMICROELECTRONICS INTERNATIONAL N.V. |
11094378 | Resistance variable memory device including stacked memory cells | SK HYNIX INC. |
11094382 | Semiconductor memory device including page buffers | SK HYNIX INC. |
11094387 | Multi-fuse memory cell circuit and method | -- |
11094388 | Anti-fuse device and program method using the same | -- |
11094453 | Electronic device and method for manufacturing electronic device | TDK CORPORATION |
11094461 | Composite electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094467 | Multilayer ceramic capacitor and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11094480 | Keyboard device | -- |
11094530 | In-situ curing of color conversion layer | APPLIED MATERIALS, INC. |
11094540 | Manufacturing method of a pair of different crystallized metal oxide layers | -- |
11094553 | Semiconductor device and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11094576 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11094578 | Semiconductor structure and method for manufacturing the same | -- |
11094585 | Methods of forming a conductive contact structure to a top electrode of an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11094586 | Semiconductor device including interconnections having different structures and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11094591 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094592 | Semiconductor devices and systems comprising memory cells and a source | MICRON TECHNOLOGY, INC. |
11094593 | Semiconductor device including contact structure | SAMSUNG ELECTRONICS CO., LTD. |
11094594 | Semiconductor structure with buried power rail, integrated circuit and method for manufacturing the semiconductor structure | -- |
11094595 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11094596 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094597 | Structure and formation method of semiconductor device with fin structures | -- |
11094599 | Semiconductor structure and manufacturing method thereof | -- |
11094608 | Heat dissipation structure including stacked chips surrounded by thermal interface material rings | -- |
11094610 | Semiconductor power module | MITSUBISHI ELECTRIC CORPORATION |
11094612 | Semiconductor devices including through-silicon-vias and methods of manufacturing the same and semiconductor packages including the semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11094618 | Power switching modular element and dismountable assembly of a plurality of modular elements | INSTITUT VEDECOM |
11094619 | Package with component connected with carrier via spacer particles | INFINEON TECHNOLOGIES AG |
11094621 | Display panel | -- |
11094622 | Packaged semiconductor devices and methods of packaging thereof | -- |
11094627 | Methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11094629 | 3D power device and system | IMEC VZW |
11094632 | Semiconductor device with air gap and method for preparing the same | -- |
11094633 | Bridge die design for high bandwidth memory interface | INTEL CORPORATION |
11094634 | Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof | -- |
11094635 | Package structure and method for forming the same | -- |
11094636 | Semiconductor package and method of manufacturing the semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094637 | Multi-chip package structures having embedded chip interconnect bridges and fan-out redistribution layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094639 | Semiconductor package | -- |
11094640 | Package module | SAMSUNG ELECTRONICS CO., LTD. |
11094641 | Fan-out package having a main die and a dummy die | -- |
11094647 | Methods and apparatus to eliminate wafer bow for CVD and patterning HVM systems | APPLIED MATERIALS, INC. |
11094648 | Power module | DENKA COMPANY LIMITED |
11094652 | Configurable radio transceiver and method thereof | -- |
11094653 | Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11094654 | Package structure and method of manufacturing the same | -- |
11094660 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094662 | Semiconductor assembly and method of manufacturing the same | -- |
11094664 | Semiconductor device and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094665 | Chip package structure | -- |
11094666 | Bonding wire, semiconductor package including the same, and wire bonding method | SAMSUNG ELECTRONICS CO., LTD. |
11094670 | Semiconductor device assemblies including multiple shingled stacks of semiconductor dies | MICRON TECHNOLOGY, INC. |
11094671 | Package with thinned substrate | -- |
11094672 | Composite IC chips including a chiplet embedded within metallization layers of a host IC chip | INTEL CORPORATION |
11094673 | Stacked die package with curved spacer | WESTERN DIGITAL TECHNOLOGIES, INC. |
11094674 | Memory scaling semiconductor device | SANDISK TECHNOLOGIES LLC |
11094675 | Micro light emitting diode device including different-type epitaxial structures having respective connection portions of different thicknesses | -- |
11094676 | Light emitting device | NICHIA CORPORATION |
11094677 | Micro LED display device and manufacturing method thereof | -- |
11094678 | Light emitting device having insulation pattern | SAMSUNG DISPLAY CO., LTD. |
11094679 | White light source system | KABUSHIKI KAISHA TOSHIBA |
11094680 | Packages and methods of forming packages | -- |
11094681 | Photocoupler and packaging member thereof | KABUSHIKI KAISHA TOSHIBA |
11094682 | Package structure and method of fabricating the same | -- |
11094683 | Bonded nanofluidic device chip stacks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094684 | Edge cut debond using a temporary filler material with no adhesive properties and edge cut debond using an engineered carrier to enable topography | MICRON TECHNOLOGY, INC. |
11094685 | Static random access memory device | -- |
11094686 | Integrated circuit including multi-height standard cell and method of designing the same | SAMSUNG ELECTRONICS CO., LTD. |
11094687 | Temperature characteristic adjustment circuit | ASAHI KASEI MICRODEVICES CORPORATION |
11094688 | Isolation architecture | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11094689 | Electronic component including protective diode for electrostatic discharge protection | OSRAM OLED GMBH |
11094690 | On-chip IEC ESD protection using parasitic PNP devices | BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
11094691 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094692 | Semiconductor structure having active regions with different dopant concentrations | -- |
11094693 | Layout method | SAMSUNG ELECTRONICS CO., LTD. |
11094694 | Buried channel semiconductor device and method for manufacturing the same | -- |
11094695 | Integrated circuit device and method of forming the same | -- |
11094696 | Methods of forming a thyristor-based random access memory using fin structures and elevated layers | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11094697 | Vertical two-transistor single capacitor memory cells and memory arrays | MICRON TECHNOLOGY, INC. |
11094698 | Semiconductor storage device | KIOXIA CORPORATION |
11094699 | Apparatuses including stacked horizontal capacitor structures and related methods, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11094700 | Well strap structures and methods of forming the same | -- |
11094701 | Layout structure of storage cell and method thereof | -- |
11094702 | One-time programmable memory device including anti-fuse element and manufacturing method thereof | -- |
11094703 | Semiconductor plug having an etch-resistant layer in three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094704 | Method of forming a three-dimensional memory device and a driver circuit on opposite sides of a substrate | SANDISK TECHNOLOGIES LLC |
11094705 | Methods of forming an array of elevationally-extending strings of memory cells, methods of forming polysilicon, elevationally-extending strings of memory cells individually comprising a programmable charge storage transistor, and electronic components comprising polysilicon | MICRON TECHNOLOGY, INC. |
11094706 | NAND unit cells | MICRON TECHNOLOGY, INC. |
11094707 | NAND unit cells | MICRON TECHNOLOGY, INC. |
11094708 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11094709 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11094710 | Semiconductor device including stepped structure and supporting structure | SK HYNIX INC. |
11094711 | Memory device | -- |
11094712 | Three-dimensional memory device with support structures in slit structures and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094713 | Three-dimensional memory device with source contacts connected by an adhesion layer and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094714 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094715 | Three-dimensional memory device including different height memory stack structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11094716 | Source contact and channel interface to reduce body charging from band-to-band tunneling | INTEL CORPORATION |
11094717 | Transistor and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094718 | TFT array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094719 | Method of manufacturing display panel, display panel, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094720 | Array substrate having a gate driving circuit with an improved output characteristic and a display apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
11094721 | Method for manufacturing array substrate including forming via holes having different widths using single patterning process | BOE TECHNOLOGY GROUP CO., LTD. |
11094722 | Image sensor package and imaging apparatus | SONY CORPORATION |
11094723 | Semiconductor device and method of forming the same | -- |
11094724 | Touch screen panel for sensing touch using TFT photodetectors integrated thereon | -- |
11094725 | Solid-state imaging device, method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11094726 | Pixel and method of controlling the same | STMICROELECTRONICS (GRENOBLE 2) SAS |
11094727 | Camera module, molding photosensitive assembly thereof, manufacturing method thereof and electronic device | NINGBO SUNNY OPOTECH CO., LTD. |
11094728 | Image pickup device and electronic apparatus | SONY CORPORATION |
11094729 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11094730 | Solid-state imaging device having through electrode provided therein and electronic apparatus incorporating the solid-state imaging device | SONY CORPORATION |
11094731 | Image capturing device and camera | CANON KABUSHIKI KAISHA |
11094732 | Pixel having two semiconductor layers, image sensor including the pixel, and image processing system including the image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11094733 | Semiconductor device, semiconductor memory, photoelectric conversion device, moving unit, manufacturing method of photoelectric conversion device, and manufacturing method of semiconductor memory | CANON KABUSHIKI KAISHA |
11094734 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11094735 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11094736 | Device and method for reducing cracking of material due to thermal mismatch | HRL LABORATORIES, LLC |
11094737 | Flat panel detector | BEIJING BOE SENSOR TECHNOLOGY CO., LTD. |
11094738 | Photoelectric detector, manufacturing method thereof, and detection device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094740 | Backboard, display device, and method for fabricating backboard | BOE TECHNOLOGY GROUP CO., LTD. |
11094741 | Display device | SAMSUNG DISPLAY CO., LTD. |
11094742 | Method for producing a photo-emitting and/or photo-receiving device with a metal optical separation grid | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11094743 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11094744 | Interconnect landing method for RRAM technology | -- |
11094745 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11094746 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11094747 | Organic electroluminescent display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11094748 | Pixel arrangement structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094750 | Flexible display panel and preparation method thereof | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11094751 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094752 | Display panel and preparation method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094753 | Organic light emitting diode display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11094754 | Organic light emitting display device and method of fabricating thereof | LG DISPLAY CO., LTD. |
11094755 | Display device | -- |
11094756 | OLED integrated digitizer and method of preparing the same | DONGWOO FINE-CHEM CO., LTD. |
11094757 | Display device | SAMSUNG DISPLAY CO., LTD. |
11094758 | Organic light emitting diode (OLED) display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094759 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
11094760 | Method for forming light emitting element pattern and display device using the same | SAMSUNG DISPLAY CO., LTD. |
11094761 | Organic light emitting display device and method of fabricating thereof | LG DISPLAY CO., LTD. |
11094762 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
11094763 | Organic EL device with alternately lined source drain electrodes | SAKAI DISPLAY PRODUCTS CORPORATION |
11094764 | Display substrate and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11094765 | Array substrate, manufacturing method thereof, and display panel | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094766 | Array substrate, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094767 | Flexible organic light emitting diode device and method of forming the same | -- |
11094768 | Electroluminescence display capable of improving an aperture ratio | LG DISPLAY CO., LTD. |
11094769 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11094770 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094771 | Display device with first and second initialization lines | SAMSUNG DISPLAY CO., LTD. |
11094772 | Display panel and display device with compensation sub-pixels | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11094773 | Display device | SAMSUNG DISPLAY CO., LTD. |
11094774 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11094775 | Tiled electronic device having a plurality of display panels and a flexible substrate | -- |
11094777 | Functional contactor | AMOTECH CO., LTD. |
11094782 | Gate-all-around integrated circuit structures having depopulated channel structures | INTEL CORPORATION |
11094784 | Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094785 | Deuterium-based passivation of non-planar transistor interfaces | INTEL CORPORATION |
11094787 | Method of manufacturing semiconductor device and semiconductor device | FUJI ELECTRIC CO., LTD. |
11094788 | Semiconductor device and manufacturing method thereof | -- |
11094789 | Thin film transistor and method for manufacturing the same, array substrate, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11094790 | Silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094793 | Thin film transistor substrate, shift register and display device | LG DISPLAY CO., LTD. |
11094803 | Nanosheet device with tall suspension and tight contacted gate poly-pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094808 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11094809 | Power module and reverse-conducting IGBT | FUJI ELECTRIC CO., LTD. |
11094811 | Semiconductor device and manufacturing method thereof | -- |
11094819 | Stacked vertical tunnel FET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094820 | Mobile ferroelectric single domain wall implementation of a symmetric resistive processing unit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094822 | Source/drain regions for transistor devices and methods of forming same | GLOBALFOUNDRIES U.S. INC. |
11094828 | Geometry for threshold voltage tuning on semiconductor device | -- |
11094829 | TFT array substrate and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094831 | Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device | INTEL CORPORATION |
11094836 | Charge avalanche photodetector system | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11094837 | Integrated photodetector | TEXAS INSTRUMENTS INCORPORATED |
11094843 | High voltage photovoltaics integrated with light emitting diode containing zinc oxide containing layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094851 | Light emitting diodes with sensor segment for operational feedback | LUMILEDS LLC |
11094852 | Multiple LED light source lens design in an integrated package | CREE HUIZHOU SOLID STATE LIGHTING COMPANY LIMITED |
11094853 | Passive micro light-emitting diode matrix device with uniform luminance | -- |
11094855 | Strain-inducing nanostructures for spectral red-shifting of light emitting devices | VERSITECH LIMITED |
11094857 | Method for manufacturing lighting device | ASAHI RUBBER INC. |
11094860 | Wafer-level solid state transducer packaging transducers including separators and associated systems and methods | MICRON TECHNOLOGY, INC. |
11094861 | Display device | LG DISPLAY CO., LTD. |
11094863 | Light-emitting device, manufacturing method thereof and display module using the same | -- |
11094867 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11094868 | Method for producing an illumination device and illumination device | OSRAM OLED GMBH |
11094869 | Transparent light emitting device display | LG CHEM, LTD. |
11094870 | Surface-mountable pixel packages and pixel engines | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11094878 | Short circuit reduction in magnetic tunnel junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094879 | Structures incorporating and methods of forming metal lines including carbon | MICRON TECHNOLOGY, INC. |
11094880 | Resistive random access memory structure and method for manufacturing the same | -- |
11094883 | Structure and method to fabricate resistive memory with vertical pre-determined filament | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094885 | Fullerene derivatives and photoelectric device and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11094892 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11094894 | Method for manufacturing a display motherboard | BOE TECHNOLOGY GROUP CO., LTD. |
11094895 | OLED display substrate, display panel and manufacturing method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094896 | Flexible OLED display panel and method for fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094902 | High efficiency small molecule tandem photovoltaic devices | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11094903 | Light-emitting element having an organic compound and a transition metal forming SOMO | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094904 | Light emitting display apparatus for improving light extracting efficiency | LG DISPLAY CO., LTD. |
11094905 | Organic light-emitting display panel and electronic device thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11094906 | Display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094908 | Lighting apparatus using organic light emitting diode | LG DISPLAY CO., LTD. |
11094910 | Pixel display component, screen display component, display screen, and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11094911 | Organic light emitting diode display panel and packaging method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094912 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11094914 | Display panel and manufacturing method thereof, display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11094915 | Light emitting device including bus electrodes configured in parallel to directly contact OLED electrodes | PIONEER CORPORATION |
11094916 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11094917 | Cover plate for organic electroluminescent display device and method for manufacturing the same, organic electroluminescent display device and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11094918 | Pixel defining layer, display substrate and manufacturing methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11095022 | Planar antenna and wireless module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11095027 | Compressed closed circuit circularly polarized omni-directional antenna | VIDEO AERIAL SYSTEMS, LLC |
11095045 | Slow wave structure for millimeter wave antennas | INTEL CORPORATION |
11095056 | Electrical connector with reduce distance between electrical terminals | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11095075 | Electrical device with a plug connector having a flexible section | TE CONNECTIVITY SERVICES GMBH |
11095193 | Electronic power module for a power tool having an integrated heat sink | BLACK & DECKER INC. |
11095286 | Electrostatic discharge clamp topology | SKYWORKS SOLUTIONS, INC. |
11095318 | Transceiver using active device array and antenna module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11095763 | Light-emitting device having multiple curved regions | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11095816 | Image pickup element, image pickup method, and electronic device for image stabilization | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11095826 | Camera and light adjustment module | -- |
11095830 | Image-capturing device and image processing device | NIKON CORPORATION |
11095841 | Imaging apparatus, imaging system, and driving method for imaging apparatus having capability of reducing deterioration of accuracy of A/D conversion | CANON KABUSHIKI KAISHA |
11095842 | Image sensor with electronic global shutter and differential sensing using reset-sampling capacitor shared among multiple image storage capacitors | OMNIVISION TECHNOLOGIES, INC. |
11095843 | Imaging devices and imaging apparatuses, and methods for the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11095860 | Solid state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11095963 | Display panel and display apparatus including the same | LG DISPLAY CO., LTD. |
11096268 | Motor power pack with overmolded printed circuit board integrated connector | -- |
11096269 | Printed circuit board assembly | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096270 | Backplane footprint for high speed, high density electrical connectors | AMPHENOL CORPORATION |
11096271 | Double-sided, high-density network fabrication | RAYTHEON COMPANY |
11096272 | Actively sensing and cancelling vibration in a printed circuit board or other platform | HONEYWELL INTERNATIONAL INC. |
11096273 | Printed circuit boards including a rigid region on which devices or connectors are to be mounted and a flexible region that is bendable, and methods of manufacturing same | AMOSENSE CO., LTD. |
11096274 | Flexible display device | SAMSUNG ELECTRONICS CO., LTD. |
11096275 | Apparatus for laying conductive pathways, method of laying conductive pathways and textile product comprising conductive pathways | MAS INNOVATION (PRIVATE) LIMITED |
11096276 | Elastic circuit board and patch device in which same is used | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11096277 | Printed circuit board shielding and power distribution via edge plating | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11096278 | Ceramic circuit board | DENKA COMPANY LIMITED |
11096279 | Display apparatus | LG DISPLAY CO., LTD. |
11096280 | Relay | OMRON CORPORATION |
11096281 | Power delivery system | DELL PRODUCTS L.P. |
11096282 | Substrate bonding structure | MURATA MANUFACTURING CO., LTD. |
11096283 | Substrate on substrate structure and electronic device comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096284 | Compact semiconductor chip system and method | INTEL CORPORATION |
11096285 | Electronic circuit substrate | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11096286 | Printed circuit board and manufacturing method thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096287 | Method of manufacturing packaged board | DISCO CORPORATION |
11096288 | Flexible conductive printed circuits with printed overcoats | XEROX CORPORATION |
11096289 | Manufacturing apparatus for display device and method of using the same | SAMSUNG DISPLAY CO., LTD. |
11096290 | Printed circuit board with edge soldering for high-density packages and assemblies | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11096291 | Method for plating printed circuit board and printed circuit board using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096292 | Display apparatus and method for manufacturing display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11096293 | Electronic device and display device applied to the same | SAMSUNG DISPLAY CO., LTD. |
11096295 | Electric control unit | MANDO CORPORATION |
11096298 | Power distribution bus bar for distributing power to surface mount connectors | KRAMBU INC. |
11097379 | Solder bonding method and solder joint | LENOVO (SINGAPORE) PTE. LTD. |
11097913 | Transport roller | ATOTECH DEUTSCHLAND GMBH |
11098195 | Resin composition, prepreg, metal foil-clad laminate, resin sheet, and printed circuit board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11098207 | Metallic nanoparticle dispersion | AGFA-GEVAERT NV |
11098215 | Solder mask inkjet inks for manufacturing printed circuit boards | AGFA-GEVAERT |
11098247 | Solid polymer composition | AVANTAMA AG |
11098345 | Methods for detecting target analytes | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11098401 | Method of forming wiring on side portion of substrate | TETOS CO., LTD. |
11098449 | Pre-drier apparatus and method | PALO ALTO RESEARCH CENTER INCORPORATED |
11098733 | Mounting assembly with leaded electronic power components and their assembly with a motor housing | HANON SYSTEMS |
11098855 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11098864 | Modular luminaire head | SCHREDER S.A. |
11098865 | Light source, solar cell complex and lighting system including the same | -- |
11099077 | Background subtracted spectrometer for airborne infrared radiometry | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11099082 | Sensor substrate and detection module | KYOCERA CORPORATION |
11099137 | Visualization of three-dimensional semiconductor structures | KLA CORPORATION |
11099152 | Backside CMOS compatible BioFET with no plasma induced damage | -- |
11099227 | Multilayer wiring base plate and probe card using the same | KABUSHIKI KAISHA NIHON MICRONICS |
11099284 | Radiation sensing device and operating method thereof | -- |
11099310 | Image pickup device | SONY CORPORATION |
11099391 | Optical device, display device, and method for manufacturing light emitting element | SONY CORPORATION |
11099393 | Surface emitting light source with lateral variant refractive index profile | FACEBOOK TECHNOLOGIES, LLC |
11099413 | Display device | JAPAN DISPLAY INC. |
11099415 | Support part for a component of a secured electronic device | -- |
11099418 | Display device | SAMSUNG DISPLAY CO., LTD. |
11099432 | Display device | SAMSUNG DISPLAY CO., LTD. |
11099437 | Display panel, method for manufacturing same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11099439 | Display device assembly having a spacer layer surrounding the integrated circuit | APPLE INC. |
11099440 | Display device and array substrate thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11099441 | Wire substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11099442 | Display device | SHARP KABUSHIKI KAISHA |
11099443 | Display panel and display apparatus having a plurality of first wirings and a plurality of second wirings | BOE TECHNOLOGY GROUP CO., LTD. |
11099444 | Display device and thin film transistor array substrate | -- |
11099481 | Mask plate, array substrate, and preparation method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11099615 | Display panel, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11099677 | Touch display device | LG DISPLAY CO., LTD. |
11099691 | Display device | SAMSUNG DISPLAY CO., LTD. |
11099697 | Input detection unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11100029 | Interface bridge between integrated circuit die | INTEL CORPORATION |
11100032 | Methods and apparatus for programming an integrated circuit using a configuration memory module | INTEL CORPORATION |
11100273 | Integrated circuit and method of manufacturing same | -- |
11100305 | Display arrangement comprising ultrasonic biometric sensing system and method for manufacturing the display arrangement | FINGERPRINT CARDS AB |
11100308 | Array substrate and preparation method therefor, fingerprint recognition method, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11100351 | Fingerprint recognition module and electronic device comprising same | LG INNOTEK CO., LTD. |
11100380 | Electronic device | NXP B.V. |
11100419 | Majorana pair based qubits for fault tolerant quantum computing architecture using superconducting gold surface states | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11100845 | Compensation using optical feedback for self-emissive pixel | APPLE INC. |
11100853 | OLED display machine with illumination function | -- |
11100855 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11100857 | Display device and electronic apparatus | SONY CORPORATION |
11100858 | Display device | SAMSUNG DISPLAY CO., LTD. |
11100861 | Light emitting diode display device | LG DISPLAY CO., LTD. |
11100862 | Display panel having a bottom layer below a transistor that receives different voltages in different periods | SAMSUNG DISPLAY CO., LTD. |
11100865 | Display panel, display screen and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11100868 | Display devices, display panels and capacitance compensation method thereof | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11100871 | Display device and driving method of the same | LG DISPLAY CO., LTD. |
11100877 | Displays with supplemental loading structures | APPLE INC. |
11100958 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11100959 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
11100971 | Ferroelectric domain regulated optical readout mode memory and preparing method thereof | SHANGHAI INSTITUTE OF TECHNICAL PHYSICS OF THE CHINESE ACADEMY OF SCIENCES |
11100979 | Low-power SRAM memory cell and application structure thereof | SHANGHAITECH UNIVERSITY |
11100988 | Semiconductor memory device | KIOXIA CORPORATION |
11100994 | Content addressable memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11100995 | Structure of a nonvolatile memory device with a low-voltage transistor fabricated on a substrate | -- |
11100999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101000 | Semiconductor device and method for operating the same | TOWER PARTNERS SEMICONDUCTOR CO., LTD. |
11101002 | Semiconductor memory device including cache latch circuit | SK HYNIX INC. |
11101016 | Test modes for a semiconductor memory device with stacked memory chips using a chip identification | SK HYNIX INC. |
11101072 | Capacitor with limited substrate capacitance | MURATA MANUFACTURING CO., LTD. |
11101076 | Capacitor for resonant circuits in power applications | POLITECNICO DI TORINO |
11101081 | Composite photovoltaic structure and manufacturing method thereof | -- |
11101138 | Etching method | TOKYO ELECTRON LIMITED |
11101140 | Semiconductor device and method of manufacture | -- |
11101145 | Semiconductor device with dummy micro bumps between stacking dies to improve flowability of underfill material | -- |
11101159 | Pickup head with photocurable polymers for assembling light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11101165 | Method for fabricating semiconductor device comprising a deep trench isolation structure and a trap rich isolation structure in a substrate | -- |
11101178 | Semiconductor integrated circuit | -- |
11101179 | Semiconductor structure with protection portions and method for forming the same | -- |
11101181 | Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101182 | Nanosheet transistors with different gate dielectrics and workfunction metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101183 | Gate spacer formation for scaled CMOS devices | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11101186 | Substrate structure having pad portions | -- |
11101191 | Laminated circuitry cooling for inter-chip bridges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101195 | Package structure and method for forming the same | -- |
11101200 | Surface-mount integrated circuit package with coated surfaces for improved solder connection | MICROCHIP TECHNOLOGY INCORPORATED |
11101203 | Wiring structure comprising intermediate layer including a plurality of sub-layers | -- |
11101206 | Semiconductor device and electronic device | RENESAS ELECTRONICS CORPORATION |
11101207 | Integrated circuit with cells having metal layer configured based on directions from which intercell metal interconnects connects to the metal layer | QUALCOMM INCORPORATED |
11101210 | Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks | MICRON TECHNOLOGY, INC. |
11101214 | Package structure with dam structure and method for forming the same | -- |
11101215 | Tapered connectors for superconductor circuits | PSIQUANTUM CORP. |
11101217 | Buried power rail for transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101218 | Integrated assemblies having metal-containing regions coupled with semiconductor regions | MICRON TECHNOLOGY, INC. |
11101221 | Input/output pins for chip-embedded substrate | INFINEON TECHNOLOGIES AMERICAS CORP. |
11101223 | Display device | SAMSUNG DISPLAY CO., LTD. |
11101225 | Semiconductor device and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11101230 | Array substrate and chip bonding method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11101231 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11101235 | Fabrication method of semiconductor package with stacked semiconductor chips | -- |
11101236 | Semiconductor package and method of forming the same | -- |
11101237 | Semiconductor device structure having semiconductor die bonded to redistribution layer via electrical pad with barrier layer | -- |
11101240 | Isolation bonding film for semiconductor packages and methods of forming the same | -- |
11101241 | Semiconductor device having terminals and semiconductor elements electrically connected to a respective side surface of the terminals | FUJI ELECTRIC CO., LTD. |
11101242 | Semiconductor device and method of manufacturing same | TOSHIBA MEMORY CORPORATION |
11101243 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11101244 | Stacked semiconductor die assemblies with die support members and associated systems and methods | MICRON TECHNOLOGY, INC. |
11101245 | Multi-chip modules including stacked semiconductor dice | MICRON TECHNOLOGY, INC. |
11101246 | Semiconductor device having chips attached to support members through silver sintered bodies with particles | DENSO CORPORATION |
11101247 | Light-emitting device, integrated light-emitting device, and light-emitting module | NICHIA CORPORATION |
11101248 | Light emitting diodes, components and related methods | CREELED, INC. |
11101249 | Multi-chip module with light-emitting diode (LED) chips configured for surface mount technology (SMT) | OSRAM OLED GMBH |
11101250 | Light-emitting device package | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11101251 | Optoelectronic component | OSRAM OLED GMBH |
11101252 | Package-on-package structure and manufacturing method thereof | -- |
11101253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11101254 | Flip-chip like integrated passive prepackage for SIP device | INTEL CORPORATION |
11101255 | 3D printable feedstock inks for signal control or computation | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11101256 | Optical modulators | ROCKLEY PHOTONICS LIMITED |
11101257 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11101258 | Method and apparatus for manufacturing flexible light-emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11101259 | Semiconductor device | DENSO CORPORATION |
11101260 | Method of forming a dummy die of an integrated circuit having an embedded annular structure | -- |
11101261 | Package-on-package structures and methods for forming the same | -- |
11101262 | Stacked semiconductor die assemblies with support members and associated systems and methods | MICRON TECHNOLOGY, INC. |
11101263 | Resistor with exponential-weighted trim | TEXAS INSTRUMENTS INCORPORATED |
11101264 | Electrostatic discharge protection circuit and structure thereof | NXP B.V. |
11101265 | Apparatuses and methods for semiconductor circuit layout | MICRON TECHNOLOGY, INC. |
11101266 | 3D device and devices with bonding | MONOLITHIC 3D INC. |
11101267 | Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11101268 | Transistors employing non-selective deposition of source/drain material | INTEL CORPORATION |
11101269 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11101270 | Techniques and mechanisms for operation of stacked transistors | INTEL CORPORATION |
11101271 | Array of cross point memory cells and methods of forming an array of cross point memory cells | MICRON TECHNOLOGY, INC. |
11101272 | DRAM and method for manufacturing the same | -- |
11101273 | Semiconductor structure having word line disposed over portion of an oxide-free dielectric material in the non-active region | -- |
11101274 | Ferroelectric capacitor, a ferroelectric memory cell, an array of ferroelectric memory cells, and a method of forming a ferroelectric capacitor | MICRON TECHNOLOGY, INC. |
11101275 | Ferroelectric memory array surrounded by ferroelectric dummy capacitors | ROHM CO., LTD. |
11101276 | Word line contact structure for three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11101277 | Process for manufacturing NOR memory cell with vertical floating gate | GREENLIANT IP, LLC. |
11101278 | Semiconductor memory device and semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11101279 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101280 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11101281 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11101282 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11101283 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11101284 | Three-dimensional memory device containing etch stop structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11101285 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101286 | Three-dimensional memory device with source structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11101287 | Three dimensional memory device | -- |
11101288 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11101289 | Three-dimensional memory device with composite charge storage structures and methods for forming the same | SANDISK TECHNOLOGIES LLC |
11101290 | Cross-point multilayer stackable ferroelectric field-effect transistor random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101291 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH |
11101292 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11101293 | Semiconductor device and method for manufacturing the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101294 | Array substrate and display device | SHARP KABUSHIKI KAISHA |
11101295 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101296 | Device having pixel island, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11101297 | Display device | -- |
11101299 | Semiconductor display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101300 | Semiconductor device and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101301 | Array substrate and manufacturing method therefor, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11101302 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101303 | Imaging element, laminated imaging element, and solid-state imaging device | SONY CORPORATION |
11101304 | Diode and fabrication method thereof, array substrate and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11101305 | Imaging element and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11101306 | Image sensing device | SK HYNIX INC. |
11101307 | Image sensor having stacked conformal films | -- |
11101308 | Image pickup device, image pickup apparatus, and production apparatus and method | SONY CORPORATION |
11101309 | Imaging element, method for manufacturing imaging element, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11101310 | Light detection device | HAMAMATSU PHOTONICS K.K. |
11101311 | Photodetector and fabrication method, and imaging sensor | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11101312 | Semiconductor apparatus and equipment | CANON KABUSHIKI KAISHA |
11101313 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11101314 | Back side illumination image sensors and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11101315 | Detector, PET system and X-ray CT system | HAMAMATSU PHOTONICS K.K. |
11101316 | Optical pressure touch device, manufacturing method thereof, and touch display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11101317 | Method of manufacturing element array and method of removing specific element | TDK CORPORATION |
11101318 | Back-side memory element with local memory select transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101319 | Semiconductor storage device including variable resistance elements | TOSHIBA MEMORY CORPORATION |
11101320 | System and method for efficient enhancement of an on/off ratio of a bitcell based on 3T2R binary weight cell with spin orbit torque MJTs (SOT-MTJs) | SAMSUNG ELECTRONICS CO., LTD. |
11101321 | Nonvolatile resistive memory device and manufacturing method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11101322 | RRAM cells in crossbar array architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101323 | RRAM cells in crossbar array architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101324 | Memory cell and forming method thereof | -- |
11101325 | Semiconductor memory and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11101326 | Methods of forming a phase change memory with vertical cross-point structure | SANDISK TECHNOLOGIES LLC |
11101327 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11101328 | Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same | SAMSUNG DISPLAY CO., LTD. |
11101329 | Display device | SAMSUNG DISPLAY CO., LTD. |
11101330 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11101331 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11101332 | OLED display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11101333 | Display device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101334 | Thin film display element | BENEQ OY |
11101335 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11101336 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11101337 | Power and data routing structures for organic light-emitting diode displays | APPLE INC. |
11101338 | Thin film transistor with small storage capacitor with metal oxide switch | APPLIED MATERIALS, INC. |
11101339 | Flexible display panel and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11101340 | Display panel including conductive member having opening | SAMSUNG DISPLAY CO., LTD. |
11101341 | Light-emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11101348 | Nanosheet field effect transistor with spacers between sheets | GLOBALFOUNDRIES U.S. INC. |
11101350 | Integrated circuit with germanium-rich channel transistors including one or more dopant diffusion barrier elements | INTEL CORPORATION |
11101359 | Gate-all-around (GAA) method and devices | -- |
11101371 | Structure and method for vertical tunneling field effect transistor with leveled source and drain | -- |
11101372 | Double-sided vertical power transistor structure | -- |
11101386 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101389 | Dual-use semiconductor device for solar power and data storage | MARVELL ASIA PTE, LTD. |
11101390 | Manufacturing method of sensing module for optical fingerprint sensor | -- |
11101391 | Screen printing apparatus, screen printing method, and electrode formation method of solar battery | SHIN-ETSU CHEMICAL CO., LTD. |
11101395 | Wiring module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11101402 | Method of manufacturing light emitting diodes and light emitting diode | OSRAM OLED GMBH |
11101405 | LED with internally confined current injection area | APPLE INC. |
11101410 | LED systems, apparatuses, and methods | CREELED, INC. |
11101429 | Metal etching stop layer in magnetic tunnel junction memory cells | -- |
11101430 | Storage element | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11101431 | Organic EL light-emitting element and manufacturing method thereof | SAKAI DISPLAY PRODUCTS CORPORATION |
11101432 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101439 | Thin-film PN junctions and applications thereof | WAKE FOREST UNIVERSITY |
11101443 | Organic electroluminescence display panel, manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11101444 | Sealed structure, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101445 | Flexible OLED display device having a bending angle | -- |
11101446 | Display apparatus and method of manufacturing the display apparatus | SAMSUNG DISPLAY CO., LTD. |
11101447 | Apparatus, method of manufacturing display apparatus, and protective film | LG DISPLAY CO., LTD. |
11101448 | Electronic device having functional layer including particles and binder material | MERCK PATENTS GMBH |
11101449 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
11101450 | Display device | JAPAN DISPLAY INC. |
11101454 | Method of peeling mother protective film, method of manufacturing organic light-emitting display apparatus, and organic light emitting display apparatus manufactured using the same | SAMSUNG DISPLAY CO., LTD. |
11101540 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11101544 | Control module for a lighting fixture | LUTRON TECHNOLOGY COMPANY LLC |
11101566 | Method for fabricating electronic package | -- |
11101586 | Printed circuit board biosensing garment connector | HONEYWELL SAFETY PRODUCTS USA, INC. |
11101617 | Wafer-level handle replacement | AYAR LABS, INC. |
11101634 | Prevention and detection of overheating from component short circuits | APPLE INC. |
11101638 | Semiconductor die including multiple controllers for operating over an extended temperature range | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11101714 | Brushless DC motor fan | -- |
11101749 | Vibration wave motor and imaging device having vibration wave motor | CANON KABUSHIKI KAISHA |
11101803 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11101804 | Fast memory for programmable devices | INTEL CORPORATION |
11101840 | Chip radio frequency package and radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11102384 | Camera substrate assembly, camera module, and terminal device | HUAWEI TECHNOLOGIES CO., LTD. |
11102392 | Image pickup apparatus detecting focus with phase difference detection method, control method therefor, and storage medium storing control program therefor | CANON KABUSHIKI KAISHA |
11102429 | Integrated global shutter image sensor | STMICROELECTRONICS (CROLLES 2) SAS |
11102430 | Pixel sensor having multiple photodiodes | FACEBOOK TECHNOLOGIES, LLC |
11102432 | Image sensor with photoelectric conversion units arranged in different directions | NIKON CORPORATION |
11102433 | Solid-state imaging device having a photoelectric conversion element with multiple electrodes | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11102436 | Solid-state imaging device and signal processing method thereof, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11102438 | 2×2 array arrangement based on composite dielectric gate photosensitive detector and operating method thereof | NANJING UNIVERSITY |
11102439 | Image sensor employing avalanche diode and pixel circuit and operating method thereof | -- |
11102440 | Solid-state imaging device and imaging system | CANON KABUSHIKI KAISHA |
11102863 | Multi-channel white light device for providing tunable white light with high color rendering | ECOSENSE LIGHTING INC. |
11102878 | High-speed trace breakout methods and systems | DELL PRODUCTS L.P. |
11102879 | Printed circuit board to dielectric layer transition with controlled impedance and reduced and/or mitigated crosstalk for quantum applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11102880 | High-frequency board, high-frequency package, and high-frequency module | KYOCERA CORPORATION |
11102881 | Flat harness | YAZAKI CORPORATION |
11102882 | PCB optical isolation by nonuniform catch pad stack | WAYMO LLC |
11102883 | Substrates comprising a network comprising core shell liquid metal encapsulates comprising multi-functional ligands | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11102884 | Optical module | CIG PHOTONICS JAPAN LIMITED |
11102885 | Resin multilayer substrate and electronic device | MURATA MANUFACTURING CO., LTD. |
11102886 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11102887 | Electrical connection device | AUTONETWORKS TECHNOLOGIES, LTD. |
11102888 | Substrate, display panel and fabrication method thereof, and spliced screen | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11102889 | Desmearing method and desmearing device | USHIO DENKI KABUSHIKI KAISHA |
11102890 | Electronic package and method for manufacturing the same | -- |
11102891 | Method of manufacturing a polymer printed circuit board | BGT MATERIALS LIMITED |
11102892 | Coating metal foil with N-heterocyclic carbene compounds containing organic functionalities for improving metal-to-resin adhesion | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11102893 | Display device | SAMSUNG DISPLAY CO., LTD. |
11102894 | Saddle type electrical enclosure | THE BOEING COMPANY |
11102896 | Anti-impact LED display screen | SHENZHEN GLOSHINE TECHNOLOGY CO., LTD. |
11102901 | Electronics module mounting system | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11102903 | Formed enclosure part and electronic subassembly | ELLENBERGER & POENSGEN GMBH |
11102904 | Electronic component assembly, combination of electronic component assembly and adherend, and method for mounting electronic component | HOSIDEN CORPORATION |
11102916 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11102919 | Management apparatus, mount substrate manufacturing system, and mount substrate manufacturing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11103146 | Wireless sensor for measuring pressure | ST. JUDE MEDICAL LUXEMBOURG HOLDINGS II S.A.R.L. (“SJM LUX 11”) |
11103147 | Method and system for determining a lumen pressure | ST. JUDE MEDICAL LUXEMBOURG HOLDINGS II S.A.R.L. (“SJM LUX 11”) |
11103168 | Systems and methods for in vivo detection of electrophysiological and electrochemical signals | NEW YORK UNIVERSITY |
11103185 | Sensor module | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11103764 | Digital patch for discrete signaling, a baseball glove including same, and related method of manufacture | LIFEWARE LABS, LLC |
11104278 | Control panel for motor vehicle | APTIV TECHNOLOGIES LIMITED |
11104280 | Vehicular electronic accessory module with enhanced grounding contact | MAGNA ELECTRONICS INC. |
11104282 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
11104771 | Resin film, laminated film, and substrate for flexible printed wiring board | SUMITOMO CHEMICAL COMPANY, LIMITED |
11104788 | Composition, cured product and laminate | DIC CORPORATION |
11104813 | Dispersion | ASAHI KASEI KABUSHIKI KAISHA |
11104815 | Hydrophylic semiconducting single-walled carbon nanotube inks | NATIONAL RESEARCH COUNCIL OF CANADA |
11104985 | Ultra-fine pattern deposition apparatus, ultra-fine pattern deposition method using the same, and light-emitting display device manufactured by ultra-fine pattern deposition method | LG DISPLAY CO., LTD. |
11105257 | Liquid-cooled power electronics assembly for electrically-actuated turbochargers | BORGWARNER INC. |
11105308 | Battery pack for selectively providing electrical power to power equipment | BRIGGS & STRATTON, LLC |
11105473 | LED lamps with improved quality of light | ECOSENSE LIGHTING, INC. |
11105567 | Thermal management assembly comprising bulk graphene material | MOMENTIVE PERFORMANCE MATERIALS QUARTZ, INC. |
11105899 | Beam shaping for ultra-small vertical cavity surface emitting laser (VCSEL) arrays | SENSE PHOTONICS, INC. |
11105925 | Accurate photo detector measurements for LIDAR | OUSTER, INC. |
11105928 | Light-sensing apparatus and light-sensing method thereof | ARTILUX, INC. |
11105965 | Radiation-sensitive composition, optical filter, laminate, pattern forming method, solid image pickup element, image display device, and infrared sensor | FUJIFILM CORPORATION |
11105972 | Light-emitting device, lighting device, and display device | SHARP KABUSHIKI KAISHA |
11105976 | Flexible ceramic waveguides for terahertz applications and use as on-board interconnects | CORNING INCORPORATED |
11105988 | Dense wavelength division multiplexing (DWDM) photonic integration platform | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11106059 | Color-tunable transmission mode active phosphor based on III-Nitride nanowire grown on transparent substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11106070 | Array substrate and manufacturing method of the same and display panel | -- |
11106087 | Lighting device having light sources arranged in columns and display device thereof | SHARP KABUSHIKI KAISHA |
11106098 | Pixel arrangement structure, display substrate, display apparatus, and mask plate | BOE TECHNOLOGY GROUP CO., LTD. |
11106099 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11106101 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11106130 | Direct patterning method for a touch panel and touch panel thereof | -- |
11106133 | Positive-type photosensitive resin composition and cured film prepared therefrom | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
11106173 | Image forming apparatus having mounting arrangement of first and second circuit boards | CANON KABUSHIKI KAISHA |
11106240 | System for color and brightness output management in a dual display device | DELL PRODUCTS L.P. |
11106252 | Retainers with movable hooks | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11106254 | Conductive member, conductive film, display device having the same, touch panel, method of producing wiring pattern of conductive member, and method of producing wiring pattern of conductive film | FUJIFILM CORPORATION |
11106297 | Display apparatus with integrated touch screen | LG DISPLAY CO., LTD. |
11106298 | Touch display panels and touch display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11106303 | Display device | LG DISPLAY CO., LTD. |
11106305 | Display apparatus having touch electrodes | LG DISPLAY CO., LTD. |
11106534 | 3-dimensional NAND flash layer variation aware SSD raid | WESTERN DIGITAL TECHNOLOGIES, INC. |
11106835 | Method of manufacturing conductive lines in a circuit | -- |
11106852 | Standard cell and semiconductor device including anchor nodes and method of making | -- |
11106882 | Fingerprint sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11106888 | Display device for fingerprint identification and control method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11106961 | Mini smart card and method of manufacturing the same | -- |
11106965 | Radio frequency identification tag and manufacturing method thereof | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11107372 | Display device and electronic apparatus | SONY CORPORATION |
11107373 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11107391 | Light emitting display device | LG DISPLAY CO., LTD. |
11107396 | Display device and electronic device including thin film transistor including top-gate | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107399 | Organic light-emitting diode display device with pixel array | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11107402 | Display screen, display device integrated with display screen, and cover plate | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11107406 | Light-emitting device and electronic apparatus | SEIKO EPSON CORPORATION |
11107413 | Display substrate and method for manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11107416 | Display device | SAMSUNG DISPLAY CO., LTD. |
11107421 | Display device | SAMSUNG DISPLAY CO., LTD. |
11107429 | Active matrix substrate, liquid crystal display device, and organic EL display device | SHARP KABUSHIKI KAISHA |
11107432 | Pulse output circuit, shift register, and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107442 | Electro-optical device, driving method for electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
11107507 | Transmitting data signals on separate layers of a memory module, and related methods, systems and apparatuses | MICRON TECHNOLOGY, INC. |
11107508 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11107513 | Spin-orbit torque magnetic memory device using alternating current | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11107515 | Ferroelectric memory cells | MICRON TECHNOLOGY, INC. |
11107516 | Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same | SANDISK TECHNOLOGIES LLC |
11107526 | Controlling forming process in RRAM devices using feedback circuits | TETRAMEM INC. |
11107527 | Reducing sneak current path in crossbar array circuits | TETRAMEM INC. |
11107529 | Molecular synthesis device | IMEC VZW |
11107537 | Memory device and method of reading data | SAMSUNG ELECTRONICS CO., LTD. |
11107542 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11107620 | Coil component | SUMIDA CORPORATION |
11107636 | Multilayer capacitor and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11107637 | Variable capacitance element | MURATA MANUFACTURING CO., LTD. |
11107649 | Keyboard device | -- |
11107680 | Mask assembly and method for fabricating a chip package | -- |
11107687 | Semiconductor epitaxial wafer and method of producing semiconductor epitaxial wafer, and method of producing solid-state imaging device | SUMCO CORPORATION |
11107697 | Floating gate fabrication method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107700 | Semiconductor package method of fabricating semiconductor package and method of fabricating re-distribution structure | SAMSUNG ELECTRONICS CO., LTD. |
11107701 | Stiffener package and method of fabricating stiffener package | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11107711 | Micro light emitting diode transferring apparatus, method for transferring micro light emitting diode, and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11107721 | 3D semiconductor device and structure with NAND logic | MONOLITHIC 3D INC. |
11107724 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107729 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11107730 | Method of manufacturing semiconductor device with anti-fuse structures | -- |
11107734 | Semiconductor device and manufacturing method thereof | -- |
11107735 | Methods of forming epitaxial structures in fin-like field effect transistors | -- |
11107736 | Gate structures for semiconductor devices | -- |
11107737 | Control wafer and method for fabricating semiconductor device | -- |
11107738 | Layer detection for high aspect ratio etch control | NOVA LTD. |
11107739 | Power semiconductor module arrangement | INFINEON TECHNOLOGIES AG |
11107740 | Power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11107741 | Composite ceramic multilayer substrate, heat generating element-mounting module, and method of producing composite ceramic multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11107743 | Chip on film package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11107746 | Power semiconductor apparatus and manufacturing method therefor | MITSUBISHI ELECTRIC CORPORATION |
11107747 | Semiconductor package with composite thermal interface material structure and method of forming the same | -- |
11107751 | Face-to-face through-silicon via multi-chip semiconductor apparatus with redistribution layer packaging and methods of assembling same | INTEL CORPORATION |
11107753 | Packaging structure for gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11107754 | Electronic device, leadframe for an electronic device and method for fabricating an electronic device and a leadframe | INFINEON TECHNOLOGIES AG |
11107756 | Semiconductor device and method for manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11107757 | Integrated circuit structures in package substrates | INTEL CORPORATION |
11107761 | Semiconductor device | DENSO CORPORATION |
11107763 | Interconnect structure for stacked die in a microelectronic device | INTEL CORPORATION |
11107765 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107766 | Substrate with embedded stacked through-silicon via die | INTEL CORPORATION |
11107768 | Chip package | -- |
11107769 | Semiconductor package and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11107770 | Integrated electrical/optical interface with two-tiered packaging | XILINX, INC. |
11107780 | Pseudo-stripline using double solder-resist structure | INTEL CORPORATION |
11107782 | Radio frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11107785 | Semiconductor device with a plurality of landing pads and method for fabricating the same | -- |
11107786 | Pattern decomposition lithography techniques | INTEL CORPORATION |
11107788 | Method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11107791 | Semiconductor package structure and method for manufacturing the same | -- |
11107792 | Stretchable display device | LG DISPLAY CO., LTD. |
11107793 | Stretchable display device | LG DISPLAY CO., LTD. |
11107794 | Multi-wafer stack structure and forming method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107795 | Semiconductor devices with duplicated die bond pads and associated device packages and methods of manufacture | MICRON TECHNOLOGY, INC. |
11107796 | Semiconductor module including memory stack having TSVs | SK HYNIX INC. |
11107797 | Light-emitting device and the method of manufacturing the same | -- |
11107798 | Semiconductor packages and methods of forming the same | -- |
11107799 | Hybrid system including photonic and electronic integrated circuits and cooling plate | PSIQUANTUM, CORP. |
11107800 | Display device | LG DISPLAY CO., LTD. |
11107801 | Multi fan-out package structure and method for forming the same | -- |
11107802 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11107803 | Method to construct 3D devices and systems | MONOLITHIC 3D INC. |
11107804 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11107805 | Integrated circuit | -- |
11107806 | Electrostatic discharge protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11107807 | IC package having a metal die for ESP protection | -- |
11107808 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11107809 | Semiconductor device with nanowire plugs and method for fabricating the same | -- |
11107810 | Fin field effect transistor (FinFET) device structure and method for forming the same | -- |
11107811 | Metallization structures under a semiconductor device layer | INTEL CORPORATION |
11107812 | Method of fabricating stacked semiconductor device | IMEC VZW |
11107813 | Semiconductor device and manufacturing method thereof | -- |
11107814 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107815 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107817 | Integrated assemblies comprising hydrogen diffused within two or more different semiconductor materials, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107819 | Memory cells, semiconductor devices comprising memory cells, and related systems | MICRON TECHNOLOGY, INC. |
11107820 | Semiconductor device and method for fabricating the same | -- |
11107821 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107822 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107823 | Integrated structures and methods of forming integrated structures | MICRON TECHNOLOGY, INC. |
11107824 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11107825 | Flash memory structure with enhanced floating gate | -- |
11107826 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11107827 | Integration of split gate metal-oxide-nitride-oxide-semiconductor memory with vertical FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107828 | Semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11107829 | Method of manufacturing a three-dimensional non-volatile memory device | SK HYNIX INC. |
11107830 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107831 | Methods of forming integrated assemblies include stacked memory decks | MICRON TECHNOLOGY, INC. |
11107832 | Apparatuses including memory cells and related methods | MICRON TECHNOLOGY, INC. |
11107833 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11107834 | Staircase and contact structures for three-dimensional memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11107835 | BEOL cross-bar array ferroelectric synapse units for domain wall movement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107836 | Semiconductor device structure and method for forming the same | -- |
11107837 | Semiconductor device, display device including the semiconductor device, display module including the display device, and electronic device including the semicondutor device, the display device, and the display module | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107838 | Transistor comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107839 | Array substrate and manufacturing method thereof, and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11107840 | Method for fabricating a semiconductor device comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107841 | Display panel and large format display apparatus using the same | SAMSUNG ELECTRONICS CO., LTD. |
11107842 | Pixel array substrate | -- |
11107843 | Array substrate, manufacturing method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11107844 | Display device | LG DISPLAY CO., LTD. |
11107845 | TFT substrate, TFT substrate production method, and display device | SHARP KABUSHIKI KAISHA |
11107846 | Semiconductor device, manufacturing method thereof, and separation apparatus | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107847 | Pixel and imaging array with reduced dark current adapted to low light imaging | BAE SYSTEMS IMAGING SOLUTIONS INC. |
11107848 | Semiconductor device for detection of radiation and method of producing a semiconductor device for detection of radiation | AMS AG |
11107849 | Photoelectric conversion element, imaging device, and electronic apparatus to improve photoresponse while maintaining superior wavelenght selectivity of a subphthalocyanine and a subphthalocyanine derivative | SONY CORPORATION |
11107850 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11107851 | Lens layers for semiconductor devices | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11107852 | Light receiving element having light blocking section covering at least part of amplifier circuit, light receiving module , photoelectric sensor and biological information measurement | SEIKO EPSON CORPORATION |
11107853 | Photoelectric conversion apparatus | CANON KABUSHIKI KAISHA |
11107854 | Use of surface patterning for fabricating a single die direct capture dental X-ray imaging sensor | CYBER MEDICAL IMAGING, INC. |
11107855 | Method for bonding and connecting substrates | SONY CORPORATION |
11107856 | Manufacturing method of image sensing device | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107857 | Light emitting diodes, components and related methods | CREELED, INC. |
11107858 | Ultrasonic sensing device | INVENSENSE, INC. |
11107859 | Memory cell with unipolar selectors | -- |
11107860 | Organic image sensors without color filters | SAMSUNG ELECTRONICS CO., LTD. |
11107861 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
11107862 | Pixel unit, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107863 | Organic light-emitting display device with color adjusting pattern, and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11107864 | Organic light-emitting diode display substrate, method of preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11107865 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11107866 | Array test apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11107867 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11107868 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11107869 | Display device | SAMSUNG DISPLAY CO., LTD. |
11107870 | Thin film transistor substrate having two different types of thin film transistors on the same substrate and display using the same | LG DISPLAY CO., LTD. |
11107871 | AMOLED display panel and corresponding display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107873 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11107874 | Flexible display device having reduced strain during bending or rolling | SAMSUNG DISPLAY CO., LTD. |
11107875 | Display panel, fabrication and driving method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107876 | Organic electroluminescent device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11107877 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11107879 | Capacitor structure and fabrication method thereof | -- |
11107882 | Integrated circuit device including complementary metal-oxide-semiconductor transistor with field cut regions to increase carrier mobility | SAMSUNG ELECTRONICS CO., LTD. |
11107883 | Device isolator with reduced parasitic capacitance | TEXAS INSTRUMENTS INCORPORATED |
11107884 | Sealed cavity structures with a planar surface | GLOBALFOUNDRIES U.S. INC. |
11107886 | Memory device and method of fabricating the memory device | -- |
11107888 | Method for manufacturing semiconductor device | -- |
11107889 | Fin field effect transistor (FinFET) device having position-dependent heat generation | -- |
11107900 | Dual-gate transistors and their integrated circuits and preparation method thereof | PEKING UNIVERSITY |
11107901 | Charge storage memory device including ferroelectric layer between control gate electrode layers and methods of making the same | SANDISK TECHNOLOGIES LLC |
11107902 | Dielectric spacer to prevent contacting shorting | -- |
11107903 | Selective silicon growth for gapfill improvement | -- |
11107910 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11107912 | Trench gate semiconductor device with dummy gate electrode and manufacturing method of the same | RENESAS ELECTRONICS CORPORATION |
11107915 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11107919 | Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals | -- |
11107924 | Systems and methods to reduce FinFET gate capacitance | INTEL CORPORATION |
11107925 | Methods of forming contact features in field-effect transistors | -- |
11107927 | Oxide semiconductor transistor having dual gate structure and method of fabricating the same | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
11107928 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107929 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107930 | Semiconductor device and display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107931 | Structure and formation method of semiconductor device structure with nanowires | -- |
11107933 | Two-terminal device and lighting device using the same | -- |
11107938 | Photodiode | STMICROELECTRONICS (CROLLES 2) SAS |
11107945 | Component with end-side mounted light emitting semiconductor chip | OSRAM OLED GMBH |
11107947 | Micro light emitting diode | UNION CITY |
11107948 | Fluidic pick-up head for assembling light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11107949 | LED display device, method for manufacturing the same, and LED display panel | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11107950 | Light emitting chip and method of producing the same | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107957 | LED device and backlight module | FOSHAN NATIONSTAR OPTOELECTRONICS CO., LTD. |
11107958 | Method of producing optoelectronic semiconductor components | OSRAM OLED GMBH |
11107962 | UV LED array with power interconnect and heat sink | SOULNANO LIMITED |
11107965 | Majorana fermion quantum computing devices fabricated with ion implant methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107966 | Two-sided Majorana fermion quantum computing devices fabricated with ion implant methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107974 | Magnetic tunnel junction devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107975 | Magnetic tunnel junction structures and related methods | -- |
11107976 | Magnetic tunnel junction, spintronics device using same, and method for manufacturing magnetic tunnel junction | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11107977 | Seed layer for multilayer magnetic materials | -- |
11107978 | Methods of manufacturing three-dimensional arrays with MTJ devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107979 | Patterned silicide structures and methods of manufacture | SPIN MEMORY, INC. |
11107980 | MRAM fabrication and device | -- |
11107981 | Halide semiconductor memristor and neuromorphic device | -- |
11107983 | Resistive random access memory array and manufacturing method thereof | -- |
11107984 | Protuberant contacts for resistive switching devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107985 | Materials and components in phase change memory devices | INTEL CORPORATION |
11107986 | Resistive random access memory device | -- |
11107987 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11107989 | Memory cell with magnetic layers for reset operation | -- |
11107995 | Organic compound, light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11108003 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11108004 | Stretchable display device | LG DISPLAY CO., LTD. |
11108005 | Array substrate, stretchable display device, and method for manufacturing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11108006 | Display apparatus and manufacturing method of the same | SAMSUNG DISPLAY CO., LTD. |
11108009 | Light-emitting element, lighting device, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11108010 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11108011 | Flexible display and electronic device including the same | LG DISPLAY CO., LTD. |
11108012 | Display panel, display apparatus, method of detecting crack in sealant layer of display panel, and method of fabricating display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11108013 | Display screen and packaging method thereof | TRULY (HUIZHOU) SMART DISPLAY LIMITED |
11108014 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11108016 | Display screens and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11108017 | Organic light emitting diode device package structure and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11108021 | Display panel and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11108023 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11108024 | Display apparatus having arbitrary shape | SAMSUNG DISPLAY CO., LTD. |
11108025 | Display device | SAMSUNG DISPLAY CO., LTD. |
11108026 | Electro-luminescence display apparatus | LG DISPLAY CO., LTD. |
11108029 | Organic EL display panel manufacturing method and functional layer forming device | JOLED INC. |
11108030 | Display device including light absorption layer and low potential electrode in pad region and method of fabricating the same | LG DISPLAY CO., LTD. |
11108127 | Rectangular waveguide communication between memory and processor | MICRON TECHNOLOGY, INC. |
11108128 | Circuit board for HF applications including an integrated broadband antenna | AIRBUS DEFENCE AND SPACE GMBH |
11108130 | Electronic device slot antennas | APPLE INC. |
11108172 | Methods and devices for impedance multiplication | PSIQUANTUM CORP. |
11108178 | Electronic device with housing storing electronic component | KABUSHIKI KAISHA TOSHIBA |
11108200 | Socket connector and connector assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11108229 | Electrostatic discharge (ESD) protection circuit and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11108304 | Brushless motor assembly | -- |
11108323 | Voltage conversion circuit, solid-state imaging element, and method of controlling voltage conversion circuit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11108356 | Integration of microinverter with photovoltaic module | ENPHASE ENERGY, INC. |
11108362 | Multiple-path RF amplifiers with angularly offset signal path directions, and methods of manufacture thereof | NXP USA, INC. |
11108368 | High power, double-sided thin film filter | AVX CORPORATION |
11108397 | Fiber-optic connected logic (FOCL) | -- |
11108706 | Data transfer circuit, data transfer system, and method for controlling data transfer circuit | SONY CORPORATION |
11108975 | On-chip bias calibration for microbolometer detectors and readout integrated circuits | MIKROSENS ELEKTRONIK SAN. VE TIC. |
11108979 | Photoelectric conversion device, imaging system, and moving body | CANON KABUSHIKI KAISHA |
11108980 | Semiconductor devices with single-photon avalanche diode pixels | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11108982 | Solid-state imaging device and manufacturing method therefor | CANON KABUSHIKI KAISHA |
11108986 | Semiconductor apparatus and equipment | CANON KABUSHIKI KAISHA |
11109120 | Communication node | MOLEX, LLC |
11109129 | Display device | SAMSUNG DISPLAY CO., LTD. |
11109428 | Blade computing system with wireless communication between blades within a blade enclosure | INTEL CORPORATION |
11109452 | Modular LED heater | APPLIED MATERIALS, INC. |
11109477 | Heat sink fastening mechanism for use with electrical connector | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11109478 | Semi-flexible ridged printed circuit board assembly | STEERING SOLUTIONS IP HOLDING CORPORATION |
11109479 | Wiring board and method for manufacturing wiring board | DAI NIPPON PRINTING CO., LTD. |
11109480 | Flexible printed circuit and optical device | SUMITOMO OSAKA CEMENT CO., LTD |
11109481 | Method for manufacturing printed wiring board and printed wiring board | IBIDEN CO., LTD. |
11109482 | Electronic device | KABUSHIKI KAISHA TOSHIBA |
11109483 | Circuit board and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11109484 | Smart key for vehicle | CONTINENTAL AUTOMOTIVE GMBH |
11109485 | Dual dynamic random (DDR) access memory interface design for aerospace printed circuit boards | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11109486 | High-speed, flexible integrated circuits and methods for making high-speed, flexible integrated circuits | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11109487 | Electronic device | -- |
11109488 | Electronic panel assembly including circuit board assembly and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11109489 | Apparatus for fabricating Z-axis vertical launch within a printed circuit board | RAYTHEON COMPANY |
11109490 | Manufacturing implantable tissue stimulators | MICRON MEDICAL LLC |
11109491 | Wiring substrate and method of manufacturing the wiring substrate | SEIKO EPSON CORPORATION |
11109492 | Structure including electroconductive pattern regions, method for producing same, stack, method for producing same, and copper wiring | ASAHI KASEI KABUSHIKI KAISHA |
11109493 | Electroless plating activation | HUTCHINSON TECHNOLOGY INCORPORATED |
11109502 | Connector assembly with retainer for CPU | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11109503 | Data connection apparatus and supporting apparatus | EATON INTELLIGENT POWER LIMITED |
11109512 | Memory subsystem for a cryogenic digital system | RAMBUS INC. |
11109515 | Heatsink for co-packaged optical switch rack package | INPHI CORPORATION |
11109750 | Pixel array area optimization using stacking scheme for hybrid image sensor with minimal vertical interconnects | DEPUY SYNTHES PRODUCTS, INC. |
11109866 | Method for circular stapler control algorithm adjustment based on situational awareness | CILAG GMBH INTERNATIONAL |
11110549 | Recess or through-hole forming method and electrode forming method | DISCO CORPORATION |
11110647 | Adaptive composite structure using shape memory alloys | THE BOEING COMPANY |
11110864 | Interior rearview mirror assembly with full screen video display | MAGNA MIRRORS OF AMERICA, INC. |
11110876 | Carseat adjustment strap sensor | -- |
11111020 | Systems and methods for providing electrical signals to electrical devices within an interior cabin of a vehicle | THE BOEING COMPANY |
11111347 | Organic light emitting device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11111382 | Epoxy resin composition | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11111632 | Aramid paper suitable for use in electronic applications | TEIJIN ARAMID B.V. |
11112070 | Nano-scale light-emitting diode (LED) electrode assembly emitting polarized light, method of manufacturing the same, and polarized led lamp having the same | SAMSUNG DISPLAY CO., LTD. |
11112082 | Vehicular LED lamp for freezing preventing using transparent conductive oxide | CRESLITE. CO., LTD |
11112094 | Method for manufacturing light-emitting device | NICHIA CORPORATION |
11112244 | System and method for providing a simple and reliable inertia measurement unit (IMU) | SZ DJI TECHNOLOGY CO., LTD. |
11112301 | Method for correcting optical sensor array module through characteristic evaluation | SOL INC. |
11112338 | Dual-image based bioimaging devices and techniques | -- |
11112351 | Partial submersion testing for plating defects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11112352 | Saw based optical sensor device and package including the same | HAESUNG DS CO., LTD. |
11112361 | Integrated photodetector with direct binning pixel | QUANTUM-SI INCORPORATED |
11112384 | Methane gas sensor | APPLIED NANOTECH, INC. |
11112394 | Ethylenic compound sensor including an organic semiconductor | THE JOHNS HOPKINS UNIVERSITY |
11112436 | Spark gap structures for detection and protection against electrical overstress events | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11112606 | Multiple layer projector for a head-mounted display | FACEBOOK TECHNOLOGIES, LLC |
11112636 | Display device | -- |
11112638 | Panel and method for manufacturing panel with minimal border area | -- |
11112655 | Method for manufacturing spacer unit of display panel and method for manufacturing the display panel | HKC CORPORATION LIMITED |
11112658 | Method of installing electronic component, display device and display system | LG DISPLAY CO., LTD. |
11112659 | Array substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112661 | Method for manufacturing display panel, display panel, and display device | HKC CORPORATION LIMITED |
11112662 | Black matrix substrate and display device | TOPPAN PRINTING CO., LTD. |
11112663 | Array substrate and manufacturing method thereof, and electronic device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112664 | Active matrix substrate and display apparatus | HKC CORPORATION LIMITED |
11112665 | Wiring substrate and display device including wiring substrate | SAMSUNG DISPLAY CO., LTD. |
11112666 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11112669 | Array substrate and display panel | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112685 | Color conversion layer and display apparatus having the same | NEXDOT |
11112693 | Curable coloring composition, color filter, solid-state imaging device, image display device, and method for producing cured film | FUJIFILM CORPORATION |
11112821 | Electronic device including active matrix display device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11112823 | Display panel and display device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11112841 | 5G mmWave cooling through PCB | INTEL CORPORATION |
11112893 | Display device with piezoelectric element | MURATA MANUFACTURING CO., LTD. |
11112895 | Active matrix substrate, touch-panel-equipped display device including same, and liquid crystal display device including same | SHARP KABUSHIKI KAISHA |
11112896 | Display device having fracture resistance | SAMSUNG DISPLAY CO., LTD. |
11112897 | Organic light-emitting display panel and preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112898 | Force touch structure, force touch panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112916 | Display device including detection device | JAPAN DISPLAY INC. |
11112918 | Touch display device having fingerprint recognition function | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112947 | Display device and operation method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11113225 | Extending multichip package link off package | INTEL CORPORATION |
11113443 | Integrated circuit with thicker metal lines on lower metallization layer | -- |
11113498 | Detection device | JAPAN DISPLAY INC. |
11113933 | Visual indication system for feedback controller | THERM-OMEGA-TECH, INC. |
11114003 | Foldable OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114020 | Micro LED display miniaturization mechanism | INTEL CORPORATION |
11114026 | Display apparatus comprising color accuracy enhancement transistor or brightness boosting transistor | SAMSUNG DISPLAY CO., LTD. |
11114029 | Image display device having a drive transistor with a channel length longer than a channel length of individual switching transistors | SONY CORPORATION |
11114041 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114042 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114043 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114044 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114054 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11114065 | Computer having a remote second display | -- |
11114126 | Disk drive server | SPECTRA LOGIC CORPORATION |
11114144 | Magnetoelectric spin orbit logic with paramagnets | INTEL CORPORATION |
11114146 | Nanosecond non-destructively erasable magnetoresistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114148 | Efficient ferroelectric random-access memory wordline driver, decoder, and related circuits | WUXI PETABYTE TECHNOLOGIES CO., LTD. |
11114153 | SRAM devices with reduced coupling capacitance | -- |
11114161 | Phase change device configured to modify a plurality of reconfigurable layer regions among a plurality of contacts | UNIVERSITY OF CONNECTICUT |
11114162 | Non-volatile semiconductor memory device including a first memory bunch and a second memory bunch | KIOXIA CORPORATION |
11114165 | Semiconductor devices having increased efficiency in generation of gate-induced drain leakage current without insulation deterioration and methods of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11114166 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11114167 | Page buffer and memory device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114171 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11114238 | Multilayer substrate, structure of multilayer substrate mounted on circuit board, method for mounting multilayer substrate, and method for manufacturing multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11114239 | Electronic device, device package, and method of fabrication | NXP B.V. |
11114303 | Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device | -- |
11114305 | Etching method and semiconductor manufacturing method | SHOWA DENKO K.K. |
11114308 | Controlling of height of high-density interconnection structure on substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114311 | Chip package structure and method for forming the same | -- |
11114332 | Semiconductor on insulator structure comprising a plasma nitride layer and method of manufacture thereof | -- |
11114334 | Semiconductor device with air gap and method for preparing the same | -- |
11114335 | Semiconductor device structure with air gap structure and method for forming the same | -- |
11114337 | Method for bonding and interconnecting semiconductor chips | IMEC VZW |
11114346 | High density logic formation using multi-dimensional laser annealing | TOKYO ELECTRON LIMITED |
11114347 | Self-protective layer formed on high-k dielectric layers with different materials | -- |
11114348 | Hybrid high-voltage low-voltage FinFET device | MICROSEMI SOC CORP. |
11114354 | Printed wiring board, printed circuit board, prepreg | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114355 | Power module and method for manufacturing power module | MURATA MANUFACTURING CO., LTD. |
11114357 | Methods and apparatus for package with interposers | -- |
11114360 | Multi-die device structures and methods | XILINX, INC. |
11114361 | Electronics assemblies and methods of manufacturing electronics assemblies with improved thermal performance | INTELLIGENT PLATFORMS, LLC |
11114362 | Stacked semiconductor package having heat dissipation structure | SK HYNIX INC. |
11114365 | Electronic element mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11114366 | Semiconductor structure with buried conductive line and method for forming the same | -- |
11114371 | Substrate-on-substrate structure and electronic device comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11114372 | Integrated circuit, circuit board with integrated circuit, and display device using the same | LG DISPLAY CO., LTD. |
11114375 | 3D stacked memory and vertical interconnect structures for 3D stacked memory | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11114379 | Integrated circuitry, memory integrated circuitry, and methods used in forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11114380 | Manufacturing method of memory device | -- |
11114381 | Power distribution network for 3D logic and memory | TOKYO ELECTRON LIMITED |
11114383 | Semiconductor devices having integrated optical components | MICRON TECHNOLOGY, INC. |
11114388 | Warpage control for microelectronics packages | INTEL CORPORATION |
11114390 | Semiconductor device and forming method thereof | -- |
11114396 | Reduced-length bond pads for broadband power amplifiers | CREE, INC. |
11114401 | Bonding structure and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114403 | Semiconductor device and method of manufacturing semiconductor device | SK HYNIX INC. |
11114406 | Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip | SANDISK TECHNOLOGIES LLC |
11114407 | Integrated fan-out package and manufacturing method thereof | -- |
11114408 | System and method for providing 3D wafer assembly with known-good-dies | INVENSAS CORPORATION |
11114412 | Electronic package and method for fabricating the same | -- |
11114413 | Stacking structure, package structure and method of fabricating the same | -- |
11114414 | Wafer structure with capacitive chip interconnection, method for manufacturing the same, and chip structure with capacitive chip interconnection | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114415 | Semiconductor device with a layered protection mechanism and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11114416 | Power and temperature management for functional blocks implemented by a 3D stacked integrated circuit | MICRON TECHNOLOGY, INC. |
11114417 | Through-silicon via (TSV) test circuit, TSV test method and integrated circuits (IC) chip | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11114418 | Electronic device, method of manufacturing electronic device, and electronic apparatus | FUJITSU LIMITED |
11114419 | Multi-color LED pixel unit and micro-LED display panel | JADE BIRD DISPLAY (SHANGHAI) LIMITED |
11114420 | Uniforming an array of LEDs having asymmetric optical characteristics | CORNING INCORPORATED |
11114421 | Integrating system in package (SiP) with input/output (IO) board for platform miniaturization | INTEL CORPORATION |
11114423 | Image-forming element | SHARP KABUSHIKI KAISHA |
11114424 | Display substrate and method for preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114425 | Packaging of radiation detectors in an image sensor | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114426 | Bendable panel and method of fabricating same | -- |
11114427 | 3D semiconductor processor and memory device and structure | MONOLITHIC 3D INC. |
11114428 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11114429 | Integrated circuit device with electrostatic discharge (ESD) protection | XILINX, INC. |
11114430 | Leakage current detection and protection device and power connector employing the same | SUZHOU |
11114431 | Electrostatic discharge protection device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11114432 | Protection circuit with a FET device coupled from a protected bus to ground | SEMTECH CORPORATION |
11114433 | 3DIC structure and method of fabricating the same | -- |
11114434 | Computation-in-memory in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11114435 | FinFET having locally higher fin-to-fin pitch | IMEC VZW |
11114436 | Metal gate structure and methods thereof | -- |
11114437 | Semiconductor device comprising first and second standard cells arranged adjacent to each other | SOCIONEXT INC. |
11114438 | Thyristor volatile random access memory and methods of manufacture | TC LAB, INC. |
11114439 | Multi-division 3D NAND memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11114440 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11114441 | Semiconductor memory device | -- |
11114442 | Semiconductor memory device with shallow buried capacitor and fabrication method thereof | HEFECHIP CORPORATION LIMITED |
11114443 | Semiconductor structure formation | MICRON TECHNOLOGY, INC. |
11114444 | Semiconductor device with conductive cap layer over conductive plug and method for forming the same | -- |
11114445 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114446 | SRAM with hierarchical bit lines in monolithic 3D integrated chips | INTEL CORPORATION |
11114447 | SRAM device provided with a plurality of sheets serving as a channel region | SAMSUNG ELECTRONICS CO., LTD. |
11114448 | Semiconductor device and method for fabricating the same | -- |
11114449 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11114450 | One-time programable memory device having enhanced program efficiency and method for fabricating the same | SK HYNIX SYSTEM IC INC. |
11114451 | Method of forming a device with FinFET split gate non-volatile memory cells and FinFET logic devices | SILICON STORAGE TECHNOLOGY, INC. |
11114452 | Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology | -- |
11114453 | Bonded memory device and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11114454 | Semiconductor device | SK HYNIX INC. |
11114455 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11114456 | Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11114457 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
11114458 | Three-dimensional memory device with support structures in gate line slits and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11114459 | Three-dimensional memory device containing width-modulated connection strips and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11114460 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11114461 | Three-dimensional semiconductor memory devices having source structure overlaps buried insulating layer | SAMSUNG ELECTRONICS CO., LTD. |
11114462 | Three-dimensional memory device with composite charge storage structures and methods for forming the same | SANDISK TECHNOLOGIES LLC |
11114463 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114464 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11114465 | Memory device, semiconductor device and associated method | -- |
11114466 | IC products formed on a substrate having localized regions of high resistivity and methods of making such IC products | GLOBALFOUNDRIES U.S. INC. |
11114467 | Display device | LG DISPLAY CO., LTD. |
11114468 | Thin film transistor array substrate | -- |
11114469 | Array substrate and fabricating method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114470 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11114471 | Thin film transistors having relatively increased width and shared bitlines | INTEL CORPORATION |
11114472 | Thin film transistor panel, display device, and method of manufacturing the thin film transistor panel | SAMSUNG DISPLAY CO., LTD. |
11114473 | Method for transferring light emitting elements, display panel, method for making display panel, and substrate | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11114474 | Thin film transistor, manufacturing method thereof, array substrate, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11114475 | IPS thin-film transistor array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114476 | Manufacturing method of TFT array substrate, TFT array substrate and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114477 | Array substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114478 | Thin film transistor and manufacture method thereof, array substrate and manufacture method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114479 | Optoelectronics and CMOS integration on GOI substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114480 | Photodetector | ACTLIGHT SA |
11114481 | Capacitor including first electrode, dielectric layer, and second electrode, image sensor, and method for producing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114482 | Scalable-pixel-size image sensor | GIGAJOT TECHNOLOGY, INC. |
11114483 | Cavityless chip-scale image-sensor package | OMNIVISION TECHNOLOGIES, INC. |
11114484 | Photoelectric conversion apparatus, photoelectric conversion system, moving body, and manufacturing method for photoelectric conversion apparatus | CANON KABUSHIKI KAISHA |
11114485 | Metal mirror based multispectral filter array | VIAVI SOLUTIONS INC. |
11114486 | Implant isolated devices and method for forming the same | -- |
11114487 | Photoelectric conversion apparatus and imaging system using the same | CANON KABUSHIKI KAISHA |
11114488 | Image sensing devices with reflector arrays | SHENZHEN ADAPS PHOTONICS TECHNOLOGY CO. LTD. |
11114489 | Back-illuminated sensor and a method of manufacturing a sensor | KLA-TENCOR CORPORATION |
11114490 | Light receiving element, ranging module, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11114491 | Back-illuminated sensor and a method of manufacturing a sensor | KLA CORPORATION |
11114492 | Image sensor | SK HYNIX INC. |
11114493 | Image sensors with vertically stacked photodiodes and vertical transfer gates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114494 | Image sensor based on avalanche photodiodes | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114495 | Array substrate and method for manufacturing an array sunstrate | HKC CORPORATION LIMITED |
11114496 | Active matrix substrate, X-ray imaging panel with the same, and method for producing the same | SHARP KABUSHIKI KAISHA |
11114497 | Sensor, array substrate containing sensor, display panel containing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11114498 | Image sensor and imaging apparatus | SONY CORPORATION |
11114499 | Display device having light emitting stacked structure | SEOUL VIOSYS CO., LTD. |
11114500 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11114501 | SOI semiconductor structure and method for manufacturing an SOI semiconductor structure | TDK-MICRONAS GMBH |
11114502 | Resistive memory cell having an ovonic threshold switch | STMICROELECTRONICS (ROUSSET) SAS |
11114503 | Memory device | TOSHIBA MEMORY CORPORATION |
11114504 | Semiconductor device including variable resistance layer | SK HYNIX INC. |
11114505 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114506 | Organic light emitting display panel, display device and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11114507 | Pixel arrangement, manufacturing method thereof, display panel, display device and mask | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114508 | Display panel including image points arranged in rectangular grid and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11114509 | OLED display device with fingerprint on display | -- |
11114510 | Organic light-emitting display device having touch sensor | LG DISPLAY CO., LTD. |
11114511 | Display device | SAMSUNG DISPLAY CO., LTD. |
11114512 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
11114513 | Display substrate, manufacturing method thereof, display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11114514 | Organic electroluminescent display panel, manufacturing method thereof, and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114515 | Organic light-emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114516 | Display device | JAPAN DISPLAY INC. |
11114517 | Organic EL display apparatus and method of manufacturing organic EL display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11114518 | Wiring structure, display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114519 | Organic light emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11114520 | Display device | SAMSUNG DISPLAY CO., LTD. |
11114521 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11114522 | Display device, manufacturing method of display device, and exposure device | SHARP KABUSHIKI KAISHA |
11114523 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11114527 | Semiconductor device and method for manufacturing same | RENESAS ELECTRONICS CORPORATION |
11114530 | Quantum well stacks for quantum dot devices | INTEL CORPORATION |
11114533 | Semiconductor device including contacts having different heights and different widths | SAMSUNG ELECTRONICS CO., LTD. |
11114534 | Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same | SANDISK TECHNOLOGIES LLC |
11114535 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11114540 | Semiconductor device including standard cells with header/footer switch including negative capacitance | -- |
11114541 | Semiconductor device including capacitor | SAMSUNG ELECTRONICS CO., LTD. |
11114544 | Integrated circuit device having fin-type active | SAMSUNG ELECTRONICS CO., LTD. |
11114548 | Semiconductor device having source and drain in active region and manufacturing method for same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11114549 | Semiconductor structure cutting process and structures formed thereby | -- |
11114551 | Fin field-effect transistor having counter-doped regions between lightly doped regions and doped source/drain regions | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11114559 | Semiconductor device having reduced gate charges and superior figure of merit | VISHAY-SILICONIX, LLC |
11114563 | Semiconductor devices with low junction capacitances and methods of fabrication thereof | -- |
11114565 | Semiconductor device | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11114567 | Manufacturing method of TFT substrate and TFT substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114568 | Semiconductor device | JAPAN DISPLAY INC. |
11114573 | Optoelectronic module assembly and manufacturing method | AMS SENSORS SINGAPORE PTE. LTD. |
11114574 | Semiconductor sensor | OSRAM OPTO SEMICONDUCTORS GMBH |
11114578 | Image sensors with silver-nanoparticle electrodes | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114582 | Display apparatus with increased self-alignment efficiency | SAMSUNG DISPLAY CO., LTD. |
11114585 | Advanced electronic device structures using semiconductor structures and superlattices | SILANNA UV TECHNOLOGIES PTE LTD |
11114587 | Streamlined GaN-based fabrication of light emitting diode structures | ODYSSEY SEMICONDUCTOR, INC. |
11114593 | Optoelectronic modules and optoelectronic molding tools and processes for manufacturing the same | AMS SENSORS SINGAPORE PTE. LTD. |
11114594 | Light emitting device packages using light scattering particles of different size | CREELED, INC. |
11114597 | Display device having an electronic device disposed on a first pad and a second pad | -- |
11114598 | Lamp using semiconductor light-emitting elements | LG ELECTRONICS INC. |
11114602 | Method of forming superconducting layers and traces | MICROSOFT TECHNOLOGY LICENSING, LLC |
11114605 | Composite storage layer for magnetic random access memory devices | HEFECHIP CORPORATION LIMITED |
11114608 | Combined spin-orbit torque and spin-transfer torque switching for magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES INC. |
11114609 | Tunnel magnetoresistive effect element, magnetic memory, and built-in memory | TDK CORPORATION |
11114611 | Method to make MRAM with small footprint | -- |
11114612 | Magnetoresistive random access memory and method for fabricating the same | -- |
11114613 | Cross-point memory and methods for forming of the same | MICRON TECHNOLOGY, INC. |
11114614 | Process for fabricating resistive memory cells | STMICROELECTRONICS (ROUSSET) SAS |
11114615 | Chalcogenide memory device components and composition | MICRON TECHNOLOGY, INC. |
11114616 | Ti-based amorphous alloy and phase change memory device applying the same | SAMSUNG ELECTRONICS CO., LTD. |
11114618 | Organic layer and method of manufacturing the same, directional heat source assembly, and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114622 | Compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11114627 | Manufacturing method for flexible display panel and flexible display panel comprising concave tapered organic layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114628 | Method of manufacturing a flexible organic light-emitting diode (OLED) display panel by laser lift-off of a glass carrier through a planarization layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114629 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
11114630 | Display panel, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114631 | Flexible display substrate, manufacturing method thereof, and flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114632 | Display panels and methods for manufacturing the same | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11114633 | Solar antenna array fabrication | NOVASOLIX, INC. |
11114636 | Organic electroluminescent display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114637 | Current-driven display and method for producing the same | -- |
11114638 | Light-emitting diode, array substrate, and method of making the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114641 | Display panel having thin film layers with recesses and protrusions | BAZHOU YUNGU ELECTRONICS TECHNOLOGY CO., LTD. |
11114642 | Flexible display panel, flexible display device, and method of fabricating flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11114643 | Organic light emitting device with micro lenses and method for manufacturing the same | LG DISPLAY CO., LTD. |
11114644 | OLED display panel and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114645 | Polarization member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11114646 | Organic light emitting display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114649 | Light-emitting display device | LG DISPLAY CO., LTD. |
11114730 | Accumulator battery pack, comprising devices for passive magnetic between accumulators and busbars, and, where appropriate, passive shunt of one or more accumulators in case of failure of these ones | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11114744 | Antenna having single non-conductive portion and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114747 | Antenna including conductive pattern and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11114774 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11114780 | Electronic module with an electrically conductive press-fit terminal having a press-fit section | INFINEON TECHNOLOGIES AG |
11114782 | Method of manufacturing circuit board structure | -- |
11114783 | System and method for incorporating an inline inductor into a connector | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11114785 | Connector | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11114786 | PCB direct connector | LG CHEM, LTD. |
11114836 | Semiconductor device, intelligent power module and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
11114848 | ESD protection charge pump active clamp for low-leakage applications | TEXAS INSTRUMENTS INCORPORATED |
11114927 | Brushless direct current motor for power tools | MILWAUKEE ELECTRIC TOOL CORPORATION |
11114946 | Voltage regulator module | -- |
11115029 | Integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11115514 | Mobile terminal | LG ELECTRONICS INC. |
11115567 | Image capture assembly and aerial photographing aerial vehicle | SZ DJI TECHNOLOGY CO., LTD. |
11115609 | Solid-state imaging apparatus and driving method thereof | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11115612 | Solid-state image sensor and image capture apparatus | CANON KABUSHIKI KAISHA |
11116070 | Interconnect circuit methods and devices | CELLINK CORPORATION |
11116071 | Method for producing a printed circuit board having thermal through-contacts | ZKW GROUP GMBH |
11116072 | Discrete circuit having cross-talk noise cancellation circuitry and method thereof | INTEL CORPORATION |
11116073 | Connector-cable module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11116074 | Colored thin covering film and manufacturing method | -- |
11116075 | Component carrier comprising dielectric structures with different physical properties | AT&S (CHINA) CO. LTD. |
11116076 | Flexible conductor track for connecting electronic modules, particularly modules of a camera to be installed in a vehicle | CONTI TEMIC MICROELECTRONIC GMBH |
11116077 | Wiring board, electronic device, and electronic module | KYOCERA CORPORATION |
11116078 | Prepregs and laminates having homogeneous dielectric properties | -- |
11116079 | High resolution display device | SAMSUNG DISPLAY CO., LTD. |
11116080 | Wiring substrate | IBIDEN CO., LTD. |
11116081 | Laminated magnetic core inductor with magnetic flux closure path parallel to easy axes of magnetization of magnetic layers | FERRIC INC. |
11116082 | Insulation protection structure | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
11116083 | Electronic component embedded by laminate sheet | AT&S (CHINA) CO. LTD. |
11116084 | Method, device and system for providing etched metallization structures | INTEL CORPORATION |
11116087 | Display module and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11116088 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11116089 | Component assembly including a connection between two components | ROBERT BOSCH GMBH |
11116100 | Implementation module for stacked connection between isolated circuit components and the circuit thereof | SHENZHEN XILONG TOY COMPANY LIMITED |
11116102 | Display device | K-TRONICS (SUZHOU) TECHNOLOGY CO., LTD. |
11116109 | Electrically insulating thermal connector having a low thermal resistivity | AVX CORPORATION |
11116119 | Conveyance device and mounting-related device | FUJI CORPORATION |
11116121 | Mounting target working device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11116984 | Extended length antenna assembly for use within a multi-component system | ADVANCED BIONICS AG |
11117734 | Puffer device | SUTERRA, LLC |
11117872 | Oxazine compound, composition and cured product | DIC CORPORATION |
11117873 | Oxazine compound, composition, and cured product | DIC CORPORATION |
11118012 | Resin composition, prepreg, metal-foil-clad laminate, resin sheet, and printed wiring board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11118013 | Polyimide resin precursor | ARISAWA MFG. CO., LTD. |
11118078 | Metal alloys from molecular inks | LIQUID X PRINTED METALS, INC. |
11118279 | Electrolytic copper foil for secondary battery and method for producing the same | ILJIN MATERIALS CO., LTD. |
11118741 | LED lamp utilizing optical filtering to counteract effects of color anomalous vision | ENERGY FOCUS, INC. |
11118961 | Imaging apparatus with infrared-based temperature detection devices | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11118981 | Frequency-selective metasurface integrated uncooled microbolometers | THE CURATORS OF THE UNIVERSITY OF MISSOURI |
11118982 | Spectroscopic focal plane array and method of making same | TELEDYNE SCIENTIFIC & IMAGING, LLC |
11119196 | First photon correlated time-of-flight sensor | OMNIVISION TECHNOLOGIES, INC. |
11119201 | Distance detecting apparatus, image capturing apparatus, distance detecting method, and storage medium | CANON KABUSHIKI KAISHA |
11119252 | Solid-state imaging device, method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11119373 | Array substrate, method of manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11119376 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11119377 | LCD panel and EOA module thereof | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11119532 | Methods and apparatus to implement microphones in thin form factor electronic devices | INTEL CORPORATION |
11119536 | Flexible electronic device and manufacturing method therefor | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11119538 | Flexible display panel and flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
11119539 | Display | -- |
11119591 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11119592 | Display apparatus with black matrix | SAMSUNG DISPLAY CO., LTD. |
11119593 | Organic light emitting display device including a reflective touch sensing electrode and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11119596 | Display panel and driving method, and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11119597 | Touch sensor and display device comprising same | DONGWOO FINE-CHEM CO., LTD. |
11119610 | Touch member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11119615 | Fingerprint sensor and button combinations and methods of making same | SYNAPTICS INCORPORATED |
11119616 | Trace transfer techniques for touch sensor panels with flex circuits | APPLE INC. |
11119619 | Touch display panel, manufacturing method thereof, driving method thereof and touch display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11119936 | Error cache system with coarse and fine segments for power optimization | SPIN MEMORY, INC. |
11120187 | Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method | KABUSHIKI KAISHA TOSHIBA |
11120190 | Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level | ADVANCED MICRO DEVICES, INC. |
11120191 | Multi-tier co-placement for integrated circuitry | ARM LIMITED |
11120735 | Light emitting device package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11120737 | Display device | SAMSUNG DISPLAY CO., LTD. |
11120761 | Driving substrate and display apparatus | -- |
11120842 | Memory system having plural circuits separately disposed from memories | KIOXIA CORPORATION |
11120852 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11120856 | Spin-orbit torque devices | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11120857 | Low variability reference parameter generation for magnetic random access memory | GLOBALFOUNDRIES U.S. INC. |
11120858 | Magnetic memory | KIOXIA CORPORATION |
11120875 | Nonvolatile semiconductor memory device with a plurality of memory blocks with memory strings and a shared block decoder to allow the number of selection signals to be reduced | KIOXIA CORPORATION |
11120876 | Semiconductor memory device | SK HYNIX INC. |
11120883 | Semiconductor storage device | KIOXIA CORPORATION |
11120884 | Implementing logic function and generating analog signals using NOR memory strings | SUNRISE MEMORY CORPORATION |
11120941 | Methods of forming capacitors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11120992 | Method of fabricating semiconductor device | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11120997 | Surface treatment for etch tuning | -- |
11121004 | Semiconductor module and method for producing the same | INFINEON TECHNOLOGIES AG |
11121006 | Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package | -- |
11121011 | Process system and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11121012 | Substrate cleaning apparatus and cleaning method using the same | SAMSUNG DISPLAY CO., LTD. |
11121020 | Support, adhesive sheet, laminated structure, semiconductor device, and method for manufacturing printed wiring board | AJINOMOTO CO., INC. |
11121021 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11121023 | FinFET device comprising a single diffusion break with an upper surface that is substantially coplanar with an upper surface of a fin | GLOBALFOUNDRIES U.S. INC. |
11121033 | Method for fabricating semiconductor device | SK HYNIX INC. |
11121036 | Multi-gate device and related methods | -- |
11121037 | Semiconductor device structure and method for forming the same | -- |
11121038 | Spacer structure and manufacturing method thereof | -- |
11121040 | Multi voltage threshold transistors through process and design-induced multiple work functions | INTEL CORPORATION |
11121041 | Methods for threshold voltage tuning and structure formed thereby | -- |
11121042 | Production of semiconductor regions in an electronic chip | STMICROELECTRONICS (ROUSSET) SAS |
11121044 | Vertically stacked nanosheet CMOS transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121047 | Semiconductor structure | -- |
11121052 | Integrated fan-out device, 3D-IC system, and method | -- |
11121054 | Module | MURATA MANUFACTURING CO., LTD. |
11121058 | Liquid cooled module with device heat spreader | APTIV TECHNOLOGIES LIMITED |
11121059 | Power module and method for manufacturing power module | MITSUBISHI ELECTRIC CORPORATION |
11121067 | Interposer and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11121070 | Integrated fan-out package | -- |
11121071 | Semiconductor package and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121073 | Through plate interconnect for a vertical MIM capacitor | INTEL CORPORATION |
11121074 | Packaged die stacks with stacked capacitors and methods of assembling same | INTEL CORPORATION |
11121078 | SRAM having irregularly shaped metal lines | -- |
11121085 | Trench walls, conductive structures having different widths and methods of making same | MICRON TECHNOLOGY, INC. |
11121089 | Integrated circuit package and method | -- |
11121092 | Marking pattern in forming staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121096 | Active control of electronic package warpage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121098 | Trap layer substrate stacking technique to improve performance for RF devices | -- |
11121100 | Trap layer substrate stacking technique to improve performance for RF devices | -- |
11121102 | Semiconductor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121104 | Method for manufacturing interconnect structure | -- |
11121106 | Integrated circuit package and method | -- |
11121109 | Innovative interconnect design for package architecture to improve latency | INTEL CORPORATION |
11121111 | Semiconductor package structure and method of manufacturing the same | -- |
11121112 | Solid-state image pickup element with dam to control resin outflow | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11121117 | Method for self-assembling microelectronic components | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121118 | Integrated circuit stacking approach | -- |
11121119 | Semiconductor package | -- |
11121120 | Method and system for electronic devices with polycrystalline substrate structure interposer | QROMIS, INC. |
11121121 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11121122 | Flexible light-emitting diode lighting strip with interposer | LUMILEDS LLC |
11121123 | Semiconductor composite device and package board used therein | MURATA MANUFACTURING CO., LTD. |
11121124 | Display device with a plurality of separately operable pixels formed in a grid | OSRAM OLED GMBH |
11121125 | Thermal chamber for a thermal control component | MICRON TECHNOLOGY, INC. |
11121126 | Silicon controlled rectifier and manufacturing method therefor | INFINEON TECHNOLOGIES AG |
11121127 | Integrated circuit chips, integrated circuit packages including the integrated circuit chips, and display apparatuses including the integrated circuit chips | SAMSUNG ELECTRONICS CO., LTD. |
11121128 | Structure and method for alignment marks | -- |
11121129 | Semiconductor device | -- |
11121130 | Structure and formation method of semiconductor device with gate stacks | -- |
11121131 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11121132 | Gate-cut isolation structure and fabrication method | QUALCOMM INCORPORATED |
11121133 | Semiconductor device with fin transistors and manufacturing method of such semiconductor device | SONY CORPORATION |
11121134 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11121135 | Structure of memory device | -- |
11121136 | Insulating structure and method of forming the same | -- |
11121137 | Semiconductor device with self-aligned landing pad and method for fabricating the same | -- |
11121138 | Low resistance pickup cells for SRAM | -- |
11121139 | Hafnium oxide and zirconium oxide based ferroelectric devices with textured iridium bottom electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121140 | Ferroelectric tunnel junction memory device with integrated ovonic threshold switches | SANDISK TECHNOLOGIES LLC |
11121141 | Semiconductor structure and method for forming the same | -- |
11121142 | Memory structure and manufacturing method therefor | -- |
11121143 | Integrated assemblies having conductive posts extending through stacks of alternating materials | MICRON TECHNOLOGY, INC. |
11121144 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11121145 | Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11121146 | Forming terminations in stacked memory arrays | MICRON TECHNOLOGY, INC. |
11121147 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11121148 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11121149 | Three-dimensional memory device containing direct contact drain-select-level semiconductor channel portions and methods of making the same | SANDISK TECHNOLOGIES LLC |
11121150 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121151 | Vertical semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11121152 | Three-dimensional memory device and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121153 | Three-dimensional memory devices containing structures for controlling gate-induced drain leakage current and method of making the same | SANDISK TECHNOLOGIES LLC |
11121154 | Semiconductor device including a stack having a sidewall with recessed and protruding portions | SAMSUNG ELECTRONICS CO., LTD. |
11121155 | Integrated circuit including multiple height cell and method of fabricating the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11121156 | Array substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121157 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11121158 | Solid-state image pickup apparatus and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11121159 | Pixel structure of image sensor having dielectric layer surrounding photo conversion layer and color filter | -- |
11121160 | Photoelectric conversion apparatus and equipment comprising a light shielding part in a light receiving region and a light shielding film in a light shielded region | CANON KABUSHIKI KAISHA |
11121161 | Solid-state imaging sensor | SONY CORPORATION |
11121162 | Light pipe structure with high quantum efficiency | -- |
11121163 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11121164 | Semiconductor device and method for production of semiconductor device | SONY CORPORATION |
11121165 | Image sensor with a cross-wafer capacitator | APPLE INC. |
11121166 | Image sensor device | -- |
11121167 | Back side illumination image sensors and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11121168 | Stacked grid design for improved optical performance and isolation | -- |
11121169 | Metal vertical transfer gate with high-k dielectric passivation lining | OMNIVISION TECHNOLOGIES, INC. |
11121170 | Method for manufacturing micro array light emitting diode and lighting device | INDUSTRY-ACADEMIC COOPERATION FOUNDATION OF SUNCHON NATIONAL UNIVERSITY |
11121171 | Display apparatus and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11121172 | Light-emitting diode unit for display comprising plurality of pixels and display device having same | SEOUL VIOSYS CO., LTD. |
11121173 | Preserving underlying dielectric layer during MRAM device formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121174 | MRAM integration into the MOL for fast 1T1M cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121175 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11121176 | Nearly 2D electronic microparticles | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11121177 | Memory arrays and methods of forming an array of memory cells | MICRON TECHNOLOGY, INC. |
11121178 | Electronic device and method for fabricating electronic device | SK HYNIX INC. |
11121179 | Variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11121180 | Three-dimensional memory array | MICRON TECHNOLOGY, INC. |
11121181 | Dimension control for raised lines | MICRON TECHNOLOGY, INC. |
11121182 | Organic photoelectric conversion element, optical area sensor, image pickup device, and image pickup apparatus | CANON KABUSHIKI KAISHA |
11121183 | Display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121184 | Organic electroluminescent device | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11121185 | Display substrate including pixel unit groups, display device and display method thereof, and mask plate | BOE TECHNOLOGY GROUP CO., LTD. |
11121186 | Transparent display device including a transmissive electrode and a capping structure at an emission portion and a transmission portion | LG DISPLAY CO., LTD. |
11121187 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11121188 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11121189 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11121190 | Optoelectronic device and methods of use | DOW GLOBAL TECHNOLOGIES LLC |
11121191 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121192 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121193 | Electronic panel and electronic apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11121194 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121195 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11121196 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121197 | OLED panel and display device thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121198 | Organic light emitting display device having auxiliary connection electrode and method of manufacturing the same | LG DISPLAY CO., LTD. |
11121199 | Display panel and manufacturing method for the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121200 | Array substrate, display device and peep prevention method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11121201 | Display device | -- |
11121202 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11121203 | Semiconductor device and method of driving the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11121204 | Display device | JAPAN DISPLAY INC. |
11121205 | Display panel and display panel test system | SAMSUNG DISPLAY CO., LTD. |
11121206 | Integrated circuit resistor with passive breakdown protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11121210 | Integrated circuit with triple guard wall pocket isolation | TEXAS INSTRUMENTS INCORPORATED |
11121213 | Fin recess last process for FinFET fabrication | -- |
11121222 | Semiconductor devices with graded dopant regions | GREENTHREAD, LLC |
11121225 | Field plate structure to enhance transistor breakdown voltage | -- |
11121226 | Thin film transistor and method for manufacturing the same, array substrate and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11121228 | Manufacturing method of thin film transistor | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121234 | Stacked gate spacers | -- |
11121237 | Manufacturing method for FinFET device | SHANGHAI IC R&D CENTER CO., LTD |
11121242 | Method of operating a semiconductor device having a desaturation channel structure | INFINEON TECHNOLOGIES AG |
11121246 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11121248 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11121255 | V-shape recess profile for embedded source/drain epitaxy | -- |
11121256 | Semiconductor device integrating backside power grid and related integrated circuit and fabrication method | -- |
11121258 | Transistors comprising two-dimensional materials and related semiconductor devices, systems, and methods | MICRON TECHNOLOGY, INC. |
11121261 | Semiconductor substrate | -- |
11121262 | Semiconductor device including thin film transistor and method for manufacturing the same | SAKAI DISPLAY PRODUCTS CORPORATION |
11121263 | Hydrogen trap layer for display device and the same | APPLE INC. |
11121271 | Microstructure enhanced absorption photosensitive devices | W&WSENS, DEVICES, INC. |
11121272 | Self-bypass diode function for gallium arsenide photovoltaic devices | UTICA LEASECO, LLC |
11121275 | Method of fabricating space solar cell arrays with blocking diodes | SOLAERO TECHNOLOGIES CORP. |
11121280 | Display device with image sensor | -- |
11121281 | Systems and methods for light direction detection microchips | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11121283 | Method for transferring light emitting elements, and method for making display panel | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11121285 | Semiconductor device | -- |
11121286 | Semiconductor device | SUZHOU LEKTN SEMICONDUCTOR CO., LTD. |
11121289 | Ultra-dense quantum dot color converters | TECTUS CORPORATION |
11121291 | Display device | OSRAM OLED GMBH |
11121292 | LED light bulb having filament with being partially coated by light conversion layer | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11121293 | LED light bulb having filament with tube light conversion layer | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11121298 | Light-emitting diode packages with individually controllable light-emitting diode chips | CREELED, INC. |
11121299 | Semiconductor device and method | -- |
11121304 | Junction fabrication method for forming qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121307 | Semiconductor device and method for fabricating the same | -- |
11121308 | Sidewall spacer structure for memory cell | -- |
11121312 | Semiconductor device and method for fabricating the same | -- |
11121314 | Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices | -- |
11121317 | Low resistance crosspoint architecture | MICRON TECHNOLOGY, INC. |
11121318 | Tunable forming voltage for RRAM device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121319 | Phase-change memory with no drift | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121321 | High resolution shadow mask with tapered pixel openings | EMAGIN CORPORATION |
11121329 | Amine compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11121330 | Organic light-emitting diode display panel and display device having substrate through holes | -- |
11121331 | Flexible substrate and display panel using the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121332 | Foldable array substrate, preparation method thereof and display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11121333 | OLED display panel and method for fabricating same | -- |
11121342 | Display panel having a nano-stack layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121343 | Display device | JAPAN DISPLAY INC. |
11121344 | Organic electroluminescent device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11121346 | OLED devices having improved efficiency | UNIVERSAL DISPLAY CORPORATION |
11121347 | Display apparatus having an encapsulation substrate of high thermal conductivity | LG DISPLAY CO., LTD. |
11121348 | Rotary polarized light emitting body, rotary polarized light emitting device, and manufacturing method therefor | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11121349 | Method for manufacturing an organic electroluminescence display device having separate hole blocking layers | -- |
11121350 | Electrode-attached substrate, laminated substrate, and organic device manufacturing method | SUMITOMO CHEMICAL COMPANY, LIMITED |
11121351 | Flexible display panel and preparation method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121467 | Semiconductor package with compact antenna formed using three-dimensional additive manufacturing process | NXP USA, INC. |
11121474 | Additive manufacturing technology (AMT) low profile radiator | RAYTHEON COMPANY |
11121489 | Electrical connector with flexible circuit and stiffener | SEAGATE TECHNOLOGY LLC |
11121504 | Circuit board separation mechanism | ROCKWELL COLLINS, INC. |
11121546 | Protection ensemble | PHOENIX CONTACT GMBH & CO KG |
11121547 | Device and method for overvoltage protection | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11121692 | Noise filter circuit | MITSUBISHI ELECTRIC CORPORATION |
11121779 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11122190 | Image pickup apparatus with movable unit and control unit connected together by flexible boards | CANON KABUSHIKI KAISHA |
11122205 | Camera actuator assembly with sensor shift flexure arrangement | APPLE INC. |
11122213 | Imaging apparatus | CANON KABUSHIKI KAISHA |
11122216 | Solid-state imaging device | SAMSUNG ELECTRONICS CO., LTD. |
11122224 | Event-based sensor, user device including the same, and operation method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11122227 | Image sensor, image capturing system, and production method of image sensor | SONY CORPORATION |
11122229 | Solid-state imaging device, signal processing method therefor, and electronic apparatus for enabling sensitivity correction | SONY CORPORATION |
11122231 | Image sensor and control method thereof, image capturing apparatus, and image processing apparatus | CANON KABUSHIKI KAISHA |
11122236 | Display apparatus with movable sensor module | SAMSUNG ELECTRONICS CO., LTD. |
11122660 | Electronic device and light emitting unit driving circuit thereof | -- |
11122666 | Light emitting diode light structures | AUSTIN IP PARTNERS |
11122674 | PCB with coin and dielectric layer | -- |
11122675 | Printed circuit board including overvoltage controlling element and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11122676 | Flexible wiring circuit board and imaging device | NITTO DENKO CORPORATION |
11122677 | Printed circuit board structure and method for inductive noise cancellation | MARVELL ASIA PTE, LTD. |
11122678 | Packaged device having imbedded array of components | TESLA, INC. |
11122679 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11122680 | Passive methods of loose die identification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11122681 | PCB board, manufacturing method of PCB board and electrical device | ZOPOISE TECHNOLOGY (ZHUZHOU) CO., LTD. |
11122682 | Tamper-respondent sensors with liquid crystal polymer layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11122683 | Bare die integration with printed components on flexible substrate without laser cut | PALO ALTO RESEARCH CENTER INCORPORATED |
11122684 | Display substrate, display device and method for manufacturing display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11122686 | Wiring substrate | IBIDEN CO., LTD. |
11122687 | Printed wiring board | MITSUBISHI ELECTRIC CORPORATION |
11122688 | Padded, flexible encasing for body monitoring systems in fabrics | BLOOMER HEALTH TECH., INC. |
11122689 | Circuit assembly | MBDA UK LIMITED |
11122690 | Additive manufacturing techniques for meander-line polarizers | HUGHES NETWORK SYSTEMS, LLC |
11122691 | Systems for applying electrically conductive tape traces to a substrate and methods of use thereof | FORD MOTOR COMPANY |
11122692 | Preparation of solder bump for compatibility with printed electronics and enhanced via reliability | RAYTHEON COMPANY |
11122693 | Method for forming laminated circuit board | PI-CRYSTAL INCORPORATION |
11122694 | Printed circuit board and package having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11122697 | Method of fabricating an electronic medical device, including overmolding an assembly with thermoplastic material | MEDTRONIC MINIMED, INC. |
11122698 | Low stress electronic board retainers and assemblies | N2 IMAGING SYSTEMS, LLC |
11122700 | Electrical assembly | ROBERT BOSCH GMBH |
11122707 | Raised pathway heat sink | ARRIS ENTERPRISES LLC |
11122708 | Electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11122709 | Electronic device | KIOXIA CORPORATION |
11122714 | Power module having metallic heat-dissipation substrate | -- |
11122716 | Display device | LG DISPLAY CO., LTD. |
11122717 | Electronic control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11123729 | Directing motion of droplets using differential wetting | VOLTA LABS, INC. |
11124110 | Car lamp using semiconductor light emitting device | ZKW GROUP GMBH |
11124614 | Halogen-free low dielectric resin composition, and pre-preg, metal-clad laminate, and printed circuit board using the same | -- |
11124673 | Insulating film | MITSUBISHI MATERIALS CORPORATION |
11124702 | Quantum dots, production methods thereof, and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11124744 | Compositions containing trans-1,2-dichloroethylene and a hydrofluoroether, and methods of using the same | SHELLEF HOLDINGS INC. |
11124870 | Transfer-free method for producing graphene thin film | KUK-IL GRAPHENE CO., LTD. |
11125609 | Photodetector and electronic apparatus | ROHM CO., LTD. |
11125616 | Photodetector device comprising each of a plurality of passive quenching elements connected in series to at least one avalanche photodiode and a capacitative element connected in parallel to the passive quenching element | HAMAMATSU PHOTONICS K.K. |
11125862 | Emitter structures for ultra-small vertical cavity surface emitting lasers (VCSELS) and arrays incorporating the same | SENSE PHOTONICS, INC. |
11125894 | Ray detector, method for manufacturing the same and electronic device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11125895 | Detection element and detector | KABUSHIKI KAISHA TOSHIBA |
11125923 | Liquid photochromic filter | WELD CAMERA, LLC |
11126004 | Head-mounted electronic display device with lens position sensing | APPLE INC. |
11126029 | Optical member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11126048 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11126052 | Array substrate, method for manufacturing the same, display device, and switching element | JAPAN DISPLAY INC. |
11126288 | Touch sensor and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11126289 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126303 | Device and method for capacitive sensing | SYNAPTICS INCORPORATED |
11126373 | Semiconductor device and data processing system | RENESAS ELECTRONICS CORPORATION |
11126550 | Integrating a resistive memory system into a multicore CPU die to achieve massive memory parallelism | CROSSBAR, INC |
11126774 | Layout optimization of a main pattern and a cut pattern | -- |
11126777 | Layout routing structure and layout routing method for improving SI performance of signal | ZHENGZHOU YUNHAI INFORMATION TECHNOLOGY CO., LTD. |
11126781 | Integrated circuit including standard cell and method and system for designing and manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11126814 | Ultrasonic fingerprint sensor with flexible substrate | QUALCOMM INCORPORATED |
11126816 | Display device and operation method thereof | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127319 | Flexible display panel, manufacturing method thereof and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11127325 | Technologies for enabling simplified pixel shifting to mitigate pixel burn-in | INTEL CORPORATION |
11127341 | Light emitting module and display device | -- |
11127343 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11127349 | Display device and method for driving same | SHARP KABUSHIKI KAISHA |
11127353 | Display device and signal inversion device | LG DISPLAY CO., LTD. |
11127364 | Display apparatus | LG DISPLAY CO., LTD. |
11127445 | Magnetic device | TOSHIBA MEMORY CORPORATION |
11127455 | Fin-FET gain cells | BAR-ILAN UNIVERSITY |
11127459 | Memory devices and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11127461 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11127462 | Multi-chip package with reduced calibration time and ZQ calibration method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11127464 | Method of programming 3D memory device and related 3D memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11127467 | Hybrid erase mode for high data retention in memory device | WESTERN DIGITAL TECHNOLOGIES, INC. |
11127474 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11127524 | Power converter | HONG KONG APPLIED SCIENCE AND TECHNOLOGY RESEARCH INSTITUTE COMPANY LIMITED |
11127588 | Semiconductor processing applying supercritical drying | MICRON TECHNOLOGY, INC. |
11127590 | Method for ALD deposition on inert surfaces via Al2O3 nanoparticles | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11127604 | Manufacturing method of semiconductor device | -- |
11127623 | Single diffusion cut for gate structures | GLOBALFOUNDRIES U.S. INC. |
11127624 | Method of manufacturing a semiconductor on insulator type structure, notably for a front side type imager | SOITEC |
11127625 | Semiconductor structure and related method | -- |
11127628 | Semiconductor device with connecting structure having a step-shaped conductive feature and method for fabricating the same | -- |
11127635 | Techniques for wafer stack processing | -- |
11127636 | Bot group messaging using bot-specific voice libraries | ORION LABS, INC. |
11127637 | Semiconductor device convex source/drain region | -- |
11127639 | Structure and formation method of semiconductor device with fin structures | -- |
11127640 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11127641 | Spin-current magnetization reversal element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11127642 | Test circuit layout structure for display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127644 | Planarization of semiconductor packages and structures resulting therefrom | -- |
11127645 | Grounding lids in integrated circuit devices | NXP USA, INC. |
11127646 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11127647 | Semiconductor devices and related methods | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD |
11127651 | High power module semiconductor package with multiple submodules | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11127652 | Semiconductor structures having reduced thermally induced bow | RAYTHEON COMPANY |
11127653 | Latch assembly, heat sink assembly, and computer product incorporating the same | SOUTHCO, INC. |
11127654 | Semiconductor device and a manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11127655 | Memory die containing through-memory-level via structure and methods for making the same | SANDISK TECHNOLOGIES LLC |
11127657 | Semiconductor device and process for fabricating the same | LAPIS SEMICONDUCTOR CO., LTD. |
11127659 | Parallel electrode combination, power module and power module group | YANGZHOU GUOYANG ELECTRONIC CO., LTD. |
11127660 | Surface-mount integrated circuit package with coated surfaces for improved solder connection | MICROCHIP TECHNOLOGY INCORPORATED |
11127662 | Semiconductor device | ROHM CO., LTD. |
11127663 | Semiconductor package having exposed heat sink for high thermal conductivity | JMJ KOREA CO., LTD. |
11127664 | Circuit board and manufacturing method thereof | -- |
11127665 | Module assembly | QORVO US, INC. |
11127667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127668 | Semiconductor device and method of forming double-sided fan-out wafer level package | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
11127671 | Power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11127677 | Resistor structure of series resistor of ESD device | SICHUAN ENERGY INTERNET RESEARCH INSTITUTE, TSINGHUA UNIVERSITY |
11127679 | Semiconductor device including dummy patterns and peripheral interconnection patterns at the same level | SAMSUNG ELECTRONICS CO., LTD. |
11127681 | Three-dimensional memory including molybdenum wiring layer having oxygen impurity and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11127685 | Power semiconductor module with dimples in metallization layer below foot of terminal | ABB POWER GRIDS SWITZERLAND AG |
11127686 | Radio-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11127687 | Semiconductor packages including modules stacked with interposing bridges | SK HYNIX INC. |
11127690 | Dual-sided radio-frequency package with overmold structure | SKYWORKS SOLUTIONS, INC. |
11127694 | Physical unclonable functions with copper-silicon oxide programmable metallization cells | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11127695 | Power conversion device for reducing an inductance difference between control signal wires of a power semiconductor and suppressing a current unbalancing of the control signals | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11127699 | Chip package structure and manufacturing method thereof | -- |
11127708 | Package structure and method of manufacturing the same | -- |
11127710 | Method for transferring structures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11127711 | Semiconductor device | KIOXIA CORPORATION |
11127712 | Functionally redundant semiconductor dies and package | INTEL CORPORATION |
11127713 | High bandwidth memories and systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11127714 | Printed board and semiconductor device | FUJI ELECTRIC CO., LTD. |
11127715 | Large channel interconnects with through silicon Vias (TSVs) and method for constructing the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127716 | Mounting structures for integrated device packages | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11127717 | Semiconductor device including memory cell arrays and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11127718 | Multi-chip stacked devices | XILINX, INC. |
11127719 | Face-to-face dies with enhanced power delivery using extended TSVS | NVIDIA CORPORATION |
11127720 | Pixel repair method for a direct view display device | NANOSYS, INC. |
11127721 | Full spectrum white light emitting devices | INTEMATIX CORPORATION |
11127722 | Stack packages including vertically stacked sub-packages with interposer bridges | SK HYNIX INC. |
11127723 | Method for mass transfer of micro semiconductor elements | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127724 | Light emitting diode device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127725 | Semiconductor structure and associated manufacturing method | -- |
11127726 | Method and device for manufacturing flexible light emission device | SAKAI DISPLAY PRODUCTS CORPORATION |
11127727 | Thermal spreading management of 3D stacked integrated circuits | INTEL CORPORATION |
11127728 | Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
11127729 | Method for removing a bulk substrate from a bonded assembly of wafers | SANDISK TECHNOLOGIES LLC |
11127730 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11127731 | Electronic device including a transistor having structures with different characteristics | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11127732 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11127733 | Electronic device | INFINEON TECHNOLOGIES AG |
11127734 | Vertical nanowire transistor for input/output structure | -- |
11127735 | Display substrate area surrounded by wiring having plurality of tips on side thereof | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11127736 | MIM capacitor and method for making the same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11127737 | Monolithic multi-I region diode limiters | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11127738 | Back biasing of FD-SOI circuit blocks | XCELSIS CORPORATION |
11127739 | Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures | SAMSUNG ELECTRONICS CO., LTD. |
11127740 | Method of manufacturing a semiconductor device with separated merged source/drain structure | -- |
11127741 | Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier | -- |
11127742 | Semiconductor device and a method for fabricating the same | -- |
11127743 | Transistor, semiconductor device, electronic apparatus, and method for producing transistor | SONY CORPORATION |
11127744 | Memory devices and methods of forming memory devices | MICRON TECHNOLOGY, INC. |
11127745 | Devices, methods of forming a device, and memory devices | MICRON TECHNOLOGY, INC. |
11127746 | Fin-based strap cell structure for improving memory performance | -- |
11127747 | Transistors including two-dimensional materials | MICRON TECHNOLOGY, INC. |
11127748 | Semiconductor device having contact electrode extending through void | TOSHIBA MEMORY CORPORATION |
11127749 | Single poly non-volatile memory device, method of manufacturing the same and single poly non-volatile memory device array | KEY FOUNDRY CO., LTD. |
11127750 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11127751 | Back gates and related apparatuses, systems, and methods | MICRON TECHNOLOGY, INC. |
11127752 | Structure of semiconductor device and method for fabricating the same | -- |
11127753 | Semiconductor storage device and semiconductor storage device manufacturing method | KIOXIA CORPORATION |
11127754 | Semiconductor storage device | KIOXIA CORPORATION |
11127755 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11127756 | Three-dimensional memory device and manufacturing method thereof | -- |
11127757 | Three-dimensional memory device with source structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11127758 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11127759 | Three-dimensional memory devices containing structures for controlling gate-induced drain leakage current and method of making the same | SANDISK TECHNOLOGIES LLC |
11127760 | Vertical transistor fabrication for memory applications | APPLIED MATERIALS, INC. |
11127761 | TFT array substrate and display panel where the second metallic layer is withdrawn for a distance to prevent problems such as broken film and oxidization | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127762 | Semiconductor device and display including wiring line having protective metal film | JOLED INC. |
11127763 | Display panel and manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127764 | Circuit substrate, method for manufacturing the same, display substrate and tiled display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11127766 | Display panel and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127767 | Array substrate, method for manufacturing the same and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127768 | Array substrate, display panel, display device and method for manufacturing array substrate | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11127769 | Elevated pocket pixels, imaging devices and systems including the same and method of forming the same | MICRON TECHNOLOGY, INC. |
11127770 | Imaging apparatus with phase contrast detection type of focal point detection | CANON KABUSHIKI KAISHA |
11127771 | Solid-state imaging device, method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11127772 | Sensor chip and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11127773 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11127774 | Image sensor and associated fabricating method | -- |
11127775 | Substrate for front side type imager and method of manufacturing such a substrate | SOITEC |
11127776 | Hybrid bonding method for semiconductor wafers and related three-dimensional integrated device | LFOUNDRY S.R.L. |
11127777 | Solid state imaging device | HAMAMATSU PHOTONICS K.K. |
11127778 | Light emitting transducer | FLEXUCELL APS |
11127779 | Light-emitting semiconductor chip and display device | OSRAM OLED GMBH |
11127780 | Display panel | -- |
11127781 | Method of maskless parallel pick-and-place transfer of micro-devices | APPLIED MATERIALS, INC. |
11127782 | Magnetic memory array incorporating selectors and method for manufacturing the same | AVALANCHE TECHNOLOGY, INC. |
11127783 | MRAM, method of manufacturing the same, and electronic device including the MRAM | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11127784 | Integrated circuits with embedded memory structures and methods for fabricating the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11127785 | Cross-point magnetic random access memory with piezoelectric selector | INTEL CORPORATION |
11127786 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11127787 | Magnetic memory cell including two-terminal selector device | AVALANCHE TECHNOLOGY, INC. |
11127788 | Semiconductor device having magnetic tunnel junction (MTJ) stack | -- |
11127789 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127790 | Phase change memory structure and manufacturing method for the same | -- |
11127791 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11127792 | Three dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127793 | Manufacturing methods of three-dimensional vertical memory | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11127794 | Display screens and display devices integrated with the same | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11127795 | Optical unit and organic light emitting display including the same | SAMSUNG DISPLAY CO., LTD. |
11127796 | Display panel with integrated pressure sensor and printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11127797 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
11127798 | Pixel definition layer and manufacturing method thereof, display substrate, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11127799 | Opposite substrate and preparation method thereof, display panel and packaging method | BOE TECHNOLOGY GROUP CO., LTD. |
11127800 | Light emitting device and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127801 | Organic light-emitting diode display substrate, method of manufacturing the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11127802 | Electroluminescence display | LG DISPLAY CO., LTD. |
11127803 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127804 | Display panel, method for manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127805 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127806 | Wiring structure, display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127807 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11127808 | Active device substrate and manufacturing method thereof | -- |
11127809 | Stretchable display panel, stretchable display apparatus, and method of fabricating stretchable display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11127810 | Display device | JAPAN DISPLAY INC. |
11127811 | Display panel with layered transmission pads in non-display area, manufacturing method thereof and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11127812 | Devices with a single metal layer | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11127818 | High voltage transistor with fin source/drain regions and trench gate structure | GLOBALFOUNDRIES U.S. INC. |
11127831 | Transistor structure with overlying gate on polysilicon gate structure and related method | GLOBALFOUNDRIES U.S. INC. |
11127832 | Semiconductor structure and method for forming the same | -- |
11127834 | Gate structures | GLOBALFOUNDRIES U.S. INC |
11127844 | Semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11127853 | Power transistor device including first and second transistor cells having different on-resistances for improved thermal stability | INFINEON TECHNOLOGIES AG |
11127858 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11127859 | Semiconductor device and manufacturing method thereof | -- |
11127862 | Three-dimensional non-volatile memory device and method of manufacturing the same | SK HYNIX INC. |
11127868 | Photo-activated quantum dot capacitor photodetectors | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11127869 | Photoelectric conversion element, optical sensor, imaging element, and compound | FUJIFILM CORPORATION |
11127871 | Structures and methods for forming electrodes of solar cells | SUNPOWER CORPORATION |
11127877 | Method for producing optoelectric semiconductor components, and optoelectronic semiconductor component | OSRAM OLED GMBH |
11127881 | Ultra-dense array of LEDs with half cavities and reflective sidewalls | TECTUS CORPORATION |
11127885 | Array substrate, display panel and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11127888 | Ultra-high color rendering white light-emitting device with controlled emission spectrum and lighting device containing the same | ALLIX CO., LTD. |
11127892 | Reducing parasitic capacitance and coupling to inductive coupler modes | GOOGLE LLC |
11127894 | Spin-orbit-torque magnetization rotating element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11127896 | Shared spin-orbit-torque write line in a spin-orbit-torque MRAM | EVERSPIN TECHNOLOGIES, INC. |
11127899 | Conductive interconnects suitable for utilization in integrated assemblies, and methods of forming conductive interconnects | MICRON TECHNOLOGY, INC. |
11127900 | Variable resistance memory devices, and methods of forming variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127901 | Three-dimensional stacked phase change memory and preparation method thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127902 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127908 | Display device | JAPAN DISPLAY INC. |
11127909 | Photoelectric conversion element, measuring method of the same, solid-state imaging device, electronic device, and solar cell | SONY CORPORATION |
11127910 | Imaging device and electronic apparatus | SONY CORPORATION |
11127911 | Display panel and method of manufacturing thereof | HKC CORPORATION LIMITED |
11127913 | Quantum-dot light emitting diode and quantum-dot light emitting display device | LG DISPLAY CO., LTD. |
11127914 | Light emitting device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
11127918 | Display device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11127919 | OLED light emitting module and display device having metal stack including sandwiched invar alloy, and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127920 | Electroluminescent device having window | SAMSUNG DISPLAY CO., LTD. |
11127921 | Organic light-emitting display apparatus having peripheral area including metal-containing layer and plurality of dams | SAMSUNG DISPLAY CO., LTD. |
11127922 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127923 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127925 | OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127928 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127929 | Display panel and display device comprising the same | LG DISPLAY CO., LTD. |
11127931 | Method for manufacturing display panel | SAMSUNG DISPLAY CO., LTD. |
11127933 | Array substrate and method for manufacturing the same, method for repairing array substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11127934 | Manufacturing method of display panel and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127935 | Display panel, preparation method thereof and display device | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11127990 | Battery module having fixing structure for temperature sensing element | SAMSUNG SDI CO., LTD. |
11128018 | Circuit body and battery module | YAZAKI CORPORATION |
11128029 | Die with embedded communication cavity | INTEL CORPORATION |
11128030 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11128043 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11128056 | Waveguide-fed planar antenna array | THE BOEING COMPANY |
11128070 | Electrical terminal and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11128073 | Plug connector | PHOENIX CONTACT GMBH & CO. KG |
11128111 | Control system and method of mounting a control system | BECKHOFF AUTOMATION GMBH |
11128117 | Protection circuit and operational method of the protection circuit, and semiconductor integrated circuit apparatus | ROHM CO., LTD. |
11128226 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11128233 | Planar converter | -- |
11128301 | High-speed core interconnect for multi-die programmable logic devices | INTEL CORPORATION |
11128553 | Technologies for switching network traffic in a data center | INTEL CORPORATION |
11128791 | Photoelectric conversion element | CANON KABUSHIKI KAISHA |
11128806 | Camera system and traveling control system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11128823 | Imaging apparatus, driving method, and electronic device | SONY CORPORATION |
11128828 | Solid-state imaging apparatus, imaging system, and distance measurement method | SONY CORPORATION |
11128829 | Pixel circuit | -- |
11128831 | Image sensor having sub-diffraction-limit pixels | RAMBUS INC. |
11129269 | Vehicular camera with focus athermalization | MAGNA ELECTRONICS INC. |
11129270 | Control device for an electric machine | ROBERT BOSCH GMBH |
11129271 | Motor, circuit board, and engine cooling module including the motor | JOHNSON ELECTRIC INTERNATIONAL AG |
11129272 | Relay arrangement with improved heat dissipation and converter device having a relay arrangement of this kind | SMA SOLAR TECHNOLOGY AG |
11129273 | Metal-ceramic substrate and method for producing a metal-ceramic substrate | ROGERS GERMANY GMBH |
11129274 | Rollable display device and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11129275 | Power supplies including shielded multilayer power transmission boards | ASTEC INTERNATIONAL LIMITED |
11129276 | Socket assembly, light emitter module, and lighting system | TE CONNECTIVITY NEDERLAND BV |
11129277 | Resilient micro lattice electrical interconnection assembly | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11129278 | Camera module having elasticity and mobile device with the same | SAMSUNG ELECTRONICS CO., LTD. |
11129279 | Optical subassembly and optical module | CIG PHOTONICS JAPAN LIMITED |
11129280 | Electronic component-embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11129281 | Flexible circuit film and electronic device comprising the same | LG DISPLAY CO., LTD. |
11129282 | Method for manufacturing ceramic circuit board | KABUSHIKI KAISHA TOSHIBA |
11129283 | Method of electroplating a circuit board | -- |
11129284 | Display system and rigid flex PCB with flip chip bonded inside cavity | APPLE INC. |
11129290 | Power delivery module for an electronic package | TE CONNECTIVITY SERVICES GMBH |
11129300 | Module and power conversion device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11129302 | Apparatus, system, and method for electromagnetic interference mitigation in split heatsink technologies | JUNIPER NETWORKS, INC. |
11129303 | Cooling of server high-power devices using double-base primary and secondary heat sinks | CISCO TECHNOLOGY, INC. |
11129310 | Semiconductor module, vehicle and manufacturing method | FUJI ELECTRIC CO., LTD. |
11129311 | Electromagnetic compatibility gasket and vent | CISCO TECHNOLOGY, INC. |
11129313 | Electromagnetic-wave shielding film, preparation method, and use thereof | -- |
11129571 | Cardiac waveform sensing | TECHNION RESEARCH & DEVELOPMENT FOUNDATION LIMITED |
11129584 | Radiography apparatus and radiography system | KONICA MINOLTA, INC. |
11130270 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11130299 | Lens-attached substrate, stacked lens structure, camera module, and manufacturing apparatus and method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11130363 | Full graphics in-mold label and applications thereof | IMAGEWORKS INTERACTIVE |
11130861 | Soluble polyfunctional vinyl aromatic copolymer, method for producing same, curable resin composition and cured product thereof | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
11130888 | Stretchable conductor sheet, stretchable conductor sheet having adhesiveness, and method for forming electrical wiring comprising stretchable conductor on fabric | TOYOBO CO., LTD. |
11131693 | Vertical sense devices in vertical trench MOSFET | VISHAY-SILICONIX, LLC |
11131711 | Testing system and method for in chip decoupling capacitor circuits | NVIDIA CORPORATION |
11131723 | Single controller for wearable sensor unit that includes an array of magnetometers | HI LLC |
11131724 | Systems and methods for measuring current output by a photodetector of a wearable sensor unit that includes one or more magnetometers | HI LLC |
11131725 | Interface configurations for a wearable sensor unit that includes one or more magnetometers | HI LLC |
11131757 | High-speed light sensing apparatus | ARTILUX, INC. |
11131758 | Demodulator with a carrier generating pinned photodiode and a method for operating it | SONY DEPTHSENSING SOLUTIONS SA/NV |
11131773 | Lidar unit with an optical link between controller and photosensor layer | OUSTER, INC. |
11131782 | Ionizing radiation detector | STMICROELECTRONICS (CROLLES 2) SAS |
11131792 | Optical film | SHINE OPTOELECTRONICS (KUNSHAN) CO., LTD |
11131878 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11131885 | Light emittng device | NICHIA CORPORATION |
11131887 | Display apparatus, panel switching method and electronic device | MOBVOI INFORMATION TECHNOLOGY COMPANY LIMITED |
11131894 | Display device | -- |
11131895 | Display device | SHARP KABUSHIKI KAISHA |
11131899 | Display apparatus | LG DISPLAY CO., LTD. |
11132024 | Battery architecture in an electronic device | APPLE INC. |
11132027 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11132075 | Touchpad and display screen thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11132077 | Method of routing in a singular direction first traces that are electrically coupled to respective rows of an array of touch sensors between respective second traces that are electrically coupled to respective columns of the array | SENSEL, INC. |
11132081 | Touch circuit, touch display device and driving method thereof | LG DISPLAY CO., LTD. |
11132082 | Multifunctional display | PA.COTTE FAMILY HOLDING GMBH |
11132084 | Touch circuit, touch detection method, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132094 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11132096 | Display device | SAMSUNG DISPLAY CO., LTD. |
11132098 | Electronic panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11132160 | Electronic terminal and display screen having a camera under a display area | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11132808 | OLED display structure and display device, spatial point positioning system and method for positioning spatial point | BOE TECHNOLOGY GROUP CO., LTD. |
11132950 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11132951 | Pixel circuit, pixel driving method and display device | MIANYANG BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11132953 | Display device | SHARP KABUSHIKI KAISHA |
11132955 | Display apparatus | LG DISPLAY CO., LTD. |
11132956 | Display device | SAMSUNG DISPLAY CO., LTD. |
11133028 | Magnetoresistance effect element | TDK CORPORATION |
11133038 | Multi-die peak power management for three-dimensional memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133044 | Interleaved routing for MRAM cell selection | -- |
11133045 | Magnetoresistive random access memory (MRAM) bit cell with a narrow write window distribution | SYNOPSYS, INC. |
11133078 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133128 | System in package module assembly, system in package module, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11133132 | Electronic component and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11133188 | Non-volatile memory semiconductor device with electrostatic discharge protection, planarization layers, and manufacturing method thereof | -- |
11133191 | Method of producing etching mask, etching mask precursor, and oxide layer, and method of manufacturing thin film transistor | JAPAN ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11133209 | Transfer head for micro LED | POINT ENGINEERING CO., LTD. |
11133221 | Method for forming semiconductor device structure with gate electrode layer | -- |
11133223 | Selective epitaxy | -- |
11133224 | Semiconductor structure and method for forming the same | -- |
11133226 | FUSI gated device formation | -- |
11133227 | Semiconductor device having active region and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133228 | Semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11133229 | Forming transistor by selectively growing gate spacer | -- |
11133230 | Semiconductor device with dual isolation liner and method of forming the same | -- |
11133232 | Semiconductor device, method of testing semiconductor device and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133237 | Package with embedded heat dissipation features | -- |
11133240 | Semiconductor device and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11133244 | Semiconductor device package and method for manufacturing the same | -- |
11133252 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11133253 | Semiconductor devices including a thick metal layer | SAMSUNG ELECTRONICS CO., LTD. |
11133254 | Hybrid power rail structure | -- |
11133257 | Bridge interconnection with layered interconnect structures | INTEL CORPORATION |
11133258 | Package with bridge die for interconnection and method forming same | -- |
11133259 | Multi-chip package structure having high density chip interconnect bridge with embedded power distribution network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133261 | Electronic device packaging | INTEL CORPORATION |
11133262 | Semiconductor packages and display devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11133263 | High-density interconnects for integrated circuit packages | INTEL CORPORATION |
11133264 | Electronic system comprising a lower redistribution layer and method for manufacturing such an electronic system | 3DIS TECHNOLOGIES |
11133266 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133267 | Semiconductor device including a peripheral circuit region and memory cell regions | SAMSUNG ELECTRONICS CO., LTD. |
11133269 | Semiconductor package and manufacturing method thereof | -- |
11133270 | Integrated circuit device and fabrication method thereof | -- |
11133272 | Vertically-aligned and conductive dummies in integrated circuit layers for capacitance reduction and bias independence and methods of manufacture | QUALCOMM INCORPORATED |
11133275 | Method for improving wire bonding strength of an image sensor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11133277 | Semiconductor device bonded by bonding pads | SAMSUNG ELECTRONICS CO., LTD. |
11133280 | Integrated circuit chip and configuration adjustment method for the same | -- |
11133281 | Chip to chip interconnect in encapsulant of molded semiconductor package | INFINEON TECHNOLOGIES AG |
11133282 | COWOS structures and methods forming same | -- |
11133283 | Integrated fan-out device | -- |
11133284 | Semiconductor package device | -- |
11133285 | Package-on-package structure having polymer-based material for warpage control | -- |
11133286 | Chip packages and methods of manufacture thereof | -- |
11133287 | Semiconductor package including stacked semiconductor chips and method for fabricating the same | SK HYNIX INC. |
11133288 | Semiconductor package including stacked semiconductor chips | SK HYNIX INC. |
11133289 | Semiconductor package and manufacturing method of semiconductor package having plurality of encapsulating materials | -- |
11133290 | Chip package structure with stacked chips and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133291 | Chip package structure with multi-chip stack | -- |
11133293 | Three-dimensional memory device with three-dimensional phase-change memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133294 | Transparent display panel | -- |
11133295 | Methods for manufacturing a display device | -- |
11133296 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11133297 | Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof | SANDISK TECHNOLOGIES LLC |
11133299 | Gate-lifted NMOS ESD protection device | NXP B.V. |
11133300 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11133301 | Integrated circuit having a MOM capacitor and transistor | -- |
11133302 | Semiconductor carrier with vertical power FET module | -- |
11133303 | Semiconductor device and semiconductor arrangement comprising semiconductor devices | INFINEON TECHNOLOGIES AG |
11133304 | Packaging scheme involving metal-insulator-metal capacitor | -- |
11133305 | Nanosheet P-type transistor with oxygen reservoir | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133306 | Semiconductor device including fin structures and manufacturing method thereof | -- |
11133307 | FinFETs with locally thinned gate structures and having different distances therebetween | -- |
11133308 | Uniform work function metal recess for vertical transistor complementary metal oxide semiconductor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133309 | Multi-threshold voltage gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133310 | Method of making multiple nano layer transistors to enhance a multiple stack CFET performance | TOKYO ELECTRON LIMITED |
11133311 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133312 | Semiconductor device, and method for manufacturing the same | SK HYNIX INC. |
11133313 | Asymmetric semiconductor memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11133314 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133315 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133316 | Semiconductor device having a serpentine shape isolation | -- |
11133317 | DRAM with a hydrogen-supply layer and a high-capacitance embedded capacitor with a cylindrical storage node | SAMSUNG ELECTRONICS CO., LTD. |
11133318 | Semiconductor structure and manufacturing method of the same | -- |
11133319 | Semiconductor device and method for fabricating the same | -- |
11133320 | Method for fabricating semiconductor device | -- |
11133321 | Semiconductor device and method of fabricating the same | -- |
11133322 | Dual-port static random access memory cell layout structure | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11133323 | High-voltage transistor having shielding gate | TOSHIBA MEMORY CORPORATION |
11133324 | Vertical memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133325 | Memory cell structure of a three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133326 | Semiconductor device and method of manufacturing thereof | RENESAS ELECTRONICS CORPORATION |
11133327 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133328 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11133329 | 3D and flash memory architecture with FeFET | -- |
11133330 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133331 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology | STMICROELECTRONICS, INC. |
11133332 | Semiconductor device and manufacturing method of the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133333 | Producing method for thin film transistor with different crystallinities | SAKAI DISPLAY PRODUCTS CORPORATION |
11133334 | Array substrate with stacked gate lines, manufacturing method thereof, and display device with stacked gate lines | BOE TECHNOLOGY GROUP CO., LTD. |
11133335 | Semiconductor device, and display device and electronic device having the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133336 | Semiconductor device and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133337 | Display device and method for manufacturing same | JAPAN DISPLAY INC. |
11133338 | SLT integrated circuit capacitor structure and methods | PSEMI CORPORATION |
11133339 | Image sensor, image capturing apparatus and image processing apparatus | CANON KABUSHIKI KAISHA |
11133340 | Device comprising photodiode and method of making the same | -- |
11133341 | Image pickup apparatus and manufacturing method of image pickup apparatus | OLYMPUS CORPORATION |
11133342 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11133343 | Imaging device and electronic device configured by bonding a plurality of semiconductor substrates including a first multilayer having a first vertical signal line formed in a differrent layer than the layer of a first wiring of a first connection region | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11133344 | Multilevel semiconductor device and structure with image sensors | MONOLITHIC 3D INC. |
11133345 | Active matrix substrate, X-ray imaging panel with the same, and method of manufacturing the same | SHARP KABUSHIKI KAISHA |
11133346 | Stacked-die image sensors with shielding | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11133347 | Active matrix substrate and imaging panel with same | SHARP KABUSHIKI KAISHA |
11133348 | Sensor package structure and sensing module thereof | -- |
11133349 | Short-wave infrared detector array and fabrication methods thereof | IRIS INDUSTRIES SA |
11133350 | Integrated circuit with thermoelectric power supply | TEXAS INSTRUMENTS INCORPORATED |
11133351 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11133352 | Optical wireless communications systems | SAMSUNG ELECTRONICS CO., LTD. |
11133353 | Display device having a reflective portion covered bank sidewall | LG DISPLAY CO., LTD. |
11133354 | Light-emitting display device | LG DISPLAY CO., LTD. |
11133355 | Input sensor and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11133356 | Touch panel, method for manufacturing the same, and touch device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11133357 | Drive circuit arrangement for display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11133358 | Display system comprising an image sensor | ISORG |
11133359 | Integrated layered electronic display sheets for placement on product packaging and in printed media | INURU GMBH |
11133360 | Shift register circuit, display panel, and electronic apparatus | SONY CORPORATION |
11133362 | Display device | SAMSUNG DISPLAY CO., LTD. |
11133363 | Array substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133364 | Light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11133365 | AMOLED double-side display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11133366 | Array substrate and method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133367 | Thin film transistor and fabricating method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133368 | Display module | SAMSUNG DISPLAY CO., LTD. |
11133369 | Flexible display panel and manufacturing method thereof | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11133370 | Organic light emitting diode display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11133371 | Display device | JAPAN DISPLAY INC. |
11133372 | Display device | JAPAN DISPLAY INC. |
11133373 | Display device | SAMSUNG DISPLAY CO., LTD. |
11133375 | Semiconductor substrate with integrated inductive component | TEXAS INSTRUMENTS INCORPORATED |
11133377 | Semiconductor device | ROHM CO., LTD. |
11133380 | Diode structure of a power semiconductor device | INFINEON TECHNOLOGIES AG |
11133383 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133386 | Multi-layer fin structure | -- |
11133387 | FinFETs having dielectric punch-through stoppers | -- |
11133394 | Semiconductor device and manufacturing method thereof | -- |
11133398 | Semiconductor device including sense insulated-gate bipolar transistor | ROHM CO., LTD. |
11133402 | Semiconductor device, method for manufacturing semiconductor device, and electronic appliance having semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133403 | Tunnel field effect transistor | -- |
11133412 | Integrated circuit devices including vertical field-effect transistors (VFETs) | SAMSUNG ELECTRONICS CO., LTD. |
11133414 | Semiconductor device having low Rdson and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11133415 | Gradient doped region of recessed Fin forming a FinFET device | -- |
11133416 | Methods of forming semiconductor devices having plural epitaxial layers | -- |
11133420 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133422 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
11133423 | Optical device and method of manufacturing the same | -- |
11133427 | Light receiving device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11133431 | Light emitting diode with ion implanted resistive area, manufacturing method of light emitting diode with ion implanted resistive area and display device including light emitting diode with ion implanted resistive area | SAMSUNG ELECTRONICS CO., LTD. |
11133432 | Display panel and manufacturing method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11133434 | Image display device | SHARP KABUSHIKI KAISHA |
11133439 | Light emitting device with reflector | BLACK PEAK LLC |
11133446 | Optoelectronic component | OSRAM OLED |
11133450 | Superconducting bump bonds | GOOGLE LLC |
11133451 | Superconducting bump bonds | GOOGLE LLC |
11133452 | Trilayer Josephson junction structure with small air bridge and no interlevel dielectric for superconducting qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133456 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
11133457 | Controllable formation of recessed bottom electrode contact in a memory metallization stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133458 | Multi-bit magnetic memory device | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11133459 | Magnetic element, magnetic memory device, and magnetic sensor | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11133461 | Laminate diffusion barriers and related devices and methods | INTEL CORPORATION |
11133462 | Bottom electrode structure and method of forming the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133463 | Memory cells with asymmetrical electrode interfaces | MICRON TECHNOLOGY, INC. |
11133464 | Conductive amorphous oxide contact layers | 4DS MEMORY, LIMITED |
11133467 | Access devices to correlated electron switch | CERFE LABS, INC. |
11133474 | Disubstituted diaryloxybenzoheterodiazole compounds | ENI S.P.A. |
11133480 | Wearable device comprising strip-shaped substrate and flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11133481 | Method for manufacturing solar cell | LG ELECTRONICS INC. |
11133482 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133483 | Organic light emitting display panel having base dam covering one side of power electrode | SAMSUNG DISPLAY CO., LTD. |
11133484 | OLED lighting apparatus | LG DISPLAY CO., LTD. |
11133485 | Light-emitting device and electrode thereof | -- |
11133488 | Display substrate, display apparatus, and method of fabricating display substrate having enclosure ring in buffer area | BOE TECHNOLOGY GROUP CO., LTD. |
11133489 | Display device, method of manufacturing display device, and electronic device | SONY CORPORATION |
11133491 | Fabrication method of semiconductor device and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133610 | Base of electrical connector and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11133670 | Air gap metal tip electrostatic discharge protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133692 | Power supply unit for aerosol inhaler and control method and program of power supply unit for aerosol inhaler | JAPAN TOBACCO INC. |
11133731 | Printed circuit board and electric filter | AKTIEBOLAGET ELECTROLUX |
11133778 | High current burn-in of solar cells | SUNPOWER CORPORATION |
11133803 | Multiple via structure for high performance standard cells | QUALCOMM INCORPORATED |
11133805 | Superconducting logic circuits | PSIQUANTUM CORP. |
11134210 | Image sensors with optimized control of transfer transistors and electronic apparatuses including the same | SAMSUNG ELECTRONICS CO., LTD. |
11134212 | Photoelectric conversion device, imaging system, and moving unit | CANON KABUSHIKI KAISHA |
11134553 | Lighting memory device and memory module | -- |
11134560 | Heat exchange plate with slotted airfoil fins | XI'AN JIAOTONG UNIVERSITY |
11134561 | Wiring board and method for producing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11134562 | Chip interconnect devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11134563 | Optical module and method for manufacturing the same | LUMENTUM JAPAN, INC. |
11134564 | Transparent PCB and method for manufacturing the same | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
11134565 | Printed circuit board and method for producing the printed circuit board | ENDRESS+HAUSER SE+CO. KG |
11134566 | Apparatus for fabricating stretchable electrical circuit | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11134567 | Embedded component structure and manufacturing method thereof | -- |
11134568 | High-frequency circuit laminate and method for producing same, and B-stage sheet | JSR CORPORATION |
11134569 | PCB transformer | EATON INTELLIGENT POWER LIMITED |
11134570 | Electronic module with a magnetic device | -- |
11134571 | Voltage regulator module | -- |
11134572 | Circuit board structure and method for manufacturing a circuit board structure | IMBERATEK, LLC |
11134573 | Printed wiring-board islands for connecting chip packages and methods of assembling same | INTEL CORPORATION |
11134574 | Double-sided circuit board and method for preparing the same | -- |
11134575 | Dual conductor laminated substrate | GENTHERM GMBH |
11134576 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11134577 | Electronic device including display module including sensor and method of manufacturing said display module | SAMSUNG ELECTRONICS CO., LTD. |
11134591 | Circuit board assemblies for electronic devices | ASTEC INTERNATIONAL LIMITED |
11134598 | 3D packaging with low-force thermocompression bonding of oxidizable materials | SET NORTH AMERICA, LLC |
11134788 | Multi-stage memory sensing | MICRON TECHNOLOGY, INC. |
11134829 | Image pickup apparatus, endoscope, and method for manufacturing image pickup apparatus | OLYMPUS CORPORATION |
11134906 | X-ray detector, mobile device and host device | SAMSUNG ELECTRONICS CO., LTD. |
11135649 | Direct metal printing with stereolithography | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11135669 | Method and device for a high temperature vacuum-safe solder resist utilizing laser ablation of solderable surfaces for an electronic module assembly | CREE, INC. |
11135779 | Ultrasonic bonding apparatus and ultrasonic bonding method using the same | SAMSUNG DISPLAY CO., LTD. |
11135804 | Film for display device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11135831 | Cleaning unit and printing device | FUJI CORPORATION |
11135977 | Display device and rear-view mirror module including the same | SAMSUNG DISPLAY CO., LTD. |
11136257 | Thick-film resistive element paste and use of thick-film resistive element paste in resistor | KOA CORPORATION |
11136259 | Photosensitive glass paste, electronic component, and method for producing electronic component | MURATA MANUFACTURING CO., LTD. |
11136467 | Thermal substrate with high-resistance magnification and positive temperature coefficient | LMS CONSULTING GROUP, LLC |
11136476 | Method of producing anisotropic conductive film and anisotropic conductive film | DEXERIALS CORPORATION |
11137013 | Power supply and signal transmitting device for sensing bolt and sensing bolt device | -- |
11137284 | Position detection sensor that detects an incident position of light comprising plural pixel groups each with plural pixel parts | HAMAMATSU PHOTONICS K.K. |
11137289 | Method and system for machine learning using optical data | LIGHTON |
11137431 | Apparatuses and methods for studying possible effects of dark matter | -- |
11137443 | Systems for probing superconducting circuits including the use of a non-magnetic cryogenic heater | MICROSOFT TECHNOLOGY LICENSING, LLC |
11137504 | Tiled radiation detector | GENERAL ELECTRIC COMPANY |
11137517 | Molded range and proximity sensor with optical resin lens | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11137537 | Area light source assembly, backlight module and liquid crystal display | JRD COMMUNICATION (SHENZHEN) LTD |
11137560 | Semiconductor module, manufacturing method thereof, and communication method using the same | RENESAS ELECTRONICS CORPORATION |
11137581 | Wafer-level homogeneous bonding optical structure and method to form the same | -- |
11137606 | Virtual image display device and image element unit | SEIKO EPSON CORPORATION |
11137620 | Eyewear having biometric sensing | SNAP INC. |
11137628 | Privacy system and method for a vehicle | FORD GLOBAL TECHNOLOGIES, LLC |
11137640 | Lighting device with offset land patterns | SHARP KABUSHIKI KAISHA |
11137647 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11137649 | Display device | SAMSUNG DISPLAY CO., LTD. |
11137657 | Display apparatus | -- |
11137670 | Multicolor display apparatus | NEXDOT |
11137712 | Image forming apparatus including varistor | CANON KABUSHIKI KAISHA |
11137741 | Quality control device and quality control method | HITACHI, LTD. |
11137848 | Display device | SAMSUNG DISPLAY CO., LTD. |
11137862 | Display device | LG DISPLAY CO., LTD. |
11138359 | Method of fabricating a semiconductor device | -- |
11138361 | Integrated circuit and system of manufacturing the same | -- |
11138362 | Integrated circuit layout method and system | -- |
11138428 | Image sensor for vision based human computer interaction | TOBII AB |
11138499 | Applications of back-end-of-line (BEOL) capacitors in compute-in-memory (CIM) circuits | INTEL CORPORATION |
11138911 | Display substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11138919 | Display apparatus having reliable pads | SAMSUNG DISPLAY CO., LTD. |
11138927 | Electronic device having display | SAMSUNG ELECTRONICS CO., LTD. |
11138929 | Display device | SAMSUNG DISPLAY CO., LTD. |
11138930 | Pixel structure, display panel and control method for the display panel | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11138938 | Gate driving circuit and display device | LG DISPLAY CO., LTD. |
11138940 | Scan driver and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11139001 | Control logic assemblies and methods of forming a control logic device | MICRON TECHNOLOGY, INC. |
11139002 | Interconnection for memory electrodes | MICRON TECHNOLOGY, INC. |
11139011 | Semiconductor structure and method for forming the same | -- |
11139012 | Resistive memory device having read currents for a memory cell and a reference cell in opposite directions | SAMSUNG ELECTRONICS CO., LTD. |
11139025 | Multi-level cell threshold voltage operation of one-selector-one-resistor structure included in a crossbar array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139037 | Semiconductor memory device including a first memory cell and a second memory cell that share a well region | KIOXIA CORPORATION |
11139038 | Neighboring or logical minus word line dependent verify with sense time in programming of non-volatile memory | SANDISK TECHNOLOGIES LLC |
11139041 | Stacked semiconductor device and test method thereof | SK HYNIX INC. |
11139089 | Stretchable interconnects for flexible electronic surfaces | ALPHA ASSEMBLY SOLUTIONS INC. |
11139105 | Coil component and electronic device | TAIYO YUDEN CO., LTD. |
11139115 | Surface mount multilayer coupling capacitor and circuit board containing the same | AVX CORPORATION |
11139197 | Method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139204 | Semiconductor device comprised of contact plugs having pillar portions and protrusion portions extending from the pillar portions | SK HYNIX INC. |
11139206 | Semiconductor device with conductive shielding structure | -- |
11139210 | Bonding support structure (and related process) for wafer stacking | -- |
11139211 | Selective NFET/PFET recess of source/drain regions | -- |
11139212 | Semiconductor arrangement and method for making | -- |
11139213 | Method of making 3D source drains with hybrid stacking for optimum 3D logic layout | TOKYO ELECTRON LIMITED |
11139215 | Hybrid gate stack integration for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139217 | Post-production substrate modification with FIB deposition | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11139219 | Bypass thyristor device with gas expansion cavity within a contact plate | ABB SCHWEIZ AG |
11139223 | Semiconductor device and manufacturing method thereof | -- |
11139228 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139229 | Package-on-package semiconductor assemblies and methods of manufacturing the same | MICRON TECHNOLOGY, INC. |
11139231 | Radio frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11139234 | Package carrier and manufacturing method thereof | -- |
11139237 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11139240 | Semiconductor module and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11139241 | Integrated circuit device with crenellated metal trace layout | INTEL CORPORATION |
11139243 | Semiconductor memory device | -- |
11139246 | Semiconductor device with aligned vias | TOSHIBA MEMORY CORPORATION |
11139247 | Interconnection structure, semiconductor package and method of manufacturing the same | -- |
11139248 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11139249 | Semiconductor devices and methods of forming the same | -- |
11139250 | Integrated module with electromagnetic shielding | QORVO US, INC. |
11139253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11139255 | Protection of integrated circuits | STMICROELECTRONICS (ROUSSET) SAS |
11139257 | Methods related to dual-sided module with land-grid array (LGA) footprint | SKYWORKS SOLUTIONS, INC. |
11139258 | Bonding pads with thermal pathways | MICRON TECHNOLOGY, INC. |
11139260 | Plurality of stacked pillar portions on a semiconductor structure | -- |
11139263 | Semiconductor device | VOLKSWAGEN AKTIENGESELLSCHAFT |
11139264 | Die interconnect substrates, a semiconductor device and a method for forming a die interconnect substrate | INTEL CORPORATION |
11139265 | Anisotropic conductive film and connected structure | DEXERIALS CORPORATION |
11139266 | Manufacturing method for electronic component, and electronic component | MURATA MANUFACTURING CO., LTD. |
11139268 | Semiconductor package structure and method of manufacturing the same | -- |
11139269 | Mixed under bump metallurgy (UBM) interconnect bridge structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139270 | Artificial intelligence processor with three-dimensional stacked memory | KEPLER COMPUTING INC. |
11139271 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11139272 | Bonded assembly containing oxidation barriers and/or adhesion enhancers and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11139273 | Dynamically configurable multi-chip package | INTEL CORPORATION |
11139274 | Semiconductor device package and method of manufacturing the same | -- |
11139275 | Semiconductor device and method of manufacturing the same | KIOXIA CORPORATION |
11139277 | Semiconductor device including contact fingers on opposed surfaces | WESTERN DIGITAL TECHNOLOGIES, INC. |
11139278 | Low parasitic inductance power module and double-faced heat-dissipation low parasitic inductance power module | YANGZHOU GUOYANG ELECTRONIC CO., LTD. |
11139279 | Light-emitting diode device | -- |
11139280 | Light emitting device | TURRAMURRA |
11139281 | Molded underfilling for package on package devices | -- |
11139282 | Semiconductor package structure and method for manufacturing the same | -- |
11139283 | Abstracted NAND logic in stacks | XCELSIS CORPORATION |
11139284 | Display panel and display device having at least one display area reused as a sensor reserved area | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11139285 | Semiconductor package | -- |
11139286 | Semiconductor device including a capacitor structure and a thin film resistor and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11139287 | Transient voltage suppression device with thermal cutoff | LITTEFLUSE SEMICONDUCTOR (WUXI) CO., LTD. |
11139288 | Silicon-controlled-rectifier electrostatic protection structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139289 | Circuit-protection devices | MICRON TECHNOLOGY, INC. |
11139290 | High voltage cascode HEMT device | -- |
11139291 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11139292 | Conductivity modulated drain extended MOSFET | TEXAS INSTRUMENTS INCORPORATED |
11139293 | Photon detector | HAMAMATSU PHOTONICS K.K. |
11139294 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139295 | Fin field effect transistor (FinFET) device and method | -- |
11139296 | CMOS circuit with vertically oriented n-type transistor and method of providing same | INTEL CORPORATION |
11139297 | Circuit arrangement, redistribution board, module and method of fabricating a half-bridge circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11139298 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139299 | FinFET based ZRAM with convex channel region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139300 | Three-dimensional memory arrays with layer selector transistors | INTEL CORPORATION |
11139301 | Semiconductor device including side surface conductor contact | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139302 | Integrated assemblies comprising spaces between bitlines and comprising conductive plates operationally proximate the bitlines, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11139303 | Integrated circuit with vertically structured capacitive element, and its fabricating process | STMICROELECTRONICS (ROUSSET) SAS |
11139304 | Manufacturing method of semiconductor memory device | -- |
11139305 | Recessed access device and manufacturing method thereof | -- |
11139306 | Memory device and method for fabricating the same | -- |
11139307 | Vertical field effect transistor including integrated antifuse | SAMSUNG ELECTRONICS CO., LTD. |
11139308 | Atomic layer deposition of III-V compounds to form V-NAND devices | ASM IP HOLDING B.V. |
11139309 | Integrated circuitry, arrays of capacitors of integrated circuitry, and methods used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
11139310 | Semiconductor memory device, electronic apparatus, and method of reading data | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11139311 | Semiconductor non-volatile memory devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11139312 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139313 | Method of manufacturing semiconductor memory | TOKYO ELECTRON LIMITED |
11139314 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139315 | Ferroelectric transistor | QUALCOMM INCORPORATED |
11139316 | LTPS array substrate and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139317 | Array substrate, touch panel and manufacturing method of array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11139318 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139319 | Array substrate and display device | -- |
11139320 | Display device and method of manufacturing same | SAMSUNG DISPLAY CO., LTD. |
11139321 | Drive backplane, display panel, electronic apparatus, and method for preparing drive backplane | BOE TECHNOLOGY GROUP CO., LTD. |
11139322 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139323 | Digital circuit having correcting circuit and electronic apparatus thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139324 | Method of manufacturing array substrate and display panel | HKC CORPORATION LIMITED |
11139325 | Solid-state imaging device, imaging apparatus, and electronic apparatus | SONY CORPORATION |
11139326 | Photodetector, photodetection device, laser imaging detection and ranging apparatus | KABUSHIKI KAISHA TOSHIBA |
11139327 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139328 | Manufacture of semiconductor module with transparent molding component | SUNNY OPOTECH NORTH AMERICA INC. |
11139329 | Solid-state imaging element, manufacturing method, and electronic apparatus | SONY CORPORATION |
11139330 | Photoelectric conversion apparatus, camera, and moving body | CANON KABUSHIKI KAISHA |
11139331 | Semiconductor device and semiconductor-device manufacturing method | SONY CORPORATION |
11139332 | Solid-state imaging device, manufacturing method thereof, and electronic apparatus | SONY CORPORATION |
11139334 | Solid-state image pickup device | CANON KABUSHIKI KAISHA |
11139335 | Assembly for detecting electromagnetic radiation and method of producing an assembly for detecting electromagnetic radiation | AMS INTERNATIONAL AG |
11139336 | Method for fabricating a throughput-scalable analytical system for molecule detection and sensing | GENESENSE TECHNOLOGY INC. |
11139337 | Solid-state image pickup device, manufacturing method, and electronic apparatus | SONY CORPORATION |
11139338 | Wafer level light-emitting diode array | SEOUL VIOSYS CO., LTD. |
11139339 | Array substrate, method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139340 | Spin element and reservoir element | TDK CORPORATION |
11139341 | Protection of MRAM from external magnetic field using magnetic-field-shielding structure | -- |
11139342 | UV-LED and display | NITRIDE SEMICONDUCTORS CO., LTD. |
11139343 | Display device | LG DISPLAY CO., LTD. |
11139345 | Display panel, display apparatus, and method of fabricating display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11139346 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11139347 | Display device and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11139348 | Display device | SAMSUNG DISPLAY CO., LTD. |
11139349 | Display apparatus, panel switching method and electronic device | MOBVOI INFORMATION TECHNOLOGY COMPANY LIMITED |
11139350 | Display panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11139351 | Organic light emitting diode display and method for manufacturing the same utilizing a spacer over a pixel defining layer | SAMSUNG DISPLAY CO., LTD. |
11139352 | Organic light emitting diode display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139353 | Display panels, display devices, and methods for manufacturing display panels | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11139354 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11139355 | Display panel having stress releasing structure and method for manufacturing same | -- |
11139356 | Array substrate and manufacturing method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139357 | Organic light-emitting diode display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139358 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139359 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139360 | Display panel including display signal pads and sensing signal pads mounted on the display panel sidewall | SAMSUNG DISPLAY CO., LTD. |
11139361 | Array substrate with metal traces, method of manufacturing same, and display panel | -- |
11139362 | Display panel with asymmetrically disposed pads | WUHAN CHINA STAR OPTOELECTRONICS |
11139363 | Display device for preventing cracks caused by bending stress and apparatus for manufacturing the same for reducing number of mask process | LG DISPLAY CO., LTD. |
11139364 | Display panel and method of producing same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139366 | Semiconductor device and method of manufacturing the same | ABLIC INC. |
11139368 | Trench capacitor having improved capacitance and fabrication method thereof | HEFECHIP CORPORATION LIMITED |
11139370 | Dielectric and isolation lower fin material for fin-based electronics | INTEL CORPORATION |
11139378 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139381 | Semiconductor device with gate-all-around (GAA) FETs having inner insulating spacers | -- |
11139382 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139384 | Method for fabricating semiconductor device | -- |
11139386 | Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11139387 | Semiconductor device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11139389 | Magnetic state element and circuits | INTEL CORPORATION |
11139395 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11139399 | Vertical transistor with self-aligned gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139401 | Vertical thin film transistor structures with localized gate dielectric | INTEL CORPORATION |
11139402 | Crystal orientation engineering to achieve consistent nanowire shapes | SYNOPSYS, INC. |
11139404 | Photosensor | -- |
11139411 | High sensitivity semiconductor device for detecting fluid chemical species and related manufacturing method | STMICROELECTRONICS S.R.L. |
11139415 | Method for producing an optoelectronic device and optoelectronic device | OSRAM OLED GMBH |
11139416 | Method for manufacturing LED display device and LED display panel | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11139417 | Display with replacement electrodes within pixel array for enhanced current spread | FACEBOOK TECHNOLOGIES, LLC |
11139420 | LED package structure | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11139422 | Thermoelectric conversion material, thermoelectric conversion element, thermoelectric conversion module and optical sensor | SUMITOMO ELECTRIC INDUSTRIES. LTD. |
11139423 | Methods for fabrication, manufacture and production of energy harvesting components and devices | FACE INTERNATIONAL CORPORATION |
11139428 | Magnetoresistive random access memory | -- |
11139430 | Phase change random access memory and method of manufacturing | -- |
11139431 | Horizontal memory array structure with scavenger layer | -- |
11139434 | Improving stability of thin film transistors | FLEXENBLE LIMITED |
11139440 | Thin film transistor and method of manufacturing the same and thin film transistor array panel and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11139443 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11139444 | Organic electroluminescent devices containing a near-infrared down-conversion layer | UNIVERSAL DISPLAY CORPORATION |
11139447 | Light emitting layer structure and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139450 | Display substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139451 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11139453 | Flexible display panel having blocking walls | BOE TECHNOLOGY GROUP CO., LTD. |
11139454 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11139458 | Organic electroluminescent display device | FUJIFILM CORPORATION |
11139459 | Display panel motherboard and method of manufacturing display panel motherboard | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139629 | Method for manufacturing electrically conductive adhesive film, electrically conductive adhesive film, and method for manufacturing connector | DEXERIALS CORPORATION |
11139651 | Imaging panel and imaging panel substrate assembly | SHARP KABUSHIKI KAISHA |
11139695 | Flat panel substrate with integrated antennas and wireless power transmission system | OSSIA INC. |
11139748 | Power module, power converter device, and electrically powered vehicle | HITACHI ASTEMO, LTD. |
11140250 | Display control method, device and electronic apparatus | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11140309 | Image sensor including light shielding layer and patterned dielectric layer | -- |
11140342 | Solid-state image pickup device and electronic apparatus | SONY CORPORATION |
11140723 | Patch on interposer package with wireless communication interface | INTEL CORPORATION |
11140767 | Conductive thermal management architecture for electronic modules in a two-card assembly | HAMILTON SUNDSTRAND CORPORATION |
11140768 | Component carrier with high passive intermodulation performance | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11140769 | Flexible circuit board and method for manufacturing the same | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
11140770 | Printed circuit board assembly | INTEL CORPORATION |
11140771 | Electronic device, and method and system for compensating stress-sensitive parameter | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11140772 | Printed circuit board including warpage offset regions and semiconductor packages including the same | SAMSUNG ELECTRONICS CO., LTD. |
11140773 | Substrate structure with high reflectance and method for manufacturing the same | -- |
11140774 | Printed circuit board and method for processing a printed circuit board | VITESCO TECHNOLOGIES GMBH |
11140775 | Circuit board and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11140776 | Method of making a rigid/flex circuit board | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
11140777 | Interface circuit, chip containing interface circuit and manufacturing method thereof | SHENZHEN SANDIYIXIN ELECTRONIC CO., LTD. |
11140778 | Multilayer ceramic substrate and method of manufacturing multilayer ceramic substrate | MURATA MANUFACTURING CO., LTD. |
11140779 | Laminate materials with embedded heat-generating multi-compartment microcapsules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11140780 | Networking cards with increased performance | MELLANOX TECHNOLOGIES, LTD. |
11140781 | Electronic device | TDK CORPORATION |
11140782 | Display device and electronic appliance | SHARP KABUSHIKI KAISHA |
11140783 | Apparatus for making wiring board | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11140784 | Printed wiring board and manufacturing method thereof | SUMITOMO ELECTRIC PRINTED CIRCUITS, INC. |
11140785 | Flexible printed circuit board | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
11140786 | Thermal interface adhesion for transfer molded electronic components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11140787 | Connecting an electronic component to an interactive textile | GOOGLE LLC |
11140788 | Component mounting method, component mounting system, and manufacturing method of component mounting board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11140790 | Display device | SAMSUNG DISPLAY CO., LTD. |
11140792 | Display device | -- |
11140793 | Electronic module with improved moisture protection | SAFRAN ELECTRONICS & DEFENSE |
11141567 | Electrical arrangements for sensor assemblies in electromagnetic navigation systems | BOSTON SCIENTIFIC SCIMED, INC. |
11141755 | Piezoelectric jetting system and method with amplification mechanism | NORDSON CORPORATION |
11141822 | System and method for assembling hook type heatsink | ARRIS ENTERPRISES LLC |
11141890 | Substrate including nano/micro structure, method for manufacturing the same, method for refining nano/micro structure, method for manufacturing nano/micro structure network, and manufacturing apparatus therefor | LG DISPLAY CO., LTD. |
11141902 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11142138 | Printed circuit board and light guide for electronics assembly | DUS OPERATING INC. |
11142611 | Aliphatic polycarbonate resin for forming partition, partition material, substrate and production method therefor, production method for wiring substrate, and wiring forming method | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11142685 | Cadmium free quantum dots | SAMSUNG ELECTRONICS CO., LTD. |
11142693 | Nanoplatelet | SAMSUNG ELECTRONICS CO., LTD. |
11142694 | Etchant composition and method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11142825 | Coating of nano-scaled cavities | AVERATEK CORPORATION |
11143387 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11143549 | Electronic packaging structure and method for manufacturing the electronic packaging structure with optical guide die separate from electronic package and photonic die | -- |
11143552 | Method and apparatus to mitigate ripple in a time of flight (TOF) system | TEXAS INSTRUMENTS INCORPORATED |
11143689 | Method and system for data collection and analysis for semiconductor manufacturing | OPTIMAL PLUS LTD. |
11143693 | Systems having dedicated light emitting diodes for performance characterization | FACEBOOK TECHNOLOGIES, LLC |
11143711 | Apparatus and method for detecting wiring short in substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11143802 | Display device and electronic apparatus | SONY GROUP CORPORATION |
11143806 | Electronic devices having pixels with elevated fill factors | APPLE INC. |
11143807 | Method of manufacturing light emitting module and light emitting module | NICHIA CORPORATION |
11143827 | Light receiving element unit | KYOTO SEMICONDUCTOR CO., LTD. |
11143862 | Pattern drawing device, pattern drawing method, and method for manufacturing device | NIKON CORPORATION |
11143891 | Display device | SAMSUNG DISPLAY CO., LTD. |
11143905 | Display panel and manufacturing method thereof, display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11143913 | Display apparatus and backlight unit thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11143920 | Display panel | -- |
11143921 | Display device | LG DISPLAY CO., LTD. |
11143923 | Display device | JAPAN DISPLAY INC. |
11143925 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11143927 | Display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11143961 | Resist composition | THE UNIVERSITY OF MANCHESTER |
11144142 | Flexible touch screen, manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY GROUP CO., LTD. |
11144145 | Touch display device | -- |
11144704 | Layout checking system and method | -- |
11144745 | Optical fingerprint sensing module and electronic device | -- |
11145248 | Display device and method for manufacturing same | SAMSUNG DISPLAY CO., LTD. |
11145250 | Organic light emitting display device reliably compensating threshold voltage of a driving transistor and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11145287 | Systems and methods for using dynamic noise generation to enhance user acoustic experience | DELL PRODUCTS L.P. |
11145345 | Storage element, semiconductor device, magnetic recording array, and method of producing storage element | TDK CORPORATION |
11145346 | Memory device | KIOXIA CORPORATION |
11145347 | Memory device and memory circuit | -- |
11145352 | Memory with adjustable TSV delay | MICRON TECHNOLOGY, INC. |
11145360 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11145361 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11145371 | Semiconductor memory device | KIOXIA CORPORATION |
11145379 | Electronic fuse cell array structure | KEY FOUNDRY CO., LTD. |
11145384 | Memory devices and methods for managing error regions | MICRON TECHNOLOGY, INC. |
11145439 | Display device, and insertion and plugging protection device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11145510 | FinFET device over convex insulating structure | -- |
11145536 | Gate dielectric preserving gate cut process | -- |
11145537 | Silicon-on-insulator substrate including trap-rich layer and methods for making thereof | TEMPE |
11145539 | Shallow trench isolation for integrated circuits | -- |
11145545 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11145546 | Method of forming semiconductor device | -- |
11145547 | Semiconductor chip suitable for 2.5D and 3D packaging integration and methods of forming the same | QORVO US, INC. |
11145549 | Field effect transistor with an atomically thin channel | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11145550 | Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145551 | FinFET devices | TESSERA, INC. |
11145553 | Nonplanar device and strain-generating channel dielectric | -- |
11145554 | Method for source/drain contact formation in semiconductor devices | -- |
11145555 | Gate-last process for vertical transport field-effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145558 | Manufacturing method of semiconductor module | FUJI ELECTRIC CO., LTD. |
11145560 | Semiconductor device and methods of manufacturing | -- |
11145561 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145566 | Stacked silicon package assembly having thermal management | XILINX, INC. |
11145569 | Module equipped with a heat dissipation member | MURATA MANUFACTURING CO., LTD. |
11145571 | Heat transfer for power modules | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145573 | Semiconductor package including a pad pattern | SAMSUNG ELECTRONICS CO., LTD. |
11145575 | Conductive bonding layer with spacers between a package substrate and chip | UTAC HEADQUARTERS PTE. LTD. |
11145579 | Thermally enhanced electronic packages for GaN power integrated circuits | NAVITAS SEMICONDUCTOR LIMITED |
11145580 | IoT and AI system package with solid-state battery enhanced performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145583 | Method to achieve variable dielectric thickness in packages for better electrical performance | INTEL CORPORATION |
11145590 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11145594 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11145597 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11145599 | Method of fabricating a memory device having multiple metal interconnect lines | -- |
11145602 | Alignment mark structure and method of fabricating the same | -- |
11145603 | Integrated circuit packaging system with shielding and method of manufacture thereof | STATS CHIPPAC PTE. LTD. |
11145608 | Detection of laser-based security attacks | QUALCOMM INCORPORATED |
11145609 | Doherty amplifier with surface-mount packaged carrier and peaking amplifiers | NXP USA, INC. |
11145611 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145616 | Semiconductor device, power conversion apparatus, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11145617 | Semiconductor structure | -- |
11145621 | Semiconductor package device and method of manufacturing the same | -- |
11145622 | Discrete polymer in fan-out packages | -- |
11145623 | Integrated circuit packages and methods of forming the same | -- |
11145624 | Semiconductor device package and method for manufacturing the same | -- |
11145625 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11145626 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11145627 | Semiconductor package and manufacturing method thereof | -- |
11145628 | Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11145629 | Semiconductor device and power conversion device | ROHM CO., LTD. |
11145630 | Light emitting diode panel and manufacturing method thereof | -- |
11145631 | Display devices and methods of making the same | FACEBOOK TECHNOLOGIES, LLC |
11145632 | High density die package configuration on system boards | INTEL CORPORATION |
11145633 | Semiconductor package and manufacturing method thereof | -- |
11145634 | Power converter | MITSUBISHI ELECTRIC CORPORATION |
11145635 | LED display unit | SHENZHEN GLOSHINE TECHNOLOGY CO., LTD. |
11145636 | Method for making inkjet-printed encapsulated quantum dots, light conversion unit, and micro LED display panel | -- |
11145637 | Semiconductor package including a substrate having two silicon layers formed on each other | SAMSUNG ELECTRONICS CO., LTD. |
11145638 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11145639 | Semiconductor package and manufacturing method thereof | -- |
11145640 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11145641 | Electrostatic discharge protection device | -- |
11145642 | Single-stack bipolar-based ESD protection device | NXP USA, INC. |
11145643 | Semiconductor device, method for manufacturing semiconductor device, and PID protection device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11145644 | Power device with carrier lifetime zone | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145645 | Multi-stack three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11145646 | Semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11145647 | Tipless transistors, short-tip transistors, and methods and circuits therefor | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11145648 | Enhancement/depletion device pairs and methods of producing the same | INTEL CORPORATION |
11145649 | Semiconductor devices with low parasitic capacitance | QUALCOMM INCORPORATED |
11145650 | Gate cut dielectric feature and method of forming the same | -- |
11145651 | Transistor gate arrangement to modify driver signal characteristics | ARM LIMITED |
11145652 | Increasing thickness of functional layer according to increasing recess area | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145654 | Field effect transistor (FET) comprising channels with silicon germanium (SiGe) | QUALCOMM INCORPORATED |
11145655 | Memory device with reduced-resistance interconnect | -- |
11145656 | Transistors, arrays of transistors, arrays of memory cells individually comprising a capacitor and an elevationally-extending transistor, and methods of forming an array of transistors | MICRON TECHNOLOGY, INC. |
11145657 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11145658 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145659 | Semiconductor structure and method of forming the same | -- |
11145660 | Dual-port SRAM cell structure | -- |
11145661 | Static random access memory (SRAM) and forming method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145662 | Memory structure | -- |
11145663 | Method for fabricating a ferroelectric memory and method for co-fabrication of a ferroelectric memory and of a resistive memory | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11145664 | Ferroelectric memory IC as well as method of operating the same and method of preparing the same | FUDAN UNIVERSITY |
11145665 | Electrical storage device with negative capacitance | NAMLAB GGMBH |
11145666 | Staircase structure for memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11145667 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11145668 | EEPROM cell and array having stacked nanosheet field effect transistors with a common floating gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145669 | Semiconductor devices including a contact structure that contacts a dummy channel structure | SAMSUNG ELECTRONICS CO., LTD. |
11145670 | Semiconductor storage device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11145671 | Three-dimensional semiconductor memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145672 | Semiconductor device including stack structures having gate pads with different thicknesses | SAMSUNG ELECTRONICS CO., LTD. |
11145673 | Semiconductor apparatus with multiple tiers, and methods | MICRON TECHNOLOGY, INC. |
11145674 | 3D memory device and method of manufacturing the same | -- |
11145675 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
11145676 | Memory device and multi-level memory cell having ferroelectric storage element and magneto-resistive storage element | -- |
11145677 | Fully depleted semiconductor-on-insulator transistors with different buried dielectric layer charges and different threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145678 | Method for manufacturing semiconductor device | -- |
11145679 | Method for manufacturing active matrix board | SHARP KABUSHIKI KAISHA |
11145680 | Display panel and display device | LG DISPLAY CO., LTD. |
11145681 | Display panel and display device applying the same | HKC CORPORATION LIMITED |
11145682 | Array substrate and method for fabricating the same, display panel, display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11145683 | Hybrid high-k dielectric material film stacks comprising zirconium oxide utilized in display devices | APPLIED MATERIALS, INC. |
11145684 | Array substrate, liquid crystal panel and liquid crystal display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145685 | Image capturing device and camera | CANON KABUSHIKI KAISHA |
11145686 | Semiconductor photodetector device with protection against ambient back light | AMS AG |
11145687 | Display having infrared element arranged such that at least one portion thereof overlaps pixel, and electronic device including same | SAMSUNG ELETRONICS CO., LTD |
11145688 | Active matrix substrate and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11145689 | Indicia for light emitting diode chips | CREELED, INC. |
11145690 | Memory device and manufacturing method thereof | -- |
11145691 | Organic light-emitting display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11145692 | Hybrid wearable organic light emitting diode (OLED) illumination devices | UNIVERSAL DISPLAY CORPORATION |
11145693 | Display substrate, fine metal mask set and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145694 | Electroluminescent device and method of fabricating same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145695 | Display screen, mobile terminal and display method | VIVO MOBILE COMMUNICATION CO., LTD. |
11145696 | Display using passive matrix organic light emitting diode | SOLOMON SYSTECH (SHENZHEN) LTD. |
11145697 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11145698 | Display panel and display device | -- |
11145699 | Pixel define structure, display panel and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11145700 | Organic light-emitting diode display with pixel definition layers | APPLE INC. |
11145701 | Display device and method for manufacturing the same | SONY CORPORATION |
11145702 | Boundary panel layout for artifact compensation in multi-pixel density display panel | GOOGLE LLC |
11145703 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11145704 | Organic light emitting diode display and method of manufacturing thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145705 | Display device and method for manufacturing the same | JAPAN DISPLAY INC. |
11145706 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11145707 | Organic light emitting diode display panel, trace structure and organic light emitting diode display apparatus | WUHAN CHINA STAR OPOTELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
11145708 | Display device | SAMSUNG DISPLAY CO., LTD. |
11145709 | Semiconductor device including a capacitor | -- |
11145710 | Electrode/dielectric barrier material formation and structures | MICRON TECHNOLOGY, INC. |
11145713 | High voltage metal-oxide-semiconductor (HVMOS) device integrated with a high voltage junction termination (HVJT) device | -- |
11145714 | Semiconductor device | ROHM CO., LTD. |
11145715 | Semiconductor structure and method of forming same | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11145722 | Heavily doped buried layer to reduce MOSFET off capacitance | ANALOG DEVICES, INC. |
11145723 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11145729 | Semiconductor device | -- |
11145730 | Semiconductor device and method for manufacturing the same | -- |
11145731 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145732 | Field-effect transistors with dual thickness gate dielectrics | INTEL CORPORATION |
11145736 | Semiconductor device with electrically connected doping regions and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145737 | Selector devices | INTEL CORPORATION |
11145739 | Field effect transistors with a gated oxide semiconductor source/drain spacer | INTEL CORPORATION |
11145744 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11145757 | Integrated circuit devices including a vertical field-effect transistor (VFET) and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11145763 | Vertical switching device with self-aligned contact | INTEL CORPORATION |
11145764 | Display device | JAPAN DISPLAY INC. |
11145766 | Active-matrix substrate and display device | SHARP KABUSHIKI KAISHA |
11145767 | Semiconductor structure | -- |
11145768 | Trench capacitor component with reduced equivalent series resistance and equivalent series inductance | QUALCOMM INCORPORATED |
11145773 | Light receiving element unit | KYOTO SEMICONDUCTOR CO., LTD. |
11145778 | Monolithic silicon photomultiplier array | WAYMO LLC |
11145779 | Germanium photodiode | STMICROELECTRONICS (CROLLES 2) SAS |
11145780 | Single photon avalanche gate sensor device | STMICROELECTRONICS (CROLLES 2) SAS |
11145781 | Light reception/emission element module and sensor device | KYOCERA CORPORATION |
11145784 | Light-emitting thyristor, light-emitting thyristor array, exposure head, and image forming apparatus | CANON KABUSHIKI KAISHA |
11145786 | Methods for wafer-to-wafer bonding | FACEBOOK TECHNOLOGIES, LLC |
11145788 | Micro LED transfer head | POINT ENGINEERING CO., LTD. |
11145793 | Light emitting diode with high melanopic spectral content | LUMILEDS LLC |
11145795 | Light emitting apparatus and method for manufacturing same | CITIZEN ELECTRONICS CO., LTD. |
11145796 | Compact opto-electronic modules and fabrication methods for such modules | AMS SENSORS SINGAPORE PTE. LTD. |
11145798 | Display apparatus | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11145799 | LED module having LED chips as light source | ROHM CO., LTD. |
11145802 | Multiple step edge fabrication | COMMONWEALTH SCIENTIFIC AND INDUSTRIAL RESEARCH ORGANISATION |
11145805 | Topological spin textures in 3-dimensional magnetic structures | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11145806 | Magnetic tunnel junction with reduced damage | -- |
11145807 | Electronic device | SK HYNIX INC. |
11145808 | Methods for etching a structure for MRAM applications | APPLIED MATERIALS, INC. |
11145809 | Multiple spacer assisted physical etching of sub 60nm MRAM devices | -- |
11145810 | Memory device | TOSHIBA MEMORY CORPORATION |
11145811 | Resistive memory with core and shell oxides and interface dipoles | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145813 | Bottom electrode for semiconductor memory device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145814 | Phase change memory with conductive bridge filament | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145815 | Nano memory device | SOUTH DAKOTA BOARD OF REGENTS |
11145816 | Resistive random access memory cells integrated with vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145820 | Organic optoelectronic device and display device | SAMSUNG SDI CO., LTD. |
11145822 | Compound and photoelectric device, image sensor, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11145829 | Organic electroluminescent device, organic electroluminescent display device including the same, and organometallic compound for organic electroluminescent device | SAMSUNG DISPLAY CO., LTD. |
11145833 | Stretchable substrate structure and the manufacturing method thereof, stretchable display and the manufacturing method thereof, and operating method of stretchable display | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION, SEJONG CAMPUS |
11145835 | Imaging device having a photoelectric conversion layer | SONY CORPORATION |
11145836 | OLED display device and manufacturing method for the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145839 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11145840 | Display screen assembly structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145841 | Organic electroluminescence display device having an inorganic layer including a conductive material | JAPAN DISPLAY INC. |
11145842 | Organic light emitting diode display panel comprising multi-layer encapsulation | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145843 | Organic light emitting diode | SAMSUNG DISPLAY CO., LTD. |
11145846 | Apparatus and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11145867 | Surface treated copper foil | -- |
11145936 | Battery interconnect assembly and method | FORD GLOBAL TECHNOLOGIES, LLC |
11145966 | Over-molded thin film antenna device | PCTEL, INC. |
11145977 | Interlocking modular beamformer | RAYTHEON COMPANY |
11146147 | Motor power pack with overmolded printed circuit board integrated connector | DUS OPERATING, INC. |
11146148 | BLDC motor integrated with inverter | HANON SYSTEMS |
11146224 | Broadband power combining arrangement | COMET AG |
11146260 | Circuit and method to manage and recover from bias temperature instability | HYGON INFORMATION TECHNOLOGY CO., LTD. |
11146712 | Method for manufacturing camera modules and a camera module array | ROBERT BOSCH GMBH |
11146713 | Vehicular camera and lens assembly | MAGNA ELECTRONICS INC. |
11146745 | Electronic devices having displays with infrared components behind the displays | ARRAY PHOTONICS, INC. |
11146750 | Solid-state image sensing device | SHARP KABUSHIKI KAISHA |
11146752 | Solid-state imaging apparatus, driving method of the solid-state imaging apparatus, and electronic equipment | SONY CORPORATION |
11146781 | In-layer signal processing | LOCKHEED MARTIN CORPORATION |
11146873 | Display device | LG ELECTRONICS INC. |
11146893 | Sensor system, sensor arrangement, and assembly method using solder for sealing | TE CONNECTIVITY SOLUTIONS GMBH |
11147137 | Lighting apparatus a pi-filter and non-isolated switch driving circuit and a base comprising a metal connector | XIAMEN ECO LIGHTING CO. LTD. |
11147153 | Thermal conductivity for integrated circuit packaging | INTEL CORPORATION |
11147154 | Multi input and multi output antenna apparatus | KMW INC. |
11147155 | Electronic apparatus equipped with flexible boards | CANON KABUSHIKI KAISHA |
11147156 | Composite member, heat radiation member, semiconductor device, and method of manufacturing composite member | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11147157 | Substrate structure with high reflectance and method for manufacturing the same | -- |
11147158 | Tamper-respondent assembly with interconnect characteristic(s) obscuring circuit layout | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11147159 | Display module and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11147160 | Circuit board and method for manufacturing same | STEMCO CO., LTD. |
11147161 | Package to printed circuit board transition | GOOGLE LLC |
11147163 | Semiconductor module unit | YAZAKI CORPORATION |
11147164 | Printed circuit board assembly of an implantable medical device | BIOTRONIK SE & CO. KG |
11147165 | Electronic system and interposer having an embedded power device module | INFINEON TECHNOLOGIES AUSTRIA AG |
11147166 | Method for producing semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
11147168 | Middle frame for supporting flexible display and a flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11147170 | Display panels and display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11147179 | Mounting system for mechanical-shock resistant printed circuit board (PCB) | AEROVIRONMENT, INC. |
11147522 | Photon counting detector and x-ray computed tomography apparatus | CANON MEDICAL SYSTEMS CORPORATION |
11147977 | MLCC filter on an aimd circuit board conductively connected to a ground pin attached to a hermetic feedthrough ferrule | GREATBATCH LTD. |
11148185 | Method of molding back cover of display and die for molding back cover | OHSUNG DISPLAY CO., LTD. |
11148217 | Reflow furnace and soldering method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11148243 | Cutting blade supplying apparatus and cutting blade case | DISCO CORPORATION |
11148384 | Thermo induction press for welding printed circuits and method carried out thereof | CEDAL EQUIPMENT CO., LTD. |
11148411 | Printing device and printing method | FUJI CORPORATION |
11148590 | Lighting unit with data lines and line ends thereof for a vehicle and method for producing same | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11148946 | Contacting molecular components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11149150 | Composition comprising non-newtonian fluids for hydrophobic, oleophobic, and oleophilic coatings, and methods of using the same | ACTNANO, INC. |
11149363 | Glass cloth | NITTO BOSEKI CO., LTD. |
11149933 | Electrical connector and luminaire assembly | SUZHOU OPPLE LIGHTING CO., LTD. |
11150293 | Horizontal probing fixture | -- |
11150347 | Micro-optics for optical imager with non-uniform filter | OUSTER, INC. |
11150362 | Pixel circuit and method for controlling the same, and flat panel detector | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11150494 | Waveguide modulator structures | ROCKLEY PHOTONICS LIMITED |
11150505 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11150523 | Liquid crystal display device | JAPAN DISPLAY INC. |
11150525 | Active matrix substrate and display panel | SHARP KABUSHIKI KAISHA |
11150527 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11150680 | Two-transistor bandgap reference circuit and FinFET device suited for same | -- |
11150707 | Graphic processing unit and host casing hardware configuration | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
11150772 | Display device having a non-display area with a sensing portion and a non-sensing portion | SAMSUNG DISPLAY CO., LTD. |
11150862 | Flexible display panel and flexible display apparatus | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11151042 | Error cache segmentation for power reduction | INTEGRATED SILICON SOLUTION, (CAYMAN) INC. |
11151296 | Memory cell array circuit | -- |
11151351 | Three-dimensional fingerprint sensing device, method of sensing fingerprint by using the same, and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11151352 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151354 | Fingerprint identification module and electronic device | VIVO MOBILE COMMUNICATION CO., LTD. |
11151711 | Cross layer common-unique analysis for nuisance filtering | KLA-TENCOR CORPORATION |
11151910 | Flexible display panel for display device and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11151922 | Monolithic micro LED display | INTEL CORPORATION |
11151926 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151930 | Electroluminescent display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11151933 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151936 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151939 | Display device and electronic equipment | SONY GROUP CORPORATION |
11151949 | Display apparatus and method of driving display panel using the same | SAMSUNG DISPLAY CO., LTD. |
11151953 | Liquid crystal display device and electronic device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152037 | Semiconductor memory device | KIOXIA CORPORATION |
11152047 | Magnetoresistive random access memory containing multilayer synthetic antiferromagnetic structure and method of making thereof | WESTERN DIGITAL TECHNOLOGIES, INC. |
11152056 | Integrated assemblies | MICRON TECHNOLOGY, INC. |
11152060 | Multi-bit read-only memory device | INTEL CORPORATION |
11152064 | Memory device, memory cell and method for programming memory cell | SAMSUNG ELECTRONICS CO., LTD. |
11152065 | Techniques to access a self-selecting memory device | MICRON TECHNOLOGY, INC. |
11152067 | Content addressable memory with spin-orbit torque devices | SANDISK TECHNOLOGIES LLC |
11152068 | Integrated circuit including vertical capacitors | TEXAS INSTRUMENTS INCORPORATED |
11152069 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11152139 | Power rail stitching technique | ARM LIMITED |
11152210 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
11152222 | Dishing prevention structure embedded in a gate electrode | -- |
11152226 | Structure with controlled capillary coverage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152247 | Semiconductor device and manufacturing method thereof | SEIKO EPSON CORPORATION |
11152249 | Methods of forming FinFET devices | -- |
11152250 | Gate dielectric preserving gate cut process | -- |
11152263 | Process method for cutting polysilicon gate of FinFET transistor | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11152264 | Multi-Vt scheme with same dipole thickness for gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152265 | Local isolation of source/drain for reducing parasitic capacitance in vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152266 | Vertical tunneling field effect transistor with dual liner bottom spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152271 | Semiconductor module and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152273 | Conductive structures and redistribution circuit structures | -- |
11152276 | Trim wall protection method for multi-wafer stacking | -- |
11152277 | Three-dimensional memory devices having hydrogen blocking layer and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11152278 | Heat sink, integrated circuit chip and circuit board | BITMAIN TECHNOLOGIES INC. |
11152280 | Semiconductor device and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11152284 | Three-dimensional memory device with a dielectric isolation spacer and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11152286 | Power semiconductor module device | WASEDA UNIVERSITY |
11152287 | Semiconductor module and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152288 | Lead frames for semiconductor packages | INFINEON TECHNOLOGIES AG |
11152294 | Hermetic metallized via with improved reliability | CORNING INCORPORATED |
11152296 | Semiconductor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD. |
11152301 | Memory cell having multi-level word line | -- |
11152302 | Fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11152303 | Different scaling ratio in FEOL / MOL/ BEOL | -- |
11152305 | Semiconductor device and method of manufacturing the same | -- |
11152306 | Dielectric film for semiconductor fabrication | -- |
11152307 | Buried local interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152308 | Interposer circuit | II-VI DELAWARE, INC. |
11152309 | Semiconductor package, method of fabricating semiconductor package, and method of fabricating redistribution structure | SAMSUNG ELECTRONICS CO., LTD. |
11152312 | Packages with interposers and methods for forming the same | -- |
11152313 | Using threading dislocations in GaN/Si systems to generate physically unclonable functions | SYNOPSYS, INC. |
11152317 | Semiconductor device including interconnection structure including copper and tin and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11152330 | Semiconductor package structure and method for forming the same | -- |
11152331 | Electronic package and method for fabricating the same | -- |
11152332 | Modular voltage regulators | -- |
11152333 | Semiconductor device packages with enhanced heat management and related systems | MICRON TECHNOLOGY, INC. |
11152334 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11152335 | Stack packages including a supporting substrate | SK HYNIX INC. |
11152336 | 3D processor having stacked integrated circuit die | XCELSIS CORPORATION |
11152337 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11152338 | Semiconductor device and manufacturing method thereof | -- |
11152339 | Method for improved transfer of semiconductor die | ROHINNI, LLC |
11152340 | Power module having a multilayered structure with liquid cooled busbar and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
11152341 | Power module including a power transistor and two sense transistors | TEXAS INSTRUMENTS INCORPORATED |
11152342 | Receiver optical module and process of assembling the same | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11152343 | 3D integrated ultra high-bandwidth multi-stacked memory | KEPLER COMPUTING, INC. |
11152344 | Integrated circuit package and methods of forming same | -- |
11152345 | Method for manufacturing semiconductor device | KIOXIA CORPORATION |
11152346 | Semiconductor integrated circuit device including capacitive element using vertical nanowire field effect transistors | SOCIONEXT INC. |
11152347 | Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections | QUALCOMM INCORPORATED |
11152348 | Integrated circuit with mixed row heights | -- |
11152349 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11152350 | Dielectric spaced diode | TEXAS INSTRUMENTS INCORPORATED |
11152351 | High surge transient voltage suppressor | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11152352 | Dual mode snap back circuit device | INTEL CORPORATION |
11152353 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11152354 | Bipolar junction transistor, BiCOMOS device including same, and method of manufacturing BiCMOS device | DB HITEK CO., LTD. |
11152355 | Structure with embedded memory device and contact isolation scheme | -- |
11152356 | Method of forming a semiconductor device and structure therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152357 | Rectifier diode encapsulation structure with common electrodes | ZHANGZHOU GO WIN LIGHIING CO., LTD |
11152358 | Vertical structure for semiconductor device | -- |
11152359 | Integrated circuit device and a method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152360 | Architecture of N and P transistors superposed with canal structure formed of nanowires | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152361 | Techniques for achieving multiple transistor fin dimensions on a single die | INTEL CORPORATION |
11152362 | Fin field effect transistor (FinFET) device structure | -- |
11152363 | Bulk CMOS devices with enhanced performance and methods of forming the same utilizing bulk CMOS process | QORVO US, INC. |
11152364 | Semiconductor structure and methods for manufacturing the same | -- |
11152366 | Semiconductor device and method for driving semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152367 | Semiconductor structure and integrated circuit | -- |
11152368 | Semiconductor device including storage node electrode having filler and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152369 | Method of forming an integrated circuit device including a lower electrode on a sidewall of a support column extending vertical on a top surface of a substrate, a dielectric layer surrounding the support column and the lower electrode, and an upper electrode surrounding the dielectric layer | SAMSUNG ELECTRONICS CO., LTD. |
11152370 | Memory structure having transistors and capacitor and manufacturing method thereof | -- |
11152371 | Apparatus comprising monocrystalline semiconductor materials and monocrystalline metal silicide materials, and related methods, electronic devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11152372 | Method used in forming integrated circuitry, and method used in forming memory circuitry | MICRON TECHNOLOGY, INC. |
11152373 | Structures and methods for forming dynamic random-access devices | APPLIED MATERIALS, INC. |
11152374 | Semiconductor device having bit line structure with spacer structure and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152375 | Contact patterning | MICRON TECHNOLOGY, INC. |
11152376 | Dual port memory cell with improved access resistance | STMICROELECTRONICS INTERNATIONAL N.V. |
11152377 | Nanosheet SRAM by SIT process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152378 | Reducing error rates with alpha particle protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152379 | Static random-access memory (SRAM) and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152380 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11152381 | MOS transistor having lower gate-to-source/drain breakdown voltage and one-time programmable memory device using the same | HEFECHIP CORPORATION LIMITED |
11152382 | Semiconductor one-time programmable memory for nanometer CMOS | -- |
11152383 | Non-volatile memory (NVM) cell structure to increase reliability | -- |
11152384 | Boundary structure for embedded memory | -- |
11152385 | Stacked type semiconductor memory device and method for manufacturing the same | KIOXIA CORPORATION |
11152386 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11152387 | Semiconductor memory device and a method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152388 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11152389 | Methods for solving epitaxial growth loading effect at different pattern density regions | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11152390 | Vertical semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152391 | Semiconductor memory device and production method thereof | TOSHIBA MEMORY CORPORATION |
11152392 | Integrated circuit including clubfoot structure conductive patterns | SAMSUNG ELECTRONICS CO., LTD. |
11152393 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11152394 | Structure with polycrystalline isolation region below polycrystalline fill shape(s) and selective active device(s), and related method | GLOBALFOUNDRIES U.S. INC. |
11152395 | Monolithic multi-FETs | X-CELEPRINT LIMITED |
11152396 | Semiconductor device having stacked transistors and multiple threshold voltage control | INTEL CORPORATION |
11152397 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152398 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152399 | Wiring substrate and display device including the same which suppress deterioration of thin film transistor | SAMSUNG DISPLAY CO., LTD. |
11152400 | Thin film transistor array substrate, display apparatus, and method of manufacturing thin film transistor array substrate | SAMSUNG DISPLAY CO., LTD. |
11152401 | Flexible display substrate and preparation method thereof | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11152403 | Method for manufacturing array substrate, array substrate and display panel | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152404 | Tunnel contact for a pixel cell in an imaging system | OMNIVISION TECHNOLOGIES, INC. |
11152405 | Solid-state image pickup device and image pickup apparatus | SONY CORPORATION |
11152406 | Matrix of photodetectors with peripheral absorption provided with focussing structures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152407 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11152408 | Vertical pin-type capacitor and image sensing device including the same | SK HYNIX INC. |
11152409 | Display panel for processing biometrics using TFT photodetectors integrated thereon | -- |
11152410 | Image sensor with reduced capacitance transfer gate | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11152411 | Resonant cavity enhanced image sensor | AMS AG |
11152412 | Image sensor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152413 | Structure, method for producing structure, composition for forming absorption layer, solid-state imaging element, and image display device | FUJIFILM CORPORATION |
11152414 | Image sensor including dual isolation and method of making the same | -- |
11152415 | Image sensor with separation pattern and image sensor module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11152416 | Semiconductor package including a redistribution line | SAMSUNG ELECTRONICS CO., LTD. |
11152417 | Anchor structures and methods for uniform wafer planarization and bonding | -- |
11152418 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11152419 | Pixel having two semiconductor layers, image sensor including the pixel, and image processing system including the image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11152421 | Small-pitch image sensor | OMNIVISION TECHNOLOGIES, INC. |
11152422 | Semiconductor devices and methods for forming the same | -- |
11152423 | Optical assembly and display device comprising an arrangement of luminescence diode chips | OSRAM OLED GMBH |
11152424 | Display device | SAMSUNG DISPLAY CO., LTD. |
11152425 | Cross-point spin-transfer torque magnetoresistive memory array and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11152426 | Memory device using an etch stop dielectric layer and methods for forming the same | -- |
11152427 | Chalcogenide memory device components and composition | MICRON TECHNOLOGY, INC. |
11152428 | Selection device and storage apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11152429 | Threshold switching contact in a field-effect transistor as a selector | INTEL CORPORATION |
11152430 | Integrated circuit including bipolar transistors | STMICROELECTRONICS (ROUSSET) SAS |
11152431 | Semiconductor memory device | SK HYNIX INC. |
11152432 | Pixel structure, OLED display device and driving method | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11152433 | Display screen and display apparatus | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11152434 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11152435 | Display device | SAMSUNG DISPLAY CO., LTD. |
11152436 | Display panel, fabrication method thereof, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11152437 | Display apparatus having self-aligned structures and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11152438 | Array substrate having via structure covered by retaining wall and display panel having the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152439 | Transparent display device and method of manufacturing transparent display devices | SAMSUNG DISPLAY CO., LTD. |
11152440 | Display apparatus with thin pad-area insulator and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11152441 | Array substrate including auxiliary trace layer, display panel, display device and manufacturing method of an array substrate | SHANGHAI TIANMA AM-OLED CO., LTD. |
11152442 | Organic electroluminescent (EL) display device with comb-shaped source and drain electrodes and manufacturing method therefor | SAKAI DISPLAY PRODUCTS CORPORATION |
11152443 | Display panel having a storage capacitor and method of fabricating same | -- |
11152444 | Display panel and display device comprising capacitor with increased capacitance | LG DISPLAY CO., LTD. |
11152445 | Display panel for reducing coupling capacitance between gate of driving transistor and data line and display device | SHANGHAI TIANMA AM-OLED CO., LTD |
11152446 | OLED panel for lighting device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11152447 | Display device having multiple protective films and wiring layer | JOLED INC. |
11152448 | Array substrate and OLED display device | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152449 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11152450 | Display device | SAMSUNG DISPLAY CO., LTD. |
11152451 | Display panel and display device | HKC CORPORATION LIMITED |
11152452 | Organic lighting apparatus | LG DISPLAY CO., LTD. |
11152453 | Touch display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11152454 | Method of forming a semiconductor device having a resistor and structure therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152455 | Method to reduce breakdown failure in a MIM capacitor | -- |
11152456 | Ferroelectric tunnel junction with internal gate | -- |
11152458 | Metal capacitor | -- |
11152476 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11152477 | Transistors with different threshold voltages | -- |
11152481 | Gate stacks for stack-fin channel I/O devices and nanowire channel core devices | -- |
11152482 | Antiferroelectric gate dielectric transistors and their methods of fabrication | INTEL CORPORATION |
11152484 | Semiconductor structure and manufacturing method thereof | -- |
11152485 | Semiconductor structure and manufacturing method thereof | -- |
11152486 | FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance | -- |
11152487 | Method for manufacturing semiconductor device | -- |
11152488 | Gate-all-around structure with dummy pattern top in channel region and methods of forming the same | -- |
11152489 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152490 | Array substrate and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152492 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152493 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152494 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152500 | Tunneling field-effect transistor and method for manufacturing tunneling field-effect transistor | HUAWEI TECHNOLOGIES CO., LTD. |
11152506 | FinFET with lateral charge balance at the drain drift region | TEXAS INSTRUMENTS INCORPORATED |
11152507 | Vertical field-effect transistor with a bottom contact that exhibits low electrical resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152509 | Elevationally-extending transistors, devices comprising elevationally-extending transistors, and methods of forming a device comprising elevationally-extending transistors | MICRON TECHNOLOGY, INC. |
11152511 | Thin-film transistor and display panel | LG DISPLAY CO., LTD. |
11152512 | Semiconductor device, display device, and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152514 | Multi-layer crystalline back gated thin film transistor | INTEL CORPORATION |
11152520 | Photodetector with reflector with air gap adjacent photodetecting region | GLOBALFOUNDRIES U.S. INC. |
11152527 | Hybrid tandem solar cell | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO |
11152528 | Non-contiguous layouts for photosensitive apparatus | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11152533 | Etchant-accessible carrier substrate for display manufacture | FACEBOOK TECHNOLOGIES, LLC |
11152534 | Transfer head and method of manufacturing micro LED display using same | POINT ENGINEERING CO., LTD. |
11152535 | Monolithic quantum light source device and quantum optical circuit thereof | CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS (CSIC) |
11152538 | High on-axis brightness and low color shift QD-LED pixel | SHARP KABUSHIKI KAISHA |
11152539 | Reducing or eliminating nanopipe defects in III-nitride structures | LUMILEDS LLC |
11152544 | Methods for fabricating CSP LEDs | LUMENS CO., LTD. |
11152546 | Light-emitting device | NICHIA CORPORATION |
11152548 | Display module and display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11152549 | Light-emitting diode device and display device | -- |
11152551 | Electronic device | -- |
11152553 | Light emitting device package and display device having the same | SEOUL VIOSYS CO., LTD. |
11152557 | Thermoelectric module with integrated printed circuit board | GENTHERM INCORPORATED |
11152559 | Piezoelectric element, ultrasonic sensor, discharging head, ultrasonic device, liquid discharging device, and method of manufacturing piezoelectric element | SEIKO EPSON CORPORATION |
11152561 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11152562 | Non-volatile memory | IP2IPO INNOVATIONS LIMITED |
11152563 | Reinforced single element bottom electrode for MTJ-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152565 | Memory device and manufacturing method thereof | -- |
11152567 | Phase change memory structures | INTEL CORPORATION |
11152568 | Top-electrode barrier layer for RRAM | -- |
11152569 | PCRAM structure with selector device | -- |
11152571 | Compact resistive random access memory integrated with a pass gate transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152575 | Photoelectric conversion element and radiation detector | KABUSHIKI KAISHA TOSHIBA |
11152580 | Flexible display device | SHARP KABUSHIKI KAISHA |
11152586 | Display panels having pixel circuits in grooved substrate | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11152587 | Light transmissive electrode for light emitting devices | OTI LUMIONICS INC. |
11152588 | OLED light emitting device and OLED display device having a smoothing layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152592 | Display area drilling and packaging structure and method, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11152593 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152594 | Display device | JAPAN DISPLAY INC. |
11152596 | Display device | JAPAN DISPLAY INC. |
11152598 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11152664 | Compact electronics with optical sensors | ANEXA LABS LLC |
11152677 | Integration of self-biased magnetic circulators with microwave devices | QORVO US, INC. |
11152686 | Electronic device comprising antenna | SAMSUNG ELECTRONICS CO., LTD. |
11152695 | Antenna module | MURATA MANUFACTURING CO., LTD. |
11152724 | Electrical connector and connector assembly thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11152751 | Connector with suction cover | JAPAN AVIATION ELECTRONICS INDUSTRY, LTD. |
11152783 | Circuit of protection against electrostatic discharges | STMICROELECTRONICS (TOURS) SAS |
11152801 | Energy level conversion circuit for portable energy storage apparatus | ENERCAMP CO., LTD. |
11152962 | Transmitter and receiver circuits | SK HYNIX INC. |
11153421 | Electronic device including elastic member | SAMSUNG ELECTRONICS CO., LTD. |
11153457 | Light receiving unit | MITSUBISHI ELECTRIC CORPORATION |
11153471 | Through-hole electrode substrate | DAI NIPPON PRINTING CO., LTD. |
11153514 | Solid-state imaging device, method for driving solid-state imaging device, and electronic apparatus | BRILLNICS SINGAPORE PTE. LTD. |
11153515 | Solid state image sensor comprising stacked substrates, semiconductor device, and electronic device | SONY CORPORATION |
11153521 | Solid-state image sensor and imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11153522 | Imaging system including digital dual speed readout integrated circuit (ROIC) | RAYTHEON COMPANY |
11153523 | Image capturing apparatus and method of controlling the same, and storage medium | CANON KABUSHIKI KAISHA |
11153962 | Header for semiconductor device, and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11153963 | Circuit carrier structure and manufacturing method thereof | -- |
11153964 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
11153965 | Integrated vapor chamber printed circuit board (PCB) assembly | ROCKWELL COLLINS, INC. |
11153966 | Electronic circuit device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11153967 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
11153968 | Device, system and method to promote the integrity of signal communications | INTEL CORPORATION |
11153969 | Flexible display panels and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11153970 | Apparatus with electrical components end mounted to printed circuit board | ATL TECHNOLOGY, LLC |
11153971 | Printed circuit board configuration to facilitate a surface mount double density QSFP connector footprint in a belly-to-belly alignment | CISCO TECHNOLOGY, INC. |
11153972 | Passive component and electronic device | TAIYO YUDEN CO., LTD. |
11153973 | Electronic module | -- |
11153974 | Connector device | AUTONETWORKS TECHNOLOGIES, LTD. |
11153975 | Wiring board manufacturing method | DISCO CORPORATION |
11153976 | Implementing IR reflective mask to minimize CTE mismatch between laminate and PTH copper | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11153977 | Circuit carrier, package, and method for manufacturing a package | SIEMENS AKTIENGESELLSCHAFT |
11153980 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11153985 | Modular hybrid circuit packaging | ROSEMOUNT INC. |
11153990 | Movable heat-transfer system | INTEL CORPORATION |
11153996 | Thermal management assemblies for electronic assemblies mounted on a motor end | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11153997 | Display apparatus | LG DISPLAY CO., LTD. |
11154000 | Substrate work machine | FUJI CORPORATION |
11154902 | Transparent conductive substrate and method for producing same | SHOWA DENKO K.K. |
11155687 | High Tg epoxy formulation with good thermal properties | ISOLA USA CORP. |
11155703 | Curable composition, manufacturing method of curable composition, cured film, manufacturing method of cured film, color filter, solid-state imaging element, solid-state imaging device, and infrared sensor | FUJIFILM CORPORATION |
11155724 | Ink composition, preparation method of the same, and fabrication method of window member using the same | SAMSUNG DISPLAY CO., LTD. |
11155913 | Evaporation mask plate, manufacturing method thereof and evaporation method | BOE TECHNOLOGY GROUP CO., LTD. |
11156346 | Fan out structure for light-emitting diode (LED) device and lighting system | LUMILEDS LLC |
11156491 | Inductive sensor assembly for fluid measurements | TE CONNECTIVITY CORPORATION |
11156519 | Capacitive pressure sensor | INNOGRITY PTE LTD |
11156638 | Contactors with signal pins, ground pins, and short ground pins | TEXAS INSTRUMENTS INCORPORATED |
11156677 | Semiconductor sensor structure | TDK-MICRONAS GMBH |
11156700 | Distance sensor | HAMAMATSU PHOTONICS K.K. |
11156726 | Methods of making and using an x-ray detector | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11156754 | Curable composition, light-shielding film, color filter, pattern forming method, method for manufacturing color filter, solid-state imaging element, and infrared sensor | FUJIFILM CORPORATION |
11156759 | μ-LED, μ-LED device, display and method for the same | OSRAM OPTO SEMICONDUCTORS GMBH |
11156788 | Semiconductor package with embedded optical die | INTEL CORPORATION |
11156790 | Fiber-less photonic system in an integrated package | UNIVERSITY COLLEGE CORK |
11156796 | Optical sensor package module | -- |
11156875 | Liquid crystal display device | JAPAN DISPLAY INC. |
11156882 | Circuit substrate, display device and driving method | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11156884 | Light transmissive-type liquid crystal display device, method of manufacturing light transmissive-type liquid crystal display device, and electronic apparatus | SEIKO EPSON CORPORATION |
11156885 | Display panel and method of repairing the same | HKC CORPORATION LIMITED |
11157044 | Display device | SAMSUNG DISPLAY CO., LTD. |
11157048 | Memory module with screen and motherboard module | -- |
11157096 | Foldable flexible circuit board | DUS OPERATING, INC. |
11157102 | Touch display device and panel | LG DISPLAY CO., LTD. |
11157105 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11157112 | Display panel, display device and manufacturing method of display panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11157118 | Electronic panel and electronic apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
11157120 | Touch sensor and display device including the touch sensor | SAMSUNG DISPLAY CO., LTD. |
11157425 | Memory device, memory system including memory device and vehicle-based system including memory system | SAMSUNG ELECTRONICS CO., LTD. |
11157672 | System and method for determining hybrid-manufacturing process plans for integrated circuits based on satisfiability modulo difference logic solver | PALO ALTO RESEARCH CENTER INCORPORATED |
11157677 | Merged pillar structures and method of generating layout diagram of same | -- |
11158219 | Exterior lighting in aircraft livery | THE BOEING COMPANY |
11158225 | Display device with improved pixel electrode charging | -- |
11158240 | LED emissive image display device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11158246 | Display panel, display device, and electronic device | SONY GROUP CORPORATION |
11158251 | OLED pixel driving circuit and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158257 | Display device and driving method for same | SHARP KABUSHIKI KAISHA |
11158263 | Display device which prevents formation of parasitic capacitor in a pixel | SAMSUNG DISPLAY CO., LTD. |
11158264 | Display device | LG ELECTRONICS INC. |
11158267 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158361 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH |
11158368 | Static random-access memory cell design | COVENTOR, INC. |
11158371 | Memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158372 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11158375 | Semiconductor storage device | KIOXIA CORPORATION |
11158377 | Device-region layout for embedded flash | -- |
11158379 | Nonvolatile memory device, storage device, and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11158384 | Apparatus and methods for configurable bit line isolation in non-volatile memory | SANDISK TECHNOLOGIES LLC |
11158385 | Semiconductor memory device that includes block decoders each having plural transistors and a latch circuit | KIOXIA CORPORATION |
11158388 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11158389 | Memory device | KIOXIA CORPORATION |
11158395 | Reliability evaluation apparatus | TOSHIBA MEMORY CORPORATION |
11158451 | Power module | -- |
11158456 | Trench capacitor | TAIYO YUDEN CO., LTD. |
11158508 | Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (finFET) device structure | -- |
11158533 | Semiconductor structures and fabrication method thereof | -- |
11158534 | SOI substrate | -- |
11158542 | Semiconductor device structure with semiconductor wire | -- |
11158543 | Silicide formation for source/drain contact in a vertical transport field-effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158544 | Vertical stacked nanosheet CMOS transistors with different work function metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158547 | Semiconductor device, method of manufacturing the same, and electronic device including the device | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11158551 | Modular WLCSP die daisy chain design for multiple die sizes | DIALOG SEMICONDUCTOR (UK) LIMITED |
11158552 | Semiconductor device and method to manufacture the same | -- |
11158554 | Shielded fan-out packaged semiconductor device and method of manufacturing | MICRON TECHNOLOGY, INC. |
11158555 | Package structure having sensor die with touch sensing electrode, and method of fabricating the same | -- |
11158557 | Semiconductor device with a passivation layer and method for producing thereof | INFINEON TECHNOLOGIES AG |
11158558 | Package with underfill containment barrier | INTEL CORPORATION |
11158563 | Power semiconductor module and vehicle | FUJI ELECTRIC CO., LTD. |
11158566 | Integrated circuit with a ring-shaped hot spot area and multidirectional cooling | GOOGLE LLC |
11158568 | Package with wall-side capacitors | INTEL CORPORATION |
11158570 | Semiconductor devices having electrostatic discharge layouts for reduced capacitance | MICRON TECHNOLOGY, INC. |
11158574 | Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11158575 | Parasitic capacitance reduction in GaN-on-silicon devices | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11158577 | Methods for fabricating microelectronic devices with contacts to conductive staircase steps, and related devices and systems | MICRON TECHNOLOGY, INC. |
11158578 | High density interconnect device and method | INTEL CORPORATION |
11158584 | Selective CVD alignment-mark topography assist for non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158587 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158588 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158590 | Capacitor interposer layer (CIL) in a die-to-wafer three-dimensional (3D) integrated circuit (IC) (3DIC) | QUALCOMM INCORPORATED |
11158591 | Bond pad structure for bonding improvement | -- |
11158592 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
11158593 | Structures for bonding a group III-V device to a substrate by stacked conductive bumps | -- |
11158594 | Semiconductor packages having improved reliability in bonds between connection conductors and pads | SAMSUNG ELECTRONICS CO., LTD. |
11158595 | Embedded die package multichip module | TEXAS INSTRUMENTS INCORPORATED |
11158598 | Method to construct 3D devices and systems | MONOLITHIC 3D INC. |
11158600 | Lithography process for semiconductor packaging and structures resulting therefrom | -- |
11158601 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11158603 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11158604 | Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11158605 | Semiconductor packaging structure and method | -- |
11158606 | Molded direct bonded and interconnected stack | INVENSAS BONDING TECHNOLOGIES, INC. |
11158607 | Wafer reconstitution and die-stitching | APPLE INC. |
11158608 | Semiconductor package including offset stack of semiconductor dies between first and second redistribution structures, and manufacturing method therefor | -- |
11158609 | Three-dimensional integrated package device for high-voltage silicon carbide power module | XI'AN JIAOTONG UNIVERSITY |
11158610 | Display device using semiconductor light emitting element, and manufacturing method therefor | LG ELECTRONICS INC. |
11158611 | LED filament | OSRAM OLED GMBH |
11158612 | Electronic device | -- |
11158613 | Colour inorganic LED display for display devices with a high number of pixel | FACEBOOK TECHNOLOGIES, LLC |
11158614 | Thermal performance structure for semiconductor packages and method of forming same | -- |
11158615 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11158616 | Semiconductor package with first and second encapsulants | SAMSUNG ELECTRONICS CO., LTD. |
11158617 | Semiconductor device including a circuit for transmitting a signal | RENESAS ELECTRONICS CORPORATION |
11158618 | Light conversion device | -- |
11158619 | Redistribution layers in semiconductor packages and methods of forming same | -- |
11158620 | Wafer bonding in fabrication of 3-dimensional NOR memory circuits | SUNRISE MEMORY CORPORATION |
11158621 | Double side mounted large MCM package with memory channel length reduction | APPLE INC. |
11158622 | Three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11158623 | Layout of semiconductor device and method of forming semiconductor device | -- |
11158624 | Cascode cell | GLOBALFOUNDRIES U.S. INC. |
11158625 | Electrostatic protection device and manufacturing method thereof and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11158626 | Semiconductor integrated circuit device including an electrostatic discharge protection circuit | SK HYNIX INC. |
11158627 | Electronic circuit with a transistor device and a clamping circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11158628 | Electro-static discharge circuit, array substrate, display panel and display apparatus | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11158629 | Polarization circuit of a power component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11158630 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11158631 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11158632 | Fin-based strap cell structure for improving memory performance | -- |
11158633 | Multi-level isolation structure | GLOBALFOUNDRIES U.S. INC. |
11158634 | Backside PN junction diode | -- |
11158635 | Low leakage gate stack for a transistor device and methods of making an IC product that includes such a transistor device | GLOBALFOUNDRIES U.S. INC. |
11158636 | Nanosheet device integrated with a FINFET transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158637 | Method and structure for FinFET device | -- |
11158638 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158639 | Asymmetric FinFET in memory device, method of fabricating same and semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11158640 | Apparatus comprising compensation capacitors and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. |
11158641 | Antifuse OTP structures with hybrid devices and hybrid junctions | ZHUHAI CHUANGFEIXIN TECHNOLOGY CO., LTD. |
11158642 | Capacitor comprising a bismuth metal oxide-based lead titanate thin film | TEXAS INSTRUMENTS INCORPORATED |
11158643 | Non-volatile memory bit cells with non-rectangular floating gates | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11158644 | Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same | -- |
11158645 | Semiconductor memory device | KIOXIA CORPORATION |
11158646 | Memory device with dielectric blocking layer for improving interpoly dielectric breakdown | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11158647 | Memory device | -- |
11158648 | Double channel memory device | -- |
11158649 | Semiconductor storage device with columnar body having impurity containing channel film | TOSHIBA MEMORY CORPORATION |
11158650 | Memory cell fabrication for 3D nand applications | APPLIED MATERIALS, INC. |
11158651 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11158652 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. |
11158653 | Method of manufacturing display panel and the display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158654 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158655 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158656 | Display substrate comprising a plurality of conductive patterns | SAMSUNG DISPLAY CO., LTD. |
11158657 | Ray detector array substrate, manufacturing method thereof, and ray detector | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158658 | Radiation detector | SHARP KABUSHIKI KAISHA |
11158659 | Semiconductor device structure with anti-acid layer and method for forming the same | -- |
11158660 | Image sensor having two-colored color filters sharing one photodiode | SK HYNIX INC. |
11158661 | Image sensor with micro-structured color filter | OMNIVISION TECHNOLOGIES, INC. |
11158662 | Semiconductor image sensor | -- |
11158663 | Image sensor with large dynamic range | SHENZHEN GENORIVISION TECHNOLOGY CO., LTD. |
11158664 | Band-pass filter for stacked sensor | -- |
11158665 | Light emitting device | SEOUL VIOSYS CO., LTD. |
11158666 | Multiple wavelength light-emitting diode epitaxial structure with asymmetric multiple quantum wells | -- |
11158667 | Optoelectronic semiconductor component | OSRAM OLED GMBH |
11158668 | Semiconductor module and display device including same | LG INNOTEK CO., LTD. |
11158669 | Ultra-dense array of LEDs with half cavities and reflective sidewalls, and manufacturing methods | TECTUS CORPORATION |
11158670 | Magnetic structures, semiconductor structures, and semiconductor devices | MICRON TECHNOLOGY, INC. |
11158671 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11158672 | Magnetic tunnel junction elements and magnetic resistance memory devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11158673 | Vertical 3D memory device and method for manufacturing the same | MICRON TECHNOLOGY, INC. |
11158674 | Method to produce a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11158675 | Solid-state imaging element and solid-state imaging apparatus | SONY CORPORATION |
11158676 | Sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11158677 | Solid-state imaging device, method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11158678 | Display device and signal processing device | SONY CORPORATION |
11158679 | Light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11158680 | Display panel including plurality of pixel apertures and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11158681 | OLED display device and method of manufacturing OLED display device | TIANMA JAPAN, LTD. |
11158682 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11158683 | Display device having an alignment structure | SAMSUNG DISPLAY CO., LTD. |
11158684 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158685 | Organic light-emitting diode touch display operating method | -- |
11158686 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158687 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11158689 | Electroluminescent display panel, manufacturing method thereof and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158690 | Low cost micro OLED structure and method | FACEBOOK TECHNOLOGIES, LLC |
11158691 | Display device and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158692 | Display panel, display device, and method for manufacturing a display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158693 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11158694 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11158695 | Display device having biometric sensors | -- |
11158696 | Electroluminescent display apparatus | LG DISPLAY CO., LTD. |
11158697 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158698 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11158699 | Display device including a light blocking layer over a pixel defining layer | SAMSUNG DISPLAY CO., LTD. |
11158700 | Display device having multiple buffer layers | LG DISPLAY CO., LTD. |
11158710 | Display device | JAPAN DISPLAY INC. |
11158712 | Field-effect transistors with buried gates and methods of manufacturing the same | INTEL CORPORATION |
11158713 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11158715 | Vertical FET with asymmetric threshold voltage and channel thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158716 | Semiconductor device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11158717 | Method for manufacturing thin-film transistor (TFT) substrate and TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158718 | Assemblies which include wordlines having a first metal-containing material at least partially surrounding a second metal-containing material and having different crystallinity than the second metal-containing material | MICRON TECHNOLOGY, INC. |
11158719 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11158721 | Metal oxide interlayer structure for nFET and pFET | -- |
11158729 | Stacked vertical transport field effect transistor electrically erasable programmable read only memory (EEPROM) devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158731 | Quantum well stacks for quantum dot devices | INTEL CORPORATION |
11158732 | One-transistor DRAM cell device having quantum well structure | GACHON UNIVERSITY OF INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
11158738 | Method of forming isolation dielectrics for stacked field effect transistors (FETs) | SAMSUNG ELECTRONICS CO., LTD. |
11158743 | Passivated and faceted for fin field effect transistor | -- |
11158744 | Fin field effect transistor (FinFET) device and method for forming the same | -- |
11158745 | Semiconductor device and display device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158750 | Superlattice photo detector | TEXAS INSTRUMENTS INCORPORATED |
11158754 | Back-to-back dual band p-CB-n | HRL LABORATORIES, LLC |
11158755 | Photo-detection apparatus and photo-detection system | CANON KABUSHIKI KAISHA |
11158756 | FinFET radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158757 | Optical sensing device and optical sensing system thereof comprising a light receiving device capable of receiving a first received wavelength having a largest external quantum efficiency | -- |
11158758 | Superluminescent diodes and diode modules | EXALOS AG |
11158759 | Chip carrier integrating power harvesting and regulation diodes and fabrication thereof | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158761 | Bonding methods for light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11158765 | Light-emitting component | OSRAM OLED GMBH |
11158767 | Light-emitting element, light-emitting unit, light-emitting panel device, and method for driving light-emitting panel device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11158774 | Light-emitting device, light-emitting module, and method of manufacturing light-emitting device | NICHIA CORPORATION |
11158775 | Semiconductor device and method | -- |
11158780 | Thermal pattern sensor with pyroelectric capacitor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11158781 | Permanent wafer handlers with through silicon vias for thermalization and qubit modification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158786 | MRAM device formation with controlled ion beam etch of MTJ | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158787 | C—As—Se—Ge ovonic materials for selector devices and memory devices using same | -- |
11158790 | Resistive random access memory device | -- |
11158791 | MIEC and tunnel-based selectors with improved rectification characteristics and tunability | APPLIED MATERIALS, INC. |
11158793 | Multivalent oxide spacers for analog switching resistive memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158795 | Resistive switching memory with replacement metal electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158797 | RRAM cell structure with conductive etch-stop layer | -- |
11158800 | Method for patterning quantum dot layer, method for manufacturing display device and transfer template | BOE TECHNOLOGY GROUP CO., LTD. |
11158801 | Phase-transition optical isomer compound, transparent electroluminescent display device and method of fabricating the transparent electroluminescent display device | LG DISPLAY CO., LTD. |
11158802 | Method for patterning a coating on a surface and device including a patterned coating | OTI LUMIONICS INC. |
11158803 | Method for patterning a coating on a surface and device including a patterned coating | OTI LUMIONICS INC. |
11158804 | Method and apparatus for manufacturing flexible light emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11158819 | P-type organic semiconductor, composition, photoelectric conversion film, photoelectric conversion device, and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11158822 | Display device including a coating layer having decreasing thickness | SAMSUNG DISPLAY CO., LTD. |
11158824 | Display component, display, manufacturing methods and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11158825 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11158826 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11158827 | Organic photoelectric devices and image sensors including the same | SAMSUNG ELECTRONICS CO., LTD. |
11158828 | Solar cell comprising an oxide-nanoparticle buffer layer and method of fabrication | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11158834 | Foldable display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11158835 | Manufacturing method of display substrate, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11158836 | Light emitting device | -- |
11158837 | Display apparatus having a thin-film encapsulation layer | SAMSUNG DISPLAY CO., LTD. |
11158840 | Organic light emitting display panel, method for manufacturing the same and display device thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11158841 | Method for manufacturing organic el display device | -- |
11158920 | High powered RF part for improved manufacturability | TTM TECHNOLOGIES INC. |
11158955 | Low profile phased array | RAYTHEON COMPANY |
11158969 | Connectors for integrated circuit packages | INTEL CORPORATION |
11158970 | Electrical connector including heat dissipation holes | FCI USA LLC |
11158982 | Active cover plates | SNAPRAYS LLC |
11159145 | Radio frequency (RF) filtering using phase-change material (PCM) RF switches | NEWPORT FAB, LLC |
11159158 | Switch resistor networks | SKYWORKS SOLUTIONS, INC. |
11159164 | Integrated circuit and method of manufacturing the same | -- |
11159165 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159166 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159718 | Imaging method | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11159751 | Solid-state image pickup element, image pickup device, and method of manufacturing solid-state image pickup element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11159756 | Solid-state image pickup element and image pickup system | CANON KABUSHIKI KAISHA |
11159760 | Photoelectric conversion apparatus, imaging system, mobile body and signal processing apparatus | CANON KABUSHIKI KAISHA |
11160160 | PCB for bare die mount and process therefore | -- |
11160161 | Electronic device including antenna and heat dissipation structure | SAMSUNG ELECTRONICS CO., LTD. |
11160162 | Via-less patterned ground structure common-mode filter | WESTERN DIGITAL TECHNOLOGIES, INC. |
11160163 | Electronic substrate having differential coaxial vias | TEXAS INSTRUMENTS INCORPORATED |
11160164 | Wiring substrate | IBIDEN CO., LTD. |
11160165 | Component carrier with through hole extending through multiple dielectric layers | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11160166 | Printed circuit board with high-capacity copper circuit | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
11160167 | Voltage regulator module and voltage regulation device with same | -- |
11160168 | Electronic component built-in wiring board and method for manufacturing the same | IBIDEN CO., LTD. |
11160169 | Component carrier with component embedded in cavity and with double dielectric layer on front side | AT&S (CHONGQING) COMPANY LIMITED |
11160170 | Display and electronic device | -- |
11160171 | Circuit forming method using selective etching of electrically conductive metal this film seed layer and etching solution composition | INKTEE CO., LTD. |
11160172 | Method for producing ceramic circuit board | DENKA COMPANY LIMITED |
11160173 | Fixing apparatus | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11160174 | Method of manufacturing multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11160175 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11160176 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11160181 | Adapter for insertion boards | FANUC CORPORATION |
11160192 | Apparatus, system, and method for increasing the cooling efficiency of cold plate devices | JUNIPER NETWORKS, INC. |
11160529 | Imaging assembly for intravascular imaging device and associated devices, systems, and methods | KONINKLIJKE PHILIPS N.V. |
11161146 | IC die, probe and ultrasound system | KONINKLIJKE PHILIPS N.V. |
11161281 | Structure and method for monitoring directed self-assembly pattern formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11161291 | Molded circuit board of camera module, manufacturing equipment and manufacturing method for molded circuit board | NINGBO SUNNY OPOTECH CO., LTD. |
11161323 | Window substrate and flexible display device including the same | SAMSUNG DISPLAY CO., LTD. |
11161331 | Plated layer forming composition, film having plated-layer precursor layer, film having patterned plated layer, electroconductive film, and touch panel | FUJIFILM CORPORATION |
11161617 | Method of fabricating rotary equipment for a rotary wing, provided with a deicer, said rotary equipment, and a drone provided with said rotary equipment | AIRBUS HELICOPTERS |
11161979 | Resin composition, prepreg, metallic foil-clad laminate, and printed wiring board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11161988 | Method of manufacturing anisotropic conductive film using vertical ultrasonic waves | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11161996 | Printed electronics | E2IP TECHNOLOGIES INC. |
11162182 | Tin or tin alloy plating solution | MITSUBISHI MATERIALS CORPORATION |
11162203 | Appliance control module with in-molded electronics | HAIER US APPLIANCE SOLUTIONS, INC. |
11162334 | Addressable switch assembly for wellbore systems and method | GEODYNAMICS, INC. |
11162657 | Light device capable of generating a source with fine pixels | VALEO VISION |
11162661 | Illumination apparatus comprising passive optical nanostructures | REALD SPARK, LLC |
11163001 | Reduction of skew between positive and negative conductors carrying a differential pair of signals | INTEL CORPORATION |
11163015 | Power pedestal, ground fault indication system, and printed circuit board assembly therefor | EATON INTELLIGENT POWER LIMITED |
11163098 | Optical filter and optical sensor device | JSR CORPORATION |
11163101 | Switchable illumination apparatus and privacy display | REALD SPARK, LLC |
11163112 | Multilevel semiconductor device and structure with electromagnetic modulators | MONOLITHIC 3D INC. |
11163121 | Detection method for electronic devices | -- |
11163124 | Low footprint optical interconnects | WAVEFRONT RESEARCH, INC. |
11163167 | Flexible printed circuit board for head-mounted display | MICROSOFT TECHNOLOGY LICENSING, LLC |
11163182 | Liquid crystal display device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11163185 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11163191 | Organic electroluminescence display device | FUJIFILM CORPORATION |
11163192 | Display apparatus | -- |
11163203 | COA substrate and method of fabricating same | -- |
11163205 | Display module and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11163216 | Array imaging module and molded photosensitive assembly, circuit board assembly and manufacturing methods thereof for electronic device | NINGBO SUNNY OPOTECH CO., LTD. |
11163334 | Display device having protective cover | SAMSUNG DISPLAY CO., LTD. |
11163364 | Touch control substrate, touch screen, electronic device and touch control method | BOE TECHNOLOGY GROUP CO., LTD. |
11163386 | Touch display panel and liquid crystal display device | BOE TECHNOLOGY GROUP CO., LTD. |
11163490 | Programmable engine for data movement | MICRON TECHNOLOGY, INC. |
11163930 | Secure logic locking and configuration with camouflaged programmable micro netlists | RAMBUS INC. |
11163931 | Access and portability of user profiles stored as templates | AUTOCONNECT HOLDINGS LLC |
11164486 | Micro LED display panel including light-emitting units and method for making same | -- |
11164497 | Display device | SAMSUNG DISPLAY CO., LTD. |
11164506 | Optoelectronic device | ALEDIA |
11164510 | Display screens | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11164512 | Display optimization techniques for micro-LED devices and arrays | VUEREAL INC. |
11164517 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11164530 | Display panel and display device | LG DISPLAY CO., LTD. |
11164621 | Sense amplifier, semiconductor device, operation method thereof, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11164629 | 3D memory device including shared select gate connections between memory blocks | MICRON TECHNOLOGY, INC. |
11164631 | Nonvolatile memory device and operating method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11164632 | Nonvolatile memory device and method of processing in memory (PIM) using the same | SAMSUNG ELECTRONICS CO., LTD. |
11164633 | Memory device using comb-like routing structure for reduced metal line loading | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11164636 | Nonvolatile memory device and memory system including nonvolatile memory device that controls the erase speeds of cell strings | SAMSUNG ELECTRONICS CO., LTD. |
11164637 | Methods of erasing data in nonvolatile memory devices and nonvolatile memory devices performing the same | SAMSUNG ELECTRONICS CO., LTD. |
11164639 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11164647 | Electronic chip memory | STMICROELECTRONICS SA |
11164654 | Method for driving an electronic device including a semiconductor memory in a test mode | SK HYNIX INC. |
11164693 | Coil component and electronic device | TAIYO YUDEN CO., LTD. |
11164743 | Systems and method for integrated devices on an engineered substrate | QROMIS, INC. |
11164746 | Method of manufacturing semiconductor devices and a semiconductor device | -- |
11164748 | Method and device for plating a recess in a substrate | SEMSYSO GMBH |
11164754 | Fan-out packages and methods of forming the same | -- |
11164755 | Electronic package and method for fabricating the same | -- |
11164770 | Method for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11164773 | Method for forming semiconductor device structure with air gap | -- |
11164782 | Self-aligned gate contact compatible cross couple contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164784 | Open-drain transistor monitoring circuit in a multi-chip package to control power | MICRON TECHNOLOGY, INC. |
11164785 | Three-dimensional integrated circuits (3DICs) including upper-level transistors with epitaxial source and drain material | INTEL CORPORATION |
11164786 | Power reduction in finFET structures | -- |
11164790 | Integrated nanowire and nanoribbon patterning in transistor manufacture | INTEL CORPORATION |
11164791 | Contact formation for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164793 | Reduced source/drain coupling for CFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164794 | Semiconductor structures in a wide gate pitch region of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11164795 | Transistors with source/drain regions having sections of epitaxial semiconductor material | GLOBALFOUNDRIES U.S. INC. |
11164796 | Method for forming semiconductor device structure | -- |
11164797 | Method of manufacturing semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11164798 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164799 | Stacked vertical transport field effect transistor contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164800 | Test structure, semiconductor device and method for obtaining fabricating information in semiconductor device | -- |
11164801 | Electrically testable integrated circuit packaging | PSEMI CORPORATION |
11164802 | Wafer manufacturing method and multilayer device chip manufacturing method | DISCO CORPORATION |
11164803 | Unit with wiring board, module, and equipment | CANON KABUSHIKI KAISHA |
11164804 | Integrated circuit (IC) device package lid attach utilizing nano particle metallic paste | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164807 | Arrangement and thermal management of 3D stacked dies | ADVANCED MICRO DEVICES, INC. |
11164809 | Integrated circuits and methods for forming integrated circuits | INTEL CORPORATION |
11164811 | 3D semiconductor device with isolation layers and oxide-to-oxide bonding | MONOLITHIC 3D INC. |
11164814 | Package structure and method of manufacturing the same | -- |
11164816 | Semiconductor device and method for fabricating the same | -- |
11164817 | Multi-chip package structures with discrete redistribution layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164818 | Inorganic-based embedded-die layers for modular semiconductive devices | INTEL CORPORATION |
11164819 | Semiconductor package and manufacturing method thereof | -- |
11164833 | Semiconductor device using wires and stacked semiconductor package | SK HYNIX INC. |
11164834 | Wafer structure and method for manufacturing the same, and chip structure | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11164839 | Package structure and method of manufacturing the same | -- |
11164840 | Chip interconnection structure, wafer interconnection structure and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11164844 | Double etch stop layer to protect semiconductor device layers from wet chemical etch | -- |
11164845 | Resist structure for forming bumps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164847 | Methods and apparatus for managing thermal behavior in multichip packages | INTEL CORPORATION |
11164848 | Semiconductor structure and method manufacturing the same | -- |
11164849 | Chip assembly and chip | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11164850 | Arrangement comprising a plurality of lighting modules and method for producing an arrangement comprising a plurality of lighting modules | OSRAM OPTO SEMICONDUCTORS GMBH |
11164851 | Three-layer color display using active LED dies | NTHDEGREE TECHNOLOGIES WORLDWIDE, INC. |
11164852 | Method of forming package structure | -- |
11164853 | Chip package and manufacturing method thereof | -- |
11164854 | Display module and electronic device thereof | -- |
11164855 | Package structure with a heat dissipating element and method of manufacturing the same | -- |
11164856 | TSV check circuit with replica path | MICRON TECHNOLOGY, INC. |
11164857 | Semiconductor device packages, packaging methods, and packaged semiconductor devices | -- |
11164858 | Integrated circuits and methods of forming integrated circuits | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11164859 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11164860 | Electrostatic discharge protection circuit and electronic device thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164861 | Monolithic electronic device and method of manufacture | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11164862 | Distributed RC termination | MURATA MANUFACTURING CO., LTD. |
11164863 | Integrated circuit having vertical transistor and semiconductor device including the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11164864 | Field-effect transistors having transition metal dichalcogenide channels and methods of manufacture | -- |
11164865 | Bi-directional transistor devices having electrode covering sidewall of the Fin structure | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11164866 | Semiconductor structure and method for manufacturing the same | -- |
11164867 | Fin-type field-effect transistors over one or more buried polycrystalline layers | GLOBALFOUNDRIES U.S. INC. |
11164868 | Semiconductor device | -- |
11164869 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11164870 | Stacked upper fin and lower fin transistor with separate gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164871 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11164872 | Underbody contact to horizontal access devices for vertical three-dimensional (3D) memory | MICRON TECHNOLOGY, INC. |
11164873 | Apparatuses including laminate spacer structures, and related memory devices, electronic systems, and methods | MICRON TECHNOLOGY, INC. |
11164874 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11164875 | Method for manufacturing a capacitive element having electrical coupling the first electrode to the active region | STMICROELECTRONICS (ROUSSET) SAS |
11164876 | Atom implantation for passivation of pillar material | MICRON TECHNOLOGY, INC. |
11164877 | Semiconductor device having void in bit line contact plug | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11164878 | Interconnect and memory structures having reduced topography variation formed in the BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164879 | Microelectronic device with a memory element utilizing stacked vertical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164880 | Multi-time programming non-volatile memory | -- |
11164881 | Transistor device, memory arrays, and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11164882 | 3-D NAND control gate enhancement | APPLIED MATERIALS, INC. |
11164883 | Three-dimensional memory device containing aluminum-silicon word lines and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11164884 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11164885 | Nonvolatile memory device having multiple numbers of channel layers | SK HYNIX INC. |
11164886 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11164887 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11164888 | Semiconductor memory device | KIOXIA CORPORATION |
11164889 | Integrated assemblies having ferroelectric transistors with heterostructure active regions | MICRON TECHNOLOGY, INC. |
11164890 | Cross-point array of ferroelectric field effect transistors and method of making the same | SANDISK TECHNOLOGIES LLC |
11164891 | Integrated circuits with components on both sides of a selected substrate and methods of fabrication | PSEMI CORPORATION |
11164892 | Semiconductor-on-insulator (SOI) device with reduced parasitic capacitance | NEWPORT FAB, LLC |
11164893 | Radio-frequency loss reduction for integrated devices | JUNIPER NETWORKS, INC. |
11164894 | Display panel and manufacturing method thereof | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164895 | Array substrate, method for manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11164896 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164897 | Display device | SHARP KABUSHIKI KAISHA |
11164898 | Multilevel semiconductor device and structure | MONOLITHIC 3D INC. |
11164899 | Imaging device | CANON KABUSHIKI KAISHA |
11164900 | Image sensor chip-scale-package | OMNIVISION TECHNOLOGIES, INC. |
11164901 | Image sensor and method for manufacturing image sensor | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11164902 | Image sensor having isolation structure | -- |
11164903 | Image sensor with pad structure | -- |
11164904 | Solid-state imaging device, manufacturing method thereof, and electronic apparatus | SONY GROUP CORPORATION |
11164905 | Manufacture of semiconductor display device | FACEBOOK TECHNOLOGIES, LLC |
11164906 | Magnetic tunnel junction element, magnetic memory using the same, and manufacture method of magnetic tunnel junction element | HITACHI HIGH-TECH CORPORATION |
11164907 | Resistive random access memory integrated with stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164908 | Vertical intercalation device for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164909 | Display panel, manufacturing method thereof, and display device | -- |
11164910 | Pixel structures with at least two sub-pixels having a same color | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11164911 | Displays with white organic light-emitting diodes | APPLE INC. |
11164912 | Display apparatus having color filters and a light-shielding element | LG DISPLAY CO., LTD. |
11164913 | Display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11164914 | Manufacturing method of a display panel with a display area, display panel with a display area, and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11164915 | Display device including an input sensing circuit having dummy patterns | SAMSUNG DISPLAY CO., LTD. |
11164916 | Display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164917 | Electronic devices with illuminated display borders | APPLE INC. |
11164918 | Organic light emitting diode display panel having connection portion connecting organic light emitting diode to peripheral circuit and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11164919 | Method of manufacturing polycrystalline silicon layer, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11164920 | Display substrate, method of manufacturing same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11164921 | Array substrate, method of manufacturing thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164922 | Method of fabricating light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
11164923 | Display | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164924 | Organic light-emitting display apparatus comprising self-assembled layer containing fluorine | SAMSUNG DISPLAY CO., LTD. |
11164925 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11164926 | Organic light emitting display device and method for repairing organic light emitting display device | LG DISPLAY CO., LTD. |
11164927 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11164928 | Flexible organic electroluminescent device and method for fabricating the same | LG DISPLAY CO., LTD. |
11164929 | Display substrate including electrically interconnecting light shielding layer and barrier structure between grooves, and method of forming the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164930 | Organic light emitting diode display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164931 | Electrostatic discharge unit in non-display area of display panel and display device having the display pannel | SHANGHAI TIANMA AM-OLED CO., LTD. |
11164932 | Backplane for display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11164933 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11164934 | Tiled displays with black-matrix support screens | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11164936 | Semiconductor device fabrication method and semiconductor device | FUJITSU SEMICONDUCTOR MEMORY SOLUTION LIMITED |
11164938 | DRAM capacitor module | MICROMATERIALS LLC |
11164940 | Method of forming III-V on insulator structure on semiconductor substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164941 | Semiconductor structure and fabrication method | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164942 | Method for forming nanosheet transistor structures | IMEC VZW |
11164943 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11164945 | SOI substrate, semiconductor device and method for manufacturing the same | -- |
11164946 | Manufacturing method for flash device | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11164947 | Wrap around contact formation for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164950 | Semiconductor device and production method | TOYODA GOSEI CO., LTD. |
11164951 | Thin film transistor and manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD |
11164963 | Bipolar transistor and radio-frequency power amplifier module | MURATA MANUFACTURING CO., LTD. |
11164969 | Segmented power transistor | TEXAS INSTRUMENTS INCORPORATED |
11164971 | Vertical SiC MOSFET | ROBERT BOSCH GMBH |
11164973 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164974 | Channel layer formed in an art trench | INTEL CORPORATION |
11164975 | Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width | INTEL CORPORATION |
11164976 | Doped polar layers and semiconductor device incorporating same | KEPLER COMPUTING INC. |
11164977 | Semiconductor device, method for manufacturing the same, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11164978 | High-voltage diode finFET platform designs | GLOBALFOUNDRIES U.S. INC. |
11164980 | Silicon photonics integration method and structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164982 | Flexible and strechable imager, method of making a flexible and stretchable imager, and method of using an imaging device having a flexible and stretchable imager | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11164991 | Light emitting device and method for manufacturing light emitting device | NICHIA CORPORATION |
11164992 | Device with integration of light-emitting diode, light sensor, and bio-electrode sensors on a substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164998 | Electrode structure, micro light emitting device, and display panel | -- |
11164999 | White light emitting device and diffusing layer | BRIDGELUX, INC. |
11165001 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11165005 | Method for producing a microelectronic chip to be hybridised to a second chip | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11165006 | Light emitting device including external connection electrodes, and method thereof | NICHIA CORPORATION |
11165012 | Magnetic device and magnetic random access memory | -- |
11165015 | Magnetic tunnel junction device, magnetoresistive random access memory using same and manufacturing method of magnetic tunnel junction device | HITACHI HIGH-TECH CORPORATION |
11165016 | Memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11165017 | Replacement bottom electrode structure process to form misalignment tolerate MRAM with high yield | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165018 | Semiconductor device including data storage structure | SAMSUNG ELECTRONICS CO., LTD. |
11165020 | Multi-bit resistive random access memory cell and forming method thereof | -- |
11165021 | RRAM device with improved performance | -- |
11165023 | Display substrate, method of manufacturing display substrate, display panel, and mask | HEFEI BOE JOINT TECHNOLOGY CO., LTD. |
11165030 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11165031 | Layered metal oxide field effect material and its application | YUNNAN UNIVERSITY |
11165032 | Field effect transistor using carbon nanotubes | -- |
11165038 | Display module and electronic device with auxiliary electrode layer on cathode layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11165039 | Display panel and manufacturing method thereof | -- |
11165043 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11165044 | Display device | SAMSUNG DISPLAY CO., LTD. |
11165046 | Borderless display with light-bending structures | APPLE INC. |
11165047 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165048 | Display panel, method of manufacturing same, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11165049 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11165050 | Organic light-emitting apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165136 | Flex integrated antenna array | QUALCOMM INCORPORATED |
11165137 | Antenna-integrated radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11165143 | Antenna module and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11165147 | Over-molded thin film antenna device | PCTEL, INC |
11165178 | Electrical interconnection system and method for electrically interconnecting electrical components of a module | LEAR CORPORATION |
11165182 | Functional contactor | AMOTECH CO., LTD. |
11165231 | Apparatus for different sized boxes | -- |
11165247 | Protection circuit for terminal camera | HONOR DEVICE CO., LTD. |
11165248 | Air gap metal tip electrostatic discharge protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165249 | Signal switching apparatus | -- |
11165313 | Decelerator-equipped motor unit | MABUCHI MOTOR CO., LTD. |
11165363 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11165897 | Roll-slide mobile terminal | LG ELECTRONICS INC. |
11165936 | Camera module and optical device including same | LG INNOTEK CO., LTD. |
11165940 | Image capturing module having two light-shielding adhesive layers closing two notches located on lens base, and manufacturing method thereof | -- |
11165979 | Imaging device including semiconductor substrate and pixels | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11165982 | Spatial derivative pixel array with adaptive quantization | FACEBOOK TECHNOLOGIES, LLC |
11165983 | Data readout with active reset feedback amplifier for stacked image sensor | OMNIVISION TECHNOLOGIES, INC. |
11166351 | Solder reflow apparatus and method of manufacturing an electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11166363 | Electrical node, method for manufacturing electrical node and multilayer structure comprising electrical node | TACTOTEK OY |
11166364 | Electrical node, method for manufacturing electrical node and multilayer structure comprising electrical node | TACTOTEK OY |
11166365 | Printed circuit board and manufacturing method for the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11166366 | Heat sink for a printed circuit board | TRI-TECH INTERNATIONAL |
11166367 | Setting the impedance of signal traces of a circuit board using a reference trace | SUPER MICRO COMPUTER, INC. |
11166368 | Printed circuit board and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11166369 | Light-emitting device and method for manufacturing same | LINXENS HOLDING |
11166370 | Resin composition, prepreg, metal foil-clad laminate, resin sheet, and printed wiring board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11166371 | Electronic device and mainboard and system in package module thereof | -- |
11166372 | Electronic device | MURATA MANUFACTURING CO., LTD. |
11166373 | Voltage regulator module | -- |
11166374 | Connection plate, circuit board assembly, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11166375 | Composite electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11166376 | Electronic component with SA/BW ratio and board having the same mounted thereon | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11166377 | Method of cutting electronic component, method of removing component, and method of manufacturing electronic device | KIOXIA CORPORATION |
11166378 | Carrier-foil-attached ultra-thin copper foil | ILJIN MATERIALS CO., LTD. |
11166379 | Integrated circuit package substrate | INTEL CORPORATION |
11166380 | Method of manufacture of a structure and structure | TACTOTEK OY |
11166381 | Solder-pinning metal pads for electronic components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11166382 | Connection structure including circuit body and conductive body | YAZAKI CORPORATION |
11166383 | Resin-clad copper foil, copper-clad laminated plate, and printed wiring board | MITSUI MINING & SMELTING CO., LTD. |
11166384 | Fabrication process for flip chip bump bonds using nano-LEDs and conductive resin | KONICA MINOLTA LABORATORY U.S.A., INC. |
11166385 | Component carrier having a laser via and method of manufacturing | AT&S (CHINA) CO. LTD. |
11166386 | Interposer substrate, circuit module, and interposer substrate manufacturing method | MURATA MANUFACTURING CO., LTD. |
11166387 | Wiring board and manufacturing method thereof | -- |
11166391 | Electronic module and combination of an electronic module and a hydraulic plate | ROBERT BOSCH GMBH |
11166396 | Box-type vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11166398 | Functional contactor | AMOTECH CO., LTD. |
11166399 | Overmolded electronic module with an integrated electromagnetic shield using SMT shield wall components | SKYWORKS SOLUTIONS, INC. |
11166401 | Dye and pry process for surface mount technology dual in-line memory module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11167126 | Deep brain stimulation lead | ALEVA NEUROTHERAPEUTICS |
11167365 | Automatic solder paste addition apparatus for solder paste printer | ILLINOIS TOOL WORKS INC. |
11167469 | Template, method for manufacturing template, and pattern formation method | TOSHIBA MEMORY CORPORATION |
11167541 | Apparatus for manufacturing element array and apparatus for removing specific element | TDK CORPORATION |
11167603 | Tire pressure sensor | AUTEL INTELLIGENT TECHNOLOGY CORP., LTD. |
11168169 | Allyl-containing resin and uses of the same | -- |
11168200 | Resin composition and article made therefrom | ELITE ELECTRONIC MATERIAL (ZHONGSHAN) CO., LTD. |
11168234 | Enhanced adhesive materials and processes for 3D applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11168400 | Formation of terminal metallurgy on laminates and boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11168406 | Leveler compositions for use in copper deposition in manufacture of microelectronics | MACDERMID ENTHONE INC. |
11168865 | Light-emitting device and backlight | NICHIA CORPORATION |
11168878 | LED luminaire | COMPONENT HARDWARE GROUP, INC. |
11169005 | Sensor substrate for electromagnetic-induction type position sensor and method of manufacturing sensor substrate | OKUMA CORPORATION |
11169022 | Photo-detection device and imaging system | CANON KABUSHIKI KAISHA |
11169180 | Method for producing probes for testing integrated electronic circuits | STMICROELECTRONICS S.R.L. |
11169250 | Radar module incorporated with a pattern-shaping device | -- |
11169267 | Apparatus and methods for aligning devices for LIDAR systems | VELODYNE LIDAR USA, INC. |
11169270 | Solid-state imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11169283 | Pixel array panel and digital x-ray detector comprising the same | LG DISPLAY CO., LTD. |
11169307 | Composition, film forming method, method of manufacturing near infrared cut filter, method of manufacturing solid image pickup element, method of manufacturing image display device, and method of manufacturing infrared sensor | FUJIFILM CORPORATION |
11169308 | Color material dispersion liquid for color filters, color material, color filter, liquid crystal display device and organic light-emitting display device | DAI NIPPON PRINTING CO., LTD. |
11169336 | Electro-optical circuit comprising an optical transmission path, electro-optical assembly for installation in such an electro-optical circuit and method for producing an optical interface of an electro-optical circuit | SIEMENS AKTIENGESELLSCHAFT |
11169407 | Self-conducting light valve module and light valve heat dissipation device | NANHUA INTELLIGENT PRECISION MACHINE (SHENZHEN) CO., LTD. |
11169410 | Display panel and display device | HKC CORPORATION LIMITED |
11169424 | Display device | SHARP KABUSHIKI KAISHA |
11169583 | Methods and apparatus for mitigating temperature increases in a solid state device (SSD) | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169629 | Touch screen and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11169630 | Touch panel with nanowires | TPK ADVANCED SOLUTIONS INC. |
11169631 | Organic light emitting diode touch display panel and method for driving time division multiplexing | -- |
11169644 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11169645 | Method for forming auxiliary conductive unit on transparent electrode of touch sensor and product thereof | -- |
11169647 | Input sensing circuit including a pressure sensing part and a display including the same | SAMSUNG DISPLAY CO., LTD. |
11169649 | Touch panel, electronic device including same, and method for manufacturing touch panel | SAMSUNG DISPLAY CO., LTD. |
11169807 | System and method for dynamic accuracy and threshold control for branch classification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11169940 | Trace length on printed circuit board (PCB) based on input/output (I/O) operating speed | QUALCOMM INCORPORATED |
11170152 | Integrated circuit and layout method for standard cell structures | -- |
11170281 | Information carrying card comprising crosslinked polymer composition, and method of making the same | IDEMIA AMERICA CORP. |
11170697 | Electro-optical module, power supply substrate, wiring substrate, and electronic apparatus | 138 EAST LCD ADVANCEMENTS LIMITED |
11170709 | Multi-mode OLED display | UNIVERSAL DISPLAY CORPORATION |
11170710 | Display module with high resolution grayscale, display device, and driving method thereof | -- |
11170719 | TFT pixel threshold voltage compensation circuit with a source follower | SHARP KABUSHIKI KAISHA |
11170725 | Display device | SHARP KABUSHIKI KAISHA |
11170728 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11170824 | Electronic device | SK HYNIX INC. |
11170826 | Assemblies comprising memory cells and select gates; and methods of forming assemblies | MICRON TECHNOLOGY, INC. |
11170832 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11170834 | Memory cells and methods of forming a capacitor including current leakage paths having different total resistances | MICRON TECHNOLOGY, INC. |
11170835 | Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery | MICRON TECHNOLOGY, INC. |
11170836 | Method for reading and writing memory cells in three-dimensional FeRAM | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11170841 | Apparatus with extended digit lines and methods for operating the same | MICRON TECHNOLOGY, INC. |
11170842 | Stacked semiconductor device | RAMBUS INC. |
11170844 | Ultra-low supply-voltage static random-access memory (SRAM) with 8-transistor cell with P and N pass gates to same bit lines | ARIL COMPUTER CORPORATION |
11170854 | Layout pattern of two-port ternary content addressable memory | -- |
11170855 | Semiconductor device and manufacturing method of the same | KIOXIA CORPORATION |
11170926 | Isolated coupling structure | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11170938 | Multilayer ceramic capacitor and substrate including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11171016 | Semiconductor package and manufacturing process thereof | -- |
11171018 | Method of fabricating semiconductor device and encapsulant | SAMSUNG ELECTRONICS CO., LTD. |
11171036 | Preventing dielectric void over trench isolation region | GLOBALFOUNDRIES U.S. INC. |
11171038 | Fabrication method of integrated circuit semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171050 | Method for manufacturing a contact pad, method for manufacturing a semiconductor device using same, and semiconductor device | TOKYO ELECTRON LIMITED |
11171057 | Semiconductor fin design to mitigate fin collapse | INTEL CORPORATION |
11171059 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11171060 | Dual metal contacts with ruthenium metal plugs for semiconductor devices | TOKYO ELECTRON LIMITED |
11171061 | Method for patterning a lanthanum containing layer | -- |
11171062 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11171067 | Module having a sealing resin layer with radiating member filled depressions | MURATA MANUFACTURING CO., LTD. |
11171069 | Display module, manufacturing method thereof and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171071 | Power module and fabrication method of the power module | ROHM CO., LTD. |
11171072 | Heat dissipation substrate and manufacturing method thereof | -- |
11171074 | Heat sink board, manufacturing method thereof, and semiconductor package including the same | JMJ KOREA CO., LTD. |
11171075 | Stacked microfluidic cooled 3D electronic-photonic integrated circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11171076 | Compute-in-memory packages and methods forming the same | -- |
11171078 | Semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11171082 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11171086 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171087 | Semiconductor structure and controlling method thereof | -- |
11171091 | Semiconductor device having contact plug connected to gate structure on PMOS region | -- |
11171105 | Semiconductor package and manufacturing method of the same | -- |
11171109 | Techniques for forming semiconductor device packages and related packages, intermediate products, and methods | MICRON TECHNOLOGY, INC. |
11171110 | Backside metalization with through-wafer-via processing to allow use of high q bondwire inductances | SKYWORKS SOLUTIONS, INC. |
11171112 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171113 | Semiconductor package structure having an annular frame with truncated corners | -- |
11171114 | Die stack with cascade and vertical connections | INTEL CORPORATION |
11171115 | Artificial intelligence processor with three-dimensional stacked memory | KEPLER COMPUTING INC. |
11171116 | Semiconductor devices and manufacturing methods of the same | SAMSUNG ELECTRONICS CO., LTD. |
11171117 | Interlayer connection of stacked microelectronic components | INVENSAS BONDING TECHNOLOGIES, INC. |
11171118 | Semiconductor assemblies including thermal circuits and methods of manufacturing the same | MICRON TECHNOLOGY, INC. |
11171119 | Semiconductor module including a semiconductor package connected to a module substrate and a bonding wire | SAMSUNG ELECTRONICS CO., LTD. |
11171120 | Integrated circuit package assembly | -- |
11171121 | Semiconductor devices with redistribution structures configured for switchable routing | MICRON TECHNOLOGY, INC. |
11171122 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11171123 | Method of producing an optoelectronic lighting device and optoelectronic lighting device | OSRAM OLED GMBH |
11171124 | Light-emitting substrate and repair method thereof | -- |
11171125 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11171126 | Configurable substrate and systems | OCTAVO SYSTEMS LLC |
11171127 | Semiconductor device and method of manufacturing semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11171128 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11171129 | Method for producing an optoelectronic component and optoelectronic component | OSRAM OLED GMBH |
11171131 | Multi-fingered diode with reduced capacitance and method of making the same | STMICROELECTRONICS INTERNATIONAL N.V. |
11171132 | Bi-directional breakdown silicon controlled rectifiers | GLOBALFOUNDRIES U.S. INC. |
11171133 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171134 | Techniques providing metal gate devices with multiple barrier layers | -- |
11171135 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171136 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11171137 | Method of making FinFET CMOS device including single diffusion break in each of NMOS and PMOS regions | -- |
11171138 | Semiconductor arrangement and method of manufacture | -- |
11171139 | Transistors with various threshold voltages and method for manufacturing the same | -- |
11171140 | Semiconductor memory device and method of forming the same | MICRON TECHNOLOGY, INC. |
11171141 | Gap fill methods of forming buried word lines in DRAM without forming bottom voids | APPLIED MATERIALS, INC. |
11171142 | Integrated circuit with vertical structures on nodes of a grid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171143 | Semiconductor structure with dielectric fin in memory cell and method for forming the same | -- |
11171144 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11171145 | Memory devices based on capacitors with built-in electric field | INTEL CORPORATION |
11171146 | Memory devices and methods of forming memory devices | MICRON TECHNOLOGY, INC. |
11171148 | Isolation structures for integrated circuit devices | MICRON TECHNOLOGY, INC. |
11171149 | Semiconductor storage device with three dimensional memory cell array | TOSHIBA MEMORY CORPORATION |
11171150 | Three-dimensional memory device containing a channel connection strap and method for making the same | SANDISK TECHNOLOGIES LLC |
11171151 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11171152 | Three-dimensional flash memory device including cell gate patterns having blocking barrier patterns and a method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11171153 | Integrated assemblies having improved charge migration | MICRON TECHNOLOGY, INC. |
11171154 | Vertical memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11171155 | Multi-layer semiconductor element, semiconductor device, and electronic device for storage, and method of manufacturing the same | SONY CORPORATION |
11171156 | Ferroelectric memory device | KIOXIA CORPORATION |
11171157 | Method for forming a MFMIS memory device | -- |
11171158 | SOI substrate compatible with the RFSOI and FDSOI technologies | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11171159 | Display backplane and method for manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171160 | Array substrate, manufacturing method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11171161 | TFT substrate, scanning antenna provided with TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
11171162 | Display device having scan lines of different lengths | JAPAN DISPLAY INC. |
11171163 | Photosensitive pixel structure with wrapped resistor | PIXIUM VISION SA |
11171164 | Image sensor, image processing method, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11171165 | Photoelectric conversion element, imaging element, optical sensor comprising a photoelectric conversion film containing a predetermined compound, and compound | FUJIFILM CORPORATION |
11171166 | Camera assembly and packaging method thereof, lens module, electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11171167 | Solid-state imaging apparatus and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11171168 | Bi-spectral detector | THALES |
11171169 | Image sensor, imaging device and imaging method | OLYMPUS CORPORATION |
11171170 | Image sensor package with flexible printed circuits | SONY CORPORATION |
11171171 | X-ray detector | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11171172 | Image sensor and method of forming the same | -- |
11171173 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11171174 | Device and method for multispectral imaging in the infrared | OFFICE NATIONAL D'ETUDES ET DE RECHERCHES AEROSPATIALES (ONERA) |
11171175 | Magnetic device and memory device | TOSHIBA MEMORY CORPORATION |
11171176 | Asymmetric selector element for low voltage bipolar memory devices | INTEL CORPORATION |
11171177 | Phase change memory devices with enhanced vias | INTEL CORPORATION |
11171178 | Semiconductor memory device having a variable resistence layer | SK HYNIX INC. |
11171179 | Memory array, method for manufacturing memory array, memory array sheet, method for manufacturing memory array sheet, and wireless communication apparatus | TORAY INDUSTRIES, INC. |
11171180 | Array substrate, display device, and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11171182 | Light-emitting substrate and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171183 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11171184 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11171185 | Sensor unit, display device including the same, and method for measuring moisture using the same | SAMSUNG DISPLAY CO., LTD. |
11171186 | Light-emitting structure of display panel with variable reflectivity units having piezoelectric structures, and control method for the same | SHANGHAI TIANMA AM-OLED CO., LTD. |
11171187 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11171188 | Organic light-emitting diode device, organic light-emitting diode display apparatus, and preparation method for organic light-emitting diode device | BOE TECHNOLOGY GROUP CO., LTD. |
11171189 | Display device | SAMSUNG DISPLAY CO., LTD. |
11171190 | Semiconductor device, input/output device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171191 | Display apparatus having a light-emitting device on an over-coat layer, and method of forming the same | LG DISPLAY CO., LTD. |
11171192 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11171193 | Semiconductor device including an oxide thin film transistor | SAMSUNG DISPLAY CO., LTD. |
11171194 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171195 | Display device including a power supply line that overlaps a driving circuit | SAMSUNG DISPLAY CO., LTD. |
11171196 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171197 | Display device | SAMSUNG DISPLAY CO., LTD. |
11171198 | Display device having flexibility | JAPAN DISPLAY INC. |
11171205 | Semiconductor constructions, memory arrays, electronic systems, and methods of forming semiconductor constructions | MICRON TECHNOLOGY, INC. |
11171206 | Channel conduction in semiconductor devices | MICRON TECHNOLOGY, INC. |
11171214 | Semiconductor epitaxial wafer, semiconductor device, and method for manufacturing semiconductor epitaxial wafer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11171217 | Memory structure and manufacturing method thereof | -- |
11171221 | VFET bottom epitaxy formed with anchors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171222 | Semiconductor device and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11171225 | Monolithic qubit integrated circuits | -- |
11171229 | Low switching loss high performance power module | CREE, INC. |
11171234 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11171235 | Semiconductor device and method for fabricating the same | -- |
11171236 | Cut-fin isolation regions and method forming same | -- |
11171239 | Transistor channel passivation with 2D crystalline material | INTEL CORPORATION |
11171240 | Recessed thin-channel thin-film transistor | INTEL CORPORATION |
11171243 | Transistor structures with a metal oxide contact buffer | INTEL CORPORATION |
11171245 | Thin film transistor comprising active layer having thickness difference and display apparatus comprising the same | LG DISPLAY CO., LTD. |
11171255 | High sensitivity optoelectronic device for detecting chemical species and related manufacturing method | STMICROELECTRONICS S.R.L. |
11171256 | Process for manufacturing a plurality of crystalline semiconductor islands having a variety of lattice parameters | SOITEC |
11171259 | Electrode substrate for transparent light-emitting diode display and method for manufacturing same | LG CHEM, LTD. |
11171262 | LED module | SHENZHEN REFOND OPTOELECTRONICS CO., LTD. |
11171264 | Light emitting module | SEOUL SEMICONDUCTOR CO., LTD. |
11171265 | Light emitting device having an optically pumped semiconductor wavelength converting element | LUMILEDS LLC |
11171266 | Shaped phosphor to reduce repeated reflections | LUMILEDS LLC |
11171267 | Process for fabricating an optoelectronic device including photoluminescent pads of photoresist | ALEDIA |
11171270 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171273 | LED package with integrated features for gas or liquid cooling | LUMILEDS LLC |
11171274 | Light emitting element and light emitting device | NICHIA CORPORATION |
11171275 | Method of manufacturing an LED assembly | LUMILEDS LLC |
11171283 | Modified double magnetic tunnel junction structure suitable for BEOL integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171284 | Memory device | -- |
11171285 | Non-ferromagnetic spacing composite layer, its method, synthetic antiferromagnetic laminated structure, and magnetoresistive random access memory | -- |
11171287 | Variable resistance memory device including silicon capping pattern | SAMSUNG ELECTRONICS CO., LTD. |
11171288 | Mask assembly, deposition apparatus having the same, and method of fabricating display device using the same | SAMSUNG DISPLAY CO., LTD. |
11171292 | Organic compound, anthracene derivative, and light-emitting element, light-emitting device, and electronic device in which the anthracene derivative is used | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171296 | Organometallic compound, organic light-emitting device including the same, and organic light-emitting apparatus including the organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11171298 | Light-emitting device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171301 | Organic light emitting diode and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
11171303 | Display panel and method for fabricating the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171304 | Flexible substrate, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171305 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11171306 | Package substrate, manufacturing method thereof, OLED display panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11171307 | Display screens and display devices having support pillars and buffers | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11171308 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11171310 | Package structure having multiple organic layers with evenly distributed liquid crystal molecules. display panel, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171311 | Display device | LG DISPLAY CO., LTD. |
11171312 | Display panel and display device having dispersion and non-dispersion areas | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGIES CO., LTD. |
11171313 | Incoherent thin film encapsulation for display | APPLE INC. |
11171315 | Semiconductor device having a structure which prevents a defect due to precision and bending and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171316 | Display substrate, method for preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171317 | Method of manufacturing display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171431 | Component insertion device with dummy component, and component insertion method and computer readable recording medium of using the same | OMRON CORPORATION |
11171444 | Apparatus and method for coupling the apparatus to a reciprocal apparatus | NOKIA TECHNOLGIES OY |
11171458 | Contact element, power semiconductor module with a contact element and method for producing a contact element | INFINEON TECHNOLOGIES AG |
11171502 | Free positioning charging pad | AIRA, INC. |
11171560 | Switching regulator having low start-up voltage and switch control circuit thereof | -- |
11171571 | Alternating current solid-state switch | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11171639 | Overvoltage protection | INFINEON TECHNOLOGIES AG |
11171736 | Ultra-wide band electromagnetic jamming projector | BATTELLE MEMORIAL INSTITUTE |
11172105 | Lens barrel and camera module comprising same | LG INNOTEK CO., LTD. |
11172142 | Image sensor for sensing LED light with reduced flickering | -- |
11172145 | Method of image fusion on camera device equipped with multiple cameras | -- |
11172146 | Imaging apparatus and solid-state imaging device used therein | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11172147 | Photoelectric conversion device, method of driving photoelectric conversion device, imaging system, and movable object, generating a signal based on pulse width and pulse count | CANON KABUSHIKI KAISHA |
11172153 | Pixel circuit | -- |
11172155 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11172156 | Semiconductor device and method of manufacture | -- |
11172567 | Assembly method and device for circuit structural member and circuit structural member | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11172569 | Strip for an electronic device and manufacturing method thereof | -- |
11172570 | Stretchable circuit substrate and article | DAI NIPPON PRINTING CO., LTD. |
11172571 | Multipiece element storage package and multipiece optical semiconductor device | KYOCERA CORPORATION |
11172572 | Multilayer electronics assembly and method for embedding electrical circuit components within a three dimensional module | CRANE ELECTRONICS, INC. |
11172573 | Power supply device | MITSUBISHI ELECTRIC CORPORATION |
11172574 | Printed circuit board assembly | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11172575 | Human-machine interface assemblies | WHIRLPOOL CORPORATION |
11172576 | Method for producing a printed circuit board structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11172577 | Display device having an optical sensor | SAMSUNG DISPLAY CO., LTD. |
11172578 | Filling assembly | ITC INTERCIRCUIT ELECTRONIC GMBH |
11172579 | Method for reducing thin films on low temperature substrates | NCC NANO, LLC |
11172580 | BGA component masking dam and a method of manufacturing with the BGA component masking dam | ROSEMOUNT AEROSPACE INC. |
11172581 | Multi-planar circuit board having reduced z-height | INTEL CORPORATION |
11172582 | Substrate unit and substrate assembly, and camera module using same | LG INNOTEK CO., LTD. |
11172583 | Display device | LG DISPLAY CO., LTD. |
11172595 | Remote tuner module with improved thermal properties | HIRSCHMANN CAR COMMUNICATION GMBH |
11173562 | Solder paste feeding assembly and method | ILLINOIS TOOL WORKS INC. |
11174154 | Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor | VERSANA MICRO INC. |
11174369 | Arabitol and xylitol based flame retardants | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174385 | Resin composition and article made therefrom | -- |
11174401 | UV-curable ink composition, method for producing bezel pattern of display substrate using same, and bezel pattern produced thereby | LG CHEM, LTD. |
11174402 | Thermosetting resin composition for LDS, resin molded article, and three-dimensional molded interconnect device | SUMITOMO BAKELITE CO., LTD. |
11174411 | Liquid composition, and method for producing a film and a laminate by using the liquid composition | AGC INC. |
11174545 | Oblique deposition for quantum device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174549 | Substrate processing methods | SAMSUNG ELECTRONICS CO., LTD. |
11174996 | Lighting apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11175014 | Optoelectronically functional multilayer structure and related manufacturing method | TACTOTEK OY |
11175027 | Lighting apparatus with light source plate secured between two connector portions | XIAMEN LEEDARSON LIGHTING CO. LTD. |
11175189 | Electronics device | RENESAS ELECTRONICS CORPORATION |
11175219 | Throughput-scalable analytical system using single molecule analysis sensors | GENESENSE TECHNOLOGY INC. |
11175358 | Magnetic sensors with a mixed oxide passivation layer | MAGARRAY, INC. |
11175389 | Optical sensor device with deep and shallow control electrodes | INFINEON TECHNOLOGIES AG |
11175404 | Lidar system and method of operating the lidar system comprising a gating circuit range-gates a receiver based on a range-gating waveform | NXP B.V. |
11175405 | Spinning lidar unit with micro-optics aligned behind stationary window | OUSTER, INC. |
11175448 | Light-emitting device and method for fabricating same | DURA-CHIP (NANTONG) LIMITED |
11175474 | Lens barrel | CANON KABUSHIKI KAISHA |
11175496 | Display | VALEO COMFORT AND DRIVING ASSISTANCE |
11175524 | Method for fabricating curved display device | KORTEK CORPORATION |
11175535 | Backlight module, liquid crystal display, and spliced display device | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11175545 | Liquid crystal display | SAMSUNG DISPLAY CO., LTD. |
11175549 | Display substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11175555 | Display panel | -- |
11175693 | Foldable display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11175697 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11175757 | Light emitting touchpad device | -- |
11175760 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
11176046 | Graph-computing-oriented heterogeneous in-memory computing apparatus and operational method thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11176300 | Systems and methods for creating individualized processing chips and assemblies | IRDETO B.V. |
11176450 | Three dimensional circuit implementing machine trained network | XCELSIS CORPORATION |
11176551 | Horological functions in commercial transactions using time cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176885 | Display device, method for driving display device, and electronic device | SONY GROUP CORPORATION |
11176892 | Display device | SAMSUNG DISPLAY CO., LTD. |
11176900 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11176971 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11176981 | Spinel containing magnetic tunnel junction and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11176982 | Semiconductor device including spin-orbit torque line and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11176983 | Asynchronous read circuit using delay sensing in magnetoresistive random access memory (MRAM) | -- |
11176995 | Cross-point array of polymer junctions with individually-programmed conductances | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176996 | Resistive random access memory and resetting method thereof | -- |
11176997 | Memory cell | -- |
11176999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11177000 | Non-volatile memory and operating method thereof | -- |
11177004 | Semiconductor memory device including page buffers | SK HYNIX INC. |
11177066 | Egg-shaped continuous coils for inductive components | ASTEC INTERNATIONAL LIMITED |
11177129 | Method of manufacturing semiconductor device, method of forming pattern film, and metal-containing organic film | TOSHIBA MEMORY CORPORATION |
11177134 | Conductive pattern and method for manufacturing the same, thin film transistor, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177135 | Mask member and method for producing semiconductor device | TOSHIBA MEMORY CORPORATION |
11177139 | Electronic card with printed circuit comprising an antenna with integrated slots and method for the production thereof | INSTITUT VEDECOM |
11177140 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11177141 | Method for packaging a chip | JWL (ZHEJIANG) SEMICONDUCTOR CO., LTD |
11177142 | Method for dicing integrated fan-out packages without seal rings | -- |
11177145 | Apparatus and method for manufacturing plurality of electronic circuits | PRAGMATIC PRINTING LTD. |
11177154 | Carrier structure and micro device structure | -- |
11177155 | Direct bond method providing thermal expansion matched devices | RAYTHEON COMPANY |
11177157 | Method for constructing micro-LED display module | LUMENS CO., LTD. |
11177159 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11177161 | Semiconductor device, manufacturing method thereof, solid-state imaging device, and electronic apparatus with multi-layer interconnects | SONY CORPORATION |
11177175 | Microelectronic devices and methods for filling vias in microelectronic devices | MICRON TECHNOLOGY, INC. |
11177177 | Semiconductor device and method of manufacture | -- |
11177179 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11177180 | Profile control of a gap fill structure | -- |
11177181 | Scalable device for FINFET technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177185 | Semiconductor memory and method of manufacturing the semiconductor memory | TOSHIBA MEMORY CORPORATION |
11177188 | Heat dissipation substrate for multi-chip package | -- |
11177189 | Module including heat dissipation structure | MURATA MANUFACTURING CO., LTD. |
11177190 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11177191 | Rivet spacer for compromising assembling between two parts secured to each other via screw structure | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11177197 | Semiconductor package with solder standoff | TEXAS INSTRUMENTS INCORPORATED |
11177200 | Pad design for reliability enhancement in packages | -- |
11177201 | Semiconductor packages including routing dies and methods of forming same | -- |
11177204 | Power electronics package and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
11177209 | Semiconductor memory device and manufacturing method of the semiconductor memory device | SK HYNIX INC. |
11177212 | Contact formation method and related structure | -- |
11177215 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11177217 | Direct bonded heterogeneous integration packaging structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177218 | Package including metallic bolstering pattern and manufacturing method of the package | -- |
11177220 | Vertical and lateral interconnects between dies | INTEL CORPORATION |
11177223 | Electromagnetic interference shielding for packages and modules | QUALCOMM INCORPORATED |
11177224 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11177226 | Flexible shield for semiconductor devices | INTEL CORPORATION |
11177230 | Electronic device including at least one row of bumps | -- |
11177231 | Bonding contacts having capping layer and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177232 | Circuit device with monolayer bonding between surface structures | INTEL CORPORATION |
11177233 | Solder pads of variable thickness in an optoelectronic semiconductor chip, on a connection substrate for mounting a semiconductor chip, method of producing an optoelectronic component, and optoelectronic component having the solder pads | OSRAM OLED GMBH |
11177236 | Semiconductor device having case to which circuit board is bonded by bonding material and method of manafacturing thereof | FUJI ELECTRIC CO., LTD. |
11177238 | Semiconductor structure | -- |
11177239 | Semiconductor device including control switches to reduce pin capacitance | SANDISK INFORMATION TECHNOLOGY (SHANGHAI) CO., LTD. |
11177241 | Semiconductor device with top die positioned to reduce die cracking | WESTERN DIGITAL TECHNOLOGIES, INC. |
11177242 | Semiconductor device including magnetic hold-down layer | WESTERN DIGITAL TECHNOLOGIES, INC. |
11177243 | Micro light-emitting diode display fabrication and assembly | INTEL CORPORATION |
11177245 | Large area passive micro light-emitting diode matrix display | -- |
11177246 | Photo-sensitive silicon package embedding self-powered electronic system | TEXAS INSTRUMENTS INCORPORATED |
11177247 | Display apparatus and manufacturing method thereof | -- |
11177248 | Light-emitting component, display device and method for manufacturing a display device | OSRAM OLED GMBH |
11177249 | Semiconductor memory device and method of manufacturing the same | SK HYNIX INC. |
11177250 | Method for fabrication of high density logic and memory for advanced circuit architecture | TOKYO ELECTRON LIMITED |
11177251 | Circuit overvoltage protection | TEXAS INSTRUMENTS INCORPORATED |
11177252 | Semiconductor device and method of fabricating the same | LAPIS SEMICONDUCTOR CO., LTD. |
11177253 | Transistor with integrated capacitor | TEXAS INSTRUMENTS INCORPORATED |
11177254 | Stacked transistor device | APPLIED MATERIALS, INC. |
11177255 | Transistor structures having multiple threshold voltage channel materials | INTEL CORPORATION |
11177256 | Odd-fin height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same | -- |
11177257 | Fabrication of field effect transistors with different threshold voltages through modified channel interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177258 | Stacked nanosheet CFET with gate all around structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177259 | Multi-threshold gate structure with doped gate dielectric layer | -- |
11177260 | Semiconductor device having fin-type field effect transistor and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177261 | Nonvolatile nanotube switch elements using sidewall contacts | NANTERO, INC. |
11177262 | Semiconductor device or memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177263 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177264 | Semiconductor devices including a gate structure having multiple widths | SAMSUNG ELECTRONICS CO., LTD. |
11177265 | Integrated assemblies having threshold-voltage-inducing-structures proximate gated-channel-regions, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11177266 | Array of capacitors, an array of memory cells, a method of forming an array of capacitors, and a method of forming an array of memory cells | MICRON TECHNOLOGY, INC. |
11177267 | Semiconductor structure and manufacturing method thereof | -- |
11177268 | Memory device and manufacturing method thereof | -- |
11177269 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11177270 | Three-dimensional memory device and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177271 | Device, a method used in forming a circuit structure, a method used in forming an array of elevationally-extending transistors and a circuit structure adjacent thereto | MICRON TECHNOLOGY, INC. |
11177272 | Three-dimensional memory device and method for manufacturing the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177273 | Nonvolatile memory device including row decoder | SAMSUNG ELECTRONICS CO., LTD. |
11177274 | Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device | SAMSUNG ELECTRONICS CO., LTD. |
11177275 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11177276 | Conductive structures, assemblies having vertically-stacked memory cells over conductive structures, and methods of forming conductive structures | MICRON TECHNOLOGY, INC. |
11177277 | Word line architecture for three dimensional NAND flash memory | SANDISK TECHNOLOGIES LLC |
11177278 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11177279 | Formation of termination structures in stacked memory arrays | MICRON TECHNOLOGY, INC. |
11177280 | Three-dimensional memory device including wrap around word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11177281 | Fabrication method for a 3-dimensional NOR memory array | SUNRISE MEMORY CORPORATION |
11177282 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11177283 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177284 | Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same | SANDISK TECHNOLOGIES LLC |
11177285 | Conductive contacts in semiconductor on insulator substrate | ELPIS TECHNOLOGIES INC. |
11177286 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177287 | Thin film transistor, fabrication method therefor, and array substrate | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177288 | Display device comprising a plurality of thin film transistors and method for manufacturing the same | LG DISPLAY CO., LTD. |
11177289 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177291 | Displays with silicon and semiconducting oxide thin-film transistors | APPLE INC. |
11177292 | Display device | LG DISPLAY CO., LTD. |
11177293 | Array substrate and fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177294 | Array substrate with via hole structures, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177295 | Array substrate, manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177296 | Array substrate, display device, thin film transistor, and method for manufacturing array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177297 | Array substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177298 | TFT driving backplane | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177300 | Solid-state image pickup apparatus, method of manufacturing solid-state image pickup apparatus, and electronic apparatus | SONY CORPORATION |
11177301 | Reliable semiconductor packages | UTAC HEADQUARTERS PTE. LTD. |
11177302 | CMOS image sensor structure with microstructures formed on semiconductor layer | -- |
11177303 | Image sensor and semiconductor structure | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11177304 | Method for forming light-sensing device | -- |
11177305 | Method for fabricating image sensor | -- |
11177306 | Support structure for integrated circuitry | -- |
11177307 | Stacked semiconductor dies with a conductive feature passing through a passivation layer | -- |
11177308 | CMOS sensors and methods of forming the same | -- |
11177309 | Image sensor with pad structure | -- |
11177310 | Solid-state image pickup device | CANON KABUSHIKI KAISHA |
11177311 | Image sensor and image capture device | NIKON CORPORATION |
11177312 | Image sensor and image capture device | NIKON CORPORATION |
11177313 | Imaging system and imaging method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11177314 | Photoelectric conversion apparatus and image pickup system | CANON KABUSHIKI KAISHA |
11177315 | High-resolution display device | SAMSUNG ELECTRONICS CO., LTD. |
11177316 | Method of manufacturing light emitting device, light emitting device, and projector | SEIKO EPSON CORPORATION |
11177317 | Power harvesting for integrated circuits | SYNOPSYS, INC. |
11177318 | Semiconductor package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11177319 | RRAM device with spacer for electrode isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177320 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11177321 | Resistive random access memories and method for fabricating the same | -- |
11177322 | Image sensor and method of fabricating thereof | SAMSUNG ELECTRONICS CO., LTD. |
11177323 | Display device and manufacturing method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177324 | Display component, display device and display control method | BOE TECHNOLOGY GROUP CO., LTD. |
11177325 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177326 | Method of patterning film, display device with patterned film and method for preparing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11177327 | Display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177328 | Touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177329 | Viewing angle color shift control | APPLE INC. |
11177330 | Organic light emitting display panel including a plurality organic and inorganic layers | SAMSUNG DISPLAY CO., LTD. |
11177331 | Semiconductor device and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11177332 | TFT array substrate requiring fewer masks and method for manufacturing the same and OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177333 | Display device having a storage capacitor | SAMSUNG DISPLAY CO., LTD. |
11177334 | Display substrate, display panel and method of fabricating display substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177335 | Display device | SAMSUNG DISPLAY CO., LTD. |
11177336 | Display substrate, repairing method thereof and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11177337 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177338 | Display device having peripheral common voltage line | SAMSUNG DISPLAY CO., LTD. |
11177339 | Organic light-emitting display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
11177340 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177341 | Display device | SAMSUNG DISPLAY CO., LTD. |
11177343 | Three-dimensional memory devices with backside isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177349 | Field effect transistor with controllable resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177356 | Thin film transistor, array substrate, display apparatus, and method of fabricating thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11177359 | Semiconductor device and manufacturing method of semiconductor device | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11177362 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11177363 | Display device | JAPAN DISPLAY INC. |
11177367 | Self-aligned bottom spacer EPI last flow for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177369 | Stacked vertical field effect transistor with self-aligned junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177372 | Stacked vertical transport field effect transistor electrically erasable programmable read only memory (EEPROM) devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177373 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177380 | Silicon carbide semiconductor component | INFINEON TECHNOLOGIES AG |
11177382 | FinFET having a relaxation prevention anchor and related methods | -- |
11177384 | Method of forming a semiconductor device | -- |
11177387 | Semiconductor device and manufacturing method thereof | -- |
11177388 | Semiconductor device and method of manufacturing semiconductor device | JAPAN DISPLAY INC. |
11177389 | Integrated transistors having gate material passing through a pillar of semiconductor material, and methods of forming integrated transistors | MICRON TECHNOLOGY, INC. |
11177390 | Transistor having vertical structure and electric device | LG DISPLAY CO., LTD. |
11177392 | Transistor and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177393 | Semiconductor device with dual types of zero cost embedded memory | JONKER LLC |
11177395 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11177401 | System and method for illuminating a sample and collecting light reflected from the sample | ZFX GMBH |
11177407 | Method for manufacturing solar cell, solar cell, and solar cell module | KANEKA CORPORATION |
11177408 | Light detection device | KABUSHIKI KAISHA TOSHIBA |
11177409 | On-chip integration of MMIC and single photon detectors | KABUSHIKI KAISHA TOSHIBA |
11177410 | Electrically modulated IR sensitive photodiode and its integration in CMOS | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11177415 | Projection optical unit, optoelectronic semiconductor chip, optoelectronic illumination system, camera, terminal | OSRAM OLED GMBH |
11177417 | Light emitting device including phosphor layer with protrusions and recesses and method for manufacturing same | NICHIA CORPORATION |
11177418 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177419 | Optical device | -- |
11177420 | Optical coupling layer to improve output flux in LEDs | LUMILEDS LLC |
11177422 | LED filament with colored off state masking | SAVANT TECHNOLOGIES LLC |
11177425 | Driving backplane, method for manufacturing the same, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11177430 | Memory device and manufacturing method thereof | -- |
11177431 | Magnetic memory device and method for manufacturing the same | HEFECHIP CORPORATION LIMITED |
11177432 | Heusler-alloy and ferrimagnet based magnetic domain-wall devices for artificial neural network applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177434 | Chip package | -- |
11177435 | Cross-point memory-selector composite pillar stack structures and methods of forming the same | -- |
11177436 | Resistive memory with embedded metal oxide fin for gradual switching | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177437 | Alignment through topography on intermediate component for memory device patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177438 | Patterning oxidation resistant electrode in crossbar array circuits | TETRAMEN INC. |
11177447 | Flexible display and manufacturing method thereof | -- |
11177448 | Flexible display device and manufacturing method | BOE TECHNOLOGY GROUP CO., LTD. |
11177452 | Three stack hybrid white OLED for enhanced efficiency and lifetime | UNIVERSAL DISPLAY CORPORATION |
11177453 | Display device | LG DISPLAY CO., LTD. |
11177454 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11177455 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177456 | Display substrate, display apparatus, and method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11177457 | Display apparatus | -- |
11177458 | Display device having shock absorbing layer | SAMSUNG DISPLAY CO., LTD. |
11177460 | Sub-pixel structure, pixel structure, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177461 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11177462 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11177463 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11177464 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11177465 | Devices, structures, materials and methods for vertical light emitting transistors and light emitting displays | ATOM H2O, LLC |
11177589 | Electrical terminal and electrical connector thereof | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD. |
11177590 | Printed circuit board with a connector for electric connection of the PCB with another apparatus | INTEL CORPORATION |
11177591 | Connecting method, connecting structure and connection terminal | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11177628 | Assembly comprising an electric component | OSRAM OLED GMBH |
11177652 | Circuit assembly for protecting a unit to be operated from a supply network against surges | DEHN SE + CO. KG |
11177654 | Electro-static discharge (ESD) damage self-test | XILINX, INC. |
11177792 | Power supply semiconductor integrated memory control circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177802 | Amplitude-phase canceling circuit for switch linearity | SKYWORKS SOLUTIONS, INC. |
11178320 | Electronic device including electronic component disposed through display | SAMSUNG ELECTRONICS CO., LTD. |
11178326 | Image sensor and electronic device including image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11178351 | Readout circuit for pixel | -- |
11178392 | Integrated optical emitters and applications thereof | APPLE INC. |
11178735 | LED light strip and method for manufacturing the same | SIGNIFY HOLDING B.V. |
11178749 | Printed circuit board assembly and electronic apparatus using the same | -- |
11178750 | Multilayer substrate, multilayer substrate array, and transmission/ reception module | FUJIKURA LTD. |
11178751 | Printed circuit board having vias arranged for high speed serial differential pair data links | DELL PRODUCTS L.P. |
11178752 | Circuit board with electrostatic discharge protection mechanism and electronic apparatus having the same | -- |
11178753 | Switch device | SANYO ELECTRIC CO., LTD. |
11178754 | Display device and method of manufacturing flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11178755 | Flexible printed circuit board, method for producing connection body, and connection body | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11178757 | Selective dielectric resin application on circuitized core layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11178758 | Wiring substrate and method of manufacturing the wiring substrate | SEIKO EPSON CORPORATION |
11178759 | Electronic component and camera module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11178760 | Printed circuit board | KABUSHIKI KAISHA TOSHIBA |
11178761 | Printed circuit board and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11178762 | Connection structure for wiring substrate and flexible substrate and package for housing electronic components | NGK ELECTRONICS DEVICES, INC. |
11178763 | Flexible printed circuit board and electronic device comprising same | SAMSUNG ELECTRONICS CO., LTD. |
11178764 | Flexible substrate, electronic device, and method for manufacturing electronic device | PI-CRYSTAL INCORPORATION |
11178765 | Electronic device | MURATA MANUFACTURING CO., LTD. |
11178766 | Inner module with a retainer | CONCRAFT HOLDING CO., LTD. |
11178767 | Cylindrical cell with contact lugs | VARTA MICROBATTERY GMBH |
11178768 | Flexible printed circuit EMI enclosure | INTEL CORPORATION |
11178769 | Dataloggers in compact design | ELPRO-BUCHS AG |
11178770 | Semiconductor device and semiconductor device manufacturing method | FUJI ELECTRIC CO., LTD. |
11178771 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11178772 | Component carrier connected with a separate tilted component carrier for short electric connection | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11178773 | Conductor trace structure reducing insertion loss of circuit board | -- |
11178774 | Method for manufacturing circuit board | -- |
11178775 | Manufacturing method of circuit board and display device | HKC CORPORATION LIMITED |
11178776 | Fold flex circuit for LNOP | MASIMO CORPORATION |
11178777 | Component embedded circuit board with antenna structure and method for manufacturing the same | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
11178778 | High frequency module | MURATA MANUFACTURING CO., LTD. |
11178779 | Display device | SAMSUNG DISPLAY CO., LTD. |
11178780 | Floating bearing bush for a printed circuit board | ROBERT BOSCH GMBH |
11178784 | Display device | SHARP KABUSHIKI KAISHA |
11178799 | Electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11179029 | Image sensor with tolerance optimizing interconnects | DEPUY SYNTHES PRODUCTS, INC. |
11179048 | System for deploying an implant assembly in a vessel | ST. JUDE MEDICAL LUXEMBOURG HOLDINGS II S.A.R.L. (“SJM LUX 11”) |
11179104 | Method of manufacturing mouth guard having internal components for sensing impact forces | FORCE IMPACT TECHNOLOGIES, INC. |
11179138 | Tablet ultrasound system | TERATECH CORPORATION |
11180005 | Systems with windows | APPLE INC. |
11180373 | Nanocrystalline graphene and method of forming nanocrystalline graphene | SAMSUNG ELECTRONICS CO., LTD. |
11180617 | Method for preparing benzoxazine-containing resin composition, and prepreg and laminate made therefrom | SHENGYI TECHNOLOGY CO., LTD. |
11180673 | Conductive ink compositions | ELECTRONINKS INCORPORATED |
11180861 | 3-dimensional NOR string arrays in segmented stacks | SUNRISE MEMORY CORPORATION |
11181243 | Rugged flexible LED lighting panel | GLOWGADGET, LLC |
11181364 | Object detection system and method for a motor vehicle | VALEO VISION |
11181478 | Biosensors for biological or chemical analysis and methods of manufacturing the same | ILLUMINA, INC. |
11181488 | Multi-layer detector with a monolithic scintillator | KONINKLIJKE PHILIPS N.V. |
11181671 | Image sensor and electronic camera | NIKON CORPORATION |
11181672 | Imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11181673 | Optical filter, and camera module and electronic device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11181677 | Polarizing film, method for preparing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11181705 | Optical module | INNOLIGHT TECHNOLOGY (SUZHOU) LTD. |
11181757 | Heat management in wireless electronic devices | SNAP INC. |
11181762 | Display device | SAMSUNG DISPLAY CO., LTD. |
11181763 | Display device | SAMSUNG DISPLAY CO., LTD. |
11181779 | Quantum dot color filter ink compositions and devices utilizing the same | KATEEVA, INC. |
11181789 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
11181792 | Display device and semiconductor device | JAPAN DISPLAY INC. |
11181793 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11181795 | Array substrate and liquid crystal display panel | HKC CORPORATION LIMITED |
11182003 | Touch sensor with high sensitivity and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11182005 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11182007 | Input sensing unit and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11182015 | Organic light emitting display with touch sensor | LG DISPLAY CO., LTD. |
11182026 | Temperature and ultrasonic sensor fusion for biometric sensing | QUALCOMM INCORPORATED |
11182031 | Display device | LG DISPLAY CO., LTD. |
11182032 | Touch sensor module, window stack structure including the same and image display device including the same | DONGWOO FINE-CHEM CO., LTD. |
11182037 | Display device | SAMSUNG DISPLAY CO., LTD. |
11182527 | Cell placement site optimization | -- |
11182533 | Standard cells and variations thereof within a standard cell library | -- |
11182583 | Display panels and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11182584 | Fingerprint sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11183091 | Display apparatus and method of detecting defect of the same | SAMSUNG DISPLAY CO., LTD. |
11183093 | Pixel arrangement structure, display panel, and display device | -- |
11183111 | Pixel unit and method for manufacturing the same, and double-sided OLED display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183112 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183115 | Active matrix OLED display with normally-on thin-film transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183117 | Light-emitting element and display device | SONY GROUP CORPORATION |
11183123 | Gate driving circuit and display panel including the same | -- |
11183125 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11183165 | Electronic device, active noise reduction system, and active noise reduction method | -- |
11183223 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11183226 | Data and clock synchronization and variation compensation apparatus and method | INTEL CORPORATION |
11183227 | Electric field switchable magnetic devices | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11183228 | Memory circuit device including a selection circuit unit shared by a write circuit unit and a read circut unit | TOHOKU UNIVERSITY |
11183232 | Output buffer circuit with metal option | MICRON TECHNOLOGY, INC. |
11183233 | Semiconductor device for selectively performing isolation function and layout displacement method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11183234 | Bitcell supporting bit-write-mask function | -- |
11183235 | Non-volatile semiconductor memory device adapted to store a multi-valued data in a single memory cell | KIOXIA CORPORATION |
11183236 | Memory cell with built-in amplifying function, memory device and method using the same | -- |
11183242 | Preventing parasitic current during program operations in memory | MICRON TECHNOLOGY, INC. |
11183246 | Memory device | SK HYNIX INC. |
11183249 | Nonvolatile memory device with intermediate switching transistors and programming method | SAMSUNG ELECTRONICS CO., LTD. |
11183257 | Programmable memory | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11183324 | Inductor array | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183387 | Semiconductor device, semiconductor wafer and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11183388 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11183399 | Semiconductor device and method of manufacture | -- |
11183414 | Secondary packaging method and secondary package of through silicon via chip | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11183420 | High resistivity silicon-on-insulator wafer manufacturing method for reducing substrate loss | -- |
11183427 | Differing device characteristics on a single wafer by selective etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183428 | Method for manufacturing transistor device | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11183429 | Method of manufacturing a semiconductor device including forming a gate insulating material layer on a protection layer and removing the gate insulation material layer and the protection layer on the first region | SAMSUNG ELECTRONICS CO., LTD. |
11183431 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11183432 | Integrated circuits with recessed gate electrodes | INTEL CORPORATION |
11183436 | Power module package and packaging techniques | ALLEGRO MICROSYSTEMS, LLC |
11183439 | Package structure for power device | -- |
11183440 | Power modules for ultra-fast wide-bandgap power switching devices | GAN SYSTEMS INC. |
11183442 | Manufacturing method of heat dissipation component | -- |
11183445 | Semiconductor arrangement, laminated semiconductor arrangement and method for fabricating a semiconductor arrangement | INFINEON TECHNOLOGIES AG |
11183446 | X.5 layer substrate | QUALCOMM INCORPORATED |
11183447 | Flip-chip package substrate and method for fabricating the same | -- |
11183448 | Wiring circuit board and imaging device | NITTO DENKO CORPORATION |
11183453 | Electronic-component-embedded substrate having a wiring line with a roughened surface, electronic component device, and communication module | MURATA MANUFACTURING CO., LTD. |
11183456 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11183458 | Integrated circuit packaging structure and method | SHENZHEN XIUYUAN ELECTRONIC TECHNOLOGY CO., LTD |
11183459 | Fabric-based items with electrical component arrays | APPLE INC. |
11183461 | Semiconductor structure and manufacturing method thereof | -- |
11183465 | Radio-frequency module | MURATA MANUFACTURING CO., LTD. |
11183467 | Flexible circuit board, method for mounting the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183472 | Semiconductor device and manufacturing method of semiconductor device for improving solder connection strength | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183473 | Integrated circuit structure having dies with connectors of different sizes | -- |
11183480 | Semiconductor device | DENSO CORPORATION |
11183483 | Multichip module and electronic device | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11183484 | Semiconductor module, DIMM module, manufacturing method of semiconductor module, and manufacturing method of DIMM module | ULTRAMEMORY INC. |
11183485 | Semiconductor module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11183486 | High voltage solid-state transducers and solid-state transducer arrays having electrical cross-connections and associated systems and methods | MICRON TECHNOLOGY, INC. |
11183487 | Integrated circuit package and method | -- |
11183488 | Three-dimensional memory devices with stacked device chips using interposers | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183489 | Power electronics module | AUDI AG |
11183490 | Multi-layer power converter with devices having reduced lateral current | PSEMI CORPORATION |
11183491 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
11183492 | Multilevel template assisted wafer bonding | SKORPIOS TECHNOLOGIES, INC. |
11183493 | Semiconductor device using EMC wafer support system and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11183494 | Semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11183495 | Power semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11183496 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11183497 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11183498 | Semiconductor memory device having an electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11183499 | Dynamic random access memory and methods of manufacturing, writing and reading the same | -- |
11183500 | Semiconductor memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11183502 | Memory cell and Method for reading out data therefrom | -- |
11183503 | Memory cell having top and bottom electrodes defining recesses | -- |
11183504 | Structures for testing nanoscale devices including ferroelectric capacitors and methods for forming the same | -- |
11183505 | Process for fabricating medium-voltage transistors and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
11183506 | Method of making embedded memory device with silicon-on-insulator substrate | SILICON STORAGE TECHNOLOGY, INC. |
11183507 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11183508 | Methods of semiconductor device fabrication | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183509 | Non-volatile memory with silicided bit line contacts | CYPRESS SEMICONDUCTOR CORPORATION |
11183510 | Manufacturing method of semiconductor device and semiconductor device | RENESAS ELECTRONICS CORPORATION |
11183511 | Memory device and manufacturing method for the same | -- |
11183512 | Methods for forming three-dimensional memory device with support structure and resulting three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183513 | Semiconductor device and method for fabricating the same | -- |
11183514 | Vertically stacked field effect transistors | GLOBALFOUNDRIES U.S. INC. |
11183515 | Display device including polycrystalline silicon layer, method of manufacturing polycrystalline silicon layer, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11183516 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183517 | Display panel including external conductive pad, display apparatus including the same and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11183518 | Transistor array panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11183519 | Method and apparatus for manufacturing TFT substrate | HKC CORPORATION LIMITED |
11183520 | Display panel with insulating stripes in non-display area and method of fabricating thereof | -- |
11183521 | Display device having an embedded shielding layer flexible substrate | SAMSUNG DISPLAY CO., LTD. |
11183522 | Pixel array for a camera, camera and light propagation time camera system having a camera of this kind | PMDTECHNOLOGIES AG |
11183523 | CMOS image sensor having indented photodiode structure | -- |
11183524 | Imaging device and camera system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11183525 | Image sensor including laser shield pattern | SAMSUNG ELECTRONICS CO., LTD. |
11183526 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11183527 | Three-dimensional image sensor based on structured light | SAMSUNG ELECTRONICS CO., LTD. |
11183528 | Solid-state image-capturing element and having floating diffusion and hollow regions | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183529 | Solid state imaging device and electronic apparatus | SONY CORPORATION |
11183531 | Display screen, display module, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11183532 | Image sensor and method for fabricating the same | -- |
11183533 | Method for manufacturing curved-surface detector, and curved-surface detector manufactured using the manufacturing method | TOVIS CO., LTD. |
11183534 | Light emitting device with small footprint | BLACK PEAK LLC |
11183535 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183536 | Display panel, repair method of display panel, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11183537 | Light-emitting module | -- |
11183538 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11183539 | Display device having a detection element | SAMSUNG DISPLAY CO., LTD. |
11183540 | Imaging element, method of manufacturing imaging element, and imaging device | SONY CORPORATION |
11183541 | Very high resolution stacked OLED display | UNIVERSAL DISPLAY CORPORATION |
11183542 | Display panel and method for manufacturing display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11183543 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183544 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183546 | Thin film transistor and method of manufacturing the same, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183547 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183548 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183549 | Double-sided organic light emitting diode (OLED) display panel and manufacturing method | -- |
11183550 | Light-emitting device and electronic apparatus | SEIKO EPSON CORPORATION |
11183551 | Display panel having an electromagnetic shielding structure | -- |
11183552 | Display panel, method for manufacturing the same, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183553 | Organic light-emitting display device with sensing lines partially overlapping a common electrode | SAMSUNG DISPLAY CO., LTD. |
11183554 | Display device and method of manufacturing the display device | SAMSUNG DISPLAY CO., LTD. |
11183555 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183556 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183560 | Multi-gate semiconductor device | -- |
11183564 | Quantum dot devices with strain control | INTEL CORPORATION |
11183570 | Structures and methods for noise isolation in semiconductor devices | -- |
11183571 | Memory device and manufacturing method thereof | -- |
11183572 | Flash memory device including a buried floating gate and a buried erase gate and methods of forming the same | -- |
11183575 | Memory device and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183576 | Gate electrode layout with expanded portions over active and isolation regions | MICRON TECHNOLOGY, INC. |
11183579 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
11183580 | Structure and formation method of semiconductor device with metal gate stack | -- |
11183582 | Method for producing pillar-shaped semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
11183584 | Semiconductor device and manufacturing method thereof | -- |
11183586 | Cascode transistor device | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. |
11183592 | Field effect transistor with a hybrid gate spacer including a low-k dielectric material | INTEL CORPORATION |
11183593 | Three-dimensional field effect device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183594 | Dual gate control for trench shaped thin film transistors | INTEL CORPORATION |
11183595 | Thin film transistor, image display panel, and method for manufacturing thin film transistor | SAKAI DISPLAY PRODUCTS CORPORATION |
11183597 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183599 | Semiconductor device and manufacturing method thereof | -- |
11183600 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183601 | Semiconductor device with carrier lifetime control | FUJI ELECTRIC CO., LTD. |
11183608 | Photodetecting device with weak light signal detection and low power consumption | HAMAMATSU PHOTONICS K.K. |
11183610 | Photoelectric detector, preparation method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183611 | Substrate-free thin-film flexible photovoltaic device and fabrication method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183618 | Light emitting diode and display device comprising the same | LG DISPLAY CO., LTD. |
11183622 | Micro light-emitting device module | -- |
11183623 | Vertical solid-state devices | VUEREAL INC. |
11183627 | MRAM MTJ top electrode connection | -- |
11183628 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11183629 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11183631 | Electrode structure to improve RRAM performance | -- |
11183632 | Self-aligned edge passivation for robust resistive random access memory connection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183633 | Switch device, storage apparatus, and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183634 | Electronic device and method of manufacturing electronic device | SK HYNIX INC. |
11183636 | Techniques for forming RRAM cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183645 | Organic thin film and method for manufacturing organic thin film, organic electroluminescence element, display device, illumination device, organic thin film solar cell, thin film transistor, and coating composition | NIPPON HOSO KYOKAI |
11183650 | Display substrate, method of manufacturing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11183651 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11183652 | Flexible electronic device including conformal flexible protective layer | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11183653 | Flexible display device having a micro coating layer covered circuit wire | LG DISPLAY CO., LTD. |
11183654 | Photoelectric conversion element, imaging device, and optical sensor | SONY CORPORATION |
11183656 | Organic electroluminescent device, display substrate and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183658 | Method of manufacturing an organic light emitting diode (OLED) substrate and OLED substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183659 | Method of manufacturing organic light-emitting diode display substrate, display substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11183660 | Display device | -- |
11183662 | Display panel and manufacturing method thereof | -- |
11183664 | Sealing structure and sealing method, electronic device and sealing layer recycling method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183665 | Display substrate and method for making the same, display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11183667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183668 | Top-emission type micro cavity OLED display device | SEEYA OPTRONICS CO., LTD. |
11183669 | Display apparatus and head-mounted display including the same | LG DISPLAY CO., LTD. |
11183671 | Electroluminescent display and illuminating device and manufactured method thereof | -- |
11183672 | Display device having first and second adhesive portions | SAMSUNG DISPLAY CO., LTD. |
11183673 | Display device and a method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11183724 | Electrochemical cell connector having flexible circuit including plurality of arms with conductor in opening of arms and battery pack containing same | TC1 LLC |
11183756 | Distributed power supply system for phased arrays | ROCKWELL COLLINS, INC. |
11183784 | Interposer and method for manufacturing interposer | TYCO ELECTRONICS JAPAN G.K. |
11183787 | Electrical connector and connector system having plated ground shields | TE CONNECTIVITY SERVICES GMBH |
11183788 | Electrical connector for a controller | HONEYWELL INTERNATIONAL INC. |
11183830 | Methods for detecting an imminent power failure in time to protect local design state | QUALCOMM INCORPORATED |
11183834 | Semiconductor module and power conversion apparatus having a diode bridge circuit and a protection circuit | MITSUBISHI ELECTRIC CORPORATION |
11183837 | Apparatuses and method for over-voltage event protection | MICRON TECHNOLOGY, INC. |
11183934 | Embedded substrate voltage regulators | INFINEON TECHNOLOGIES AMERICAS CORP. |
11183936 | Semiconductor chip power supply system | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11184261 | Techniques to configure physical compute resources for workloads via circuit switching | INTEL CORPORATION |
11184555 | Image capture method adapted to flickering light sources and image sensor | STMICROELECTRONICS (GRENOBLE 2) SAS |
11184563 | Imaging device including photoelectric conversion layer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11184568 | Pixel charge control circuit in digital devices for images acquisition | EYE-TECH S.R.L. |
11184595 | Color correction using a sensor to reduce color distortions of a camera under a display | GOOGLE LLC |
11184973 | Printed circuit board including auxiliary power supply and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11184974 | Operating device and household appliance having the operating device | DIEHL AKO STIFTUNG & CO. KG |
11184975 | Method of creating a flexible circuit | CARNEGIE MELLON UNIVERSITY |
11184976 | Hyperelastic binder for printed, stretchable electronics | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11184978 | Electric device | THE FOUNDATION FOR THE PROMOTION OF INDUSTRIAL SCIENCE |
11184979 | Printed circuit board assembly | PHOENIX CONTACT GMBH & CO. KG |
11184980 | Foldable electronic device including integrated ground structure | SAMSUNG ELECTRONICS CO., LTD. |
11184981 | Method of supplying electrical power from rigid printed circuit board to another rigid printed circuit board in rigid-flex printed circuit board array | ADVENTIVE IP BANK |
11184982 | Display panel and chip-on-film (COF) package bonding structure, panel bonding pins, package bonding pins, and display panel and COF package bonding method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11184983 | Embedding known-good component between known-good component carrier blocks with late formed electric connection structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11184984 | Solder printing inspection device, solder printing inspection method and method of manufacturing substrate | CKD CORPORATION |
11184985 | Method of manufacturing touch structure and touch structure | BOE TECHNOLOGY GROUP CO., LTD. |
11184997 | System to reduce coolant use in an array of circuit boards | INTEL CORPORATION |
11185706 | Apparatus and method for incorporation of optical sensing into neurostimulation systems | WAVEGATE CORPORATON |
11185879 | Systems and methods for calibrating flow and for coating a substrate | NORDSON CORPORATION |
11185918 | Self-aligning metal patterning based on photonic sintering of metal nanoparticles | NATIONAL RESEARCH COUNCIL OF CANADA |
11186907 | Deposition apparatus for both lateral portions of substrate | TETOS CO., LTD. |
11186918 | Micro-roughened electrodeposited copper foil and copper clad laminate using the same | -- |
11187385 | Light emitting device | NICHIA CORPORATION |
11187392 | Headlight and operating method | OSRAM OLED GMBH |
11187397 | LED lighting apparatuses for backlight unit of a display | LUMENS CO., LTD. |
11187721 | Contactor for testing electronic device | -- |
11187735 | Assembly with one secondary coil for a field device with one inductive interface | ENDRESS+HAUSER CONDUCTA GMBH+CO. KG |
11187742 | Display panel and method for testing for occurrence of crack in display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11187789 | Devices incorporating integrated detectors and ultra-small vertical cavity surface emitting laser emitters | SENSE PHOTONICS, INC. |
11187802 | Electronically scanned light ranging device with multiplexed photosensors | OUSTER, INC. |
11187819 | Image sensor based on charge carrier avalanche | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11187884 | Viewing optic with an integrated display system | SHELTERED WINGS, INC. |
11187887 | Electrode substrate, method for producing same, and electronic device | SHARP KABUSHIKI KAISHA |
11187917 | Three-dimensional display and aerial three-dimensional display | SHARP KABUSHIKI KAISHA |
11187939 | Light source, light source assembly, display device and display method | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11187944 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11187946 | Display device | SAMSUNG DISPLAY CO., LTD. |
11187948 | Substrate for display device and display device | SHARP KABUSHIKI KAISHA |
11187949 | Array substrate and manufacturing method thereof, display panel, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11187958 | Display device and array substrate | JAPAN DISPLAY INC. |
11188162 | Display apparatus having a touch electrode on an encapsulating element | LG DISPLAY CO., LTD. |
11188163 | Touch display device and fabrication method thereof | LG DISPLAY CO., LTD. |
11188237 | Anti-hacking mechanisms for flash memory device | SILICON STORAGE TECHNOLOGY, INC. |
11188268 | Programmable processor in an integrated memory assembly | WESTERN DIGITAL TECHNOLOGIES, INC. |
11188701 | Stacked chip layout | -- |
11188703 | Integrated circuit, system, and method of forming the same | -- |
11188728 | Display device and method of driving the display device | SAMSUNG DISPLAY CO., LTD. |
11188849 | Removing leakage in a quantum bit | GOOGLE LLC |
11189207 | Chip-on-film and display including the same | LG DISPLAY CO., LTD. |
11189223 | Element substrate and light emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189224 | Organic electroluminescent device and electronic apparatus | SEIKO EPSON CORPORATION |
11189331 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH |
11189335 | Double write/read throughput by CMOS adjacent array (CaA) NAND memory | SANDISK TECHNOLOGIES LLC |
11189338 | Multi-rank high bandwidth memory (HBM) memory | XILINX, INC. |
11189340 | Circuit in memory device for parasitic resistance reduction | -- |
11189348 | Semiconductor memory device | KIOXIA CORPORATION |
11189351 | Peak and average current reduction for sub block memory operation | SANDISK TECHNOLOGIES LLC |
11189357 | Programmable memory device | -- |
11189409 | Electronic substrates having embedded dielectric magnetic material to form inductors | INTEL CORPORATION |
11189433 | Multifunctional solid-state devices for solar control, photovoltaic conversion and artificial lighting | CONSIGLIO NAZIONALE DELLE RICERCHE |
11189490 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11189507 | Chip packaging apparatus and method thereof | CAPCON LIMITED |
11189520 | Imaging device, method of manufacturing imaging device, and electronic device | SONY CORPORATION |
11189522 | Semiconductor device and method of manufacturing the same | -- |
11189525 | Via-first process for connecting a contact and a gate electrode | -- |
11189526 | Apparatus comprising staircase structures | MICRON TECHNOLOGY, INC. |
11189531 | Fin field-effect transistor device and method | -- |
11189532 | Dual width finned semiconductor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189540 | Arrangement and thermal management of 3D stacked dies | ADVANCED MICRO DEVICES, INC. |
11189541 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11189542 | Method for fabricating an electronic module via compression molding | INFINEON TECHNOLOGIES AUSTRIA AG |
11189544 | Plurality of cooling tubes with coolant for a power conversion package | DENSO CORPORATION |
11189546 | Semiconductor arrangement and method for making | -- |
11189547 | Semiconductor module and semiconductor module manufacturing method | FUJI ELECTRIC CO., LTD. |
11189549 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189563 | Semiconductor structure and manufacturing method thereof | -- |
11189564 | Metal-oxide-semiconductor field-effect-transistors (MOSFET) as antifuse elements | INTEL CORPORATION |
11189566 | Tight pitch via structures enabled by orthogonal and non-orthogonal merged vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189569 | Power grid layout designs for integrated circuits | ADVANCED MICRO DEVICES, INC. |
11189570 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11189571 | Electronic circuit device and method of manufacturing electronic circuit device | RISING TECHNOLOGIES CO., LTD. |
11189573 | Semiconductor package with electromagnetic interference shielding using metal layers and vias | INTEL CORPORATION |
11189579 | Semiconductor module and semiconductor device using the same | FUJI ELECTRIC CO., LTD. |
11189580 | Electrostatic discharge protection in integrated circuits | INTEL CORPORATION |
11189582 | Wire bond pad design for compact stacked-die package | WESTERN DIGITAL TECHNOLOGIES INC. |
11189583 | Semiconductor structure and manufacturing method thereof | -- |
11189584 | Driving chip including bonding pads in non-display area and display panel | WUHAN CHINA STAR OPTOELEETRONIES TECHNOLOGY CO., LTD. |
11189586 | Semiconductor device and fabrication method of the semiconductor device | ROHM CO., LTD. |
11189587 | Semiconductor device package with organic reinforcement structure | -- |
11189588 | Anisotropic conductive film with carbon-based conductive regions and related semiconductor assemblies, systems, and methods | MICRON TECHNOLOGY, INC. |
11189590 | Processes for adjusting dimensions of dielectric bond line materials and related films, articles and assemblies | MICRON TECHNOLOGY, INC. |
11189591 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11189595 | Package-on-package assembly with wire bond vias | INVENSAS CORPORATION |
11189596 | Methods of forming multi-chip wafer level packages | -- |
11189597 | Chip on film package | -- |
11189598 | Semiconductor device and method of forming embedded die substrate, and system-in-package modules with the same | STATS CHIPPAC PTE. LTD. |
11189599 | System formed through package-in-package formation | -- |
11189600 | Method of forming sacrificial self-aligned features for assisting die-to-die and die-to-wafer direct bonding | SAMSUNG ELECTRONICS CO., LTD. |
11189601 | Reflective solder mask layer for LED phosphor package | LUMILEDS LLC |
11189602 | Light-emitting device | -- |
11189603 | Semiconductor packages and methods of forming same | -- |
11189604 | Device assembly structure and method of manufacturing the same | -- |
11189605 | Displays with transparent bezels | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11189606 | Light emitting diode panel and method for manufacturing the light emitting diode panel | SAMSUNG ELECTRONICS CO., LTD. |
11189607 | Transparent micro LED display panel | -- |
11189608 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11189609 | Methods for reducing heat transfer in semiconductor assemblies, and associated systems and devices | MICRON TECHNOLOGY, INC. |
11189610 | Substrate structure and manufacturing process | -- |
11189611 | Electrostatic discharge protection semiconductor device | -- |
11189612 | Semiconductor device for downsizing and reducing resistance and inductance | ROHM CO., LTD. |
11189613 | Semiconductor device | -- |
11189614 | Process etch with reduced loading effect | INTEL CORPORATION |
11189615 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11189616 | Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189617 | Gate-all-around devices with reduced parasitic capacitance | QUALCOMM INCORPORATED |
11189618 | Semiconductor memory device including work function adjusting layer in buried gate line and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189620 | Dynamic memory structure with a shared counter electrode | -- |
11189621 | DRAM array, semiconductor layout structure therefor and fabrication method | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11189622 | Semiconductor device with graphene layer and method for forming the same | -- |
11189623 | Apparatuses, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11189624 | Memory structure and its formation method | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11189625 | Semiconductor memory device having cell source structure and manufacturing method thereof | SK HYNIX INC. |
11189626 | Partially disposed gate layer into the trenches | TEXAS INSTRUMENTS INCORPORATED |
11189627 | Method to reduce kink effect in semiconductor devices | -- |
11189628 | Trench gate high voltage transistor for embedded memory | -- |
11189629 | Integrated assemblies and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11189630 | Memory device and electronic device including insulating patterns with different thermal conductivities | SK HYNIX INC. |
11189631 | Three-dimensional flash memory device including channel structures having enlarged portions | SAMSUNG ELECTRONICS CO., LTD. |
11189632 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189633 | Semiconductor device and apparatus of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189634 | Non-volatile memory device including vertical pass transistors having a greater width in an area between a gate and a word line than a width of a channel structure in an area between a ground select line and the word line | SAMSUNG ELECTRONICS CO., LTD. |
11189635 | 3D-NAND mold | APPLIED MATERIALS, INC. |
11189636 | Vertical memory device with a channel layer in a stacked dielectric layer | SAMSUNG ELECTRONICS CO., LTD. |
11189637 | Three-dimensional memory array including self-aligned dielectric pillar structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11189638 | Semiconductor memory device including three-dimensionally stacked memory cells | KIOXIA CORPORATION |
11189639 | Integrated circuit including interconnection and method of fabricating the same, the interconnection including a pattern shaped for mitigating electromigration | SAMSUNG ELECTRONICS CO., LTD. |
11189640 | Integrated circuit including asymmetric ending cells and system-on-chip including the same | SAMSUNG ELECTRONICS CO., LTD. |
11189641 | Method for manufacturing array substrate, array substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11189642 | Semiconductor device and light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189643 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189644 | Array substrate and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11189645 | Active matrix substrate and liquid crystal display device | SHARP KABUSHIKI KAISHA |
11189646 | Display substrate including signal line electrically connected to conductive pattern through the plurality of via holes | BOE TECHNOLOGY GROUP CO., LTD. |
11189647 | Liquid crystal display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189648 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189649 | Photoelectric conversion apparatus and image pickup system | CANON KABUSHIKI KAISHA |
11189650 | Image sensor structure and manufacturing method thereof | -- |
11189651 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11189652 | Structure, color filter, solid-state imaging element, image display device, method for producing structure, and composition for forming organic material layer | FUJIFILM CORPORATION |
11189653 | Semiconductor device with buffer layer and method of forming | -- |
11189654 | Manufacturing methods of semiconductor image sensor devices | -- |
11189655 | Isolation structure for suppressing floating diffusion junction leakage in CMOS image sensor | OMNIVISION TECHNOLOGIES, INC. |
11189656 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189657 | Image sensor with improved quantum efficiency surface structure | -- |
11189658 | Magnetic random access memory and manufacturing method thereof | -- |
11189659 | Techniques for MRAM MTJ top electrode to via interface | -- |
11189660 | Non-volatile memory and method of fabricating the same | -- |
11189661 | FinFET 2T2R RRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189662 | Memory cell stack and via formation for a memory device | MICRON TECHNOLOGY, INC. |
11189663 | Efficient interconnecting layer for tandem solar cells | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11189664 | Radiation detection device comprising organic photodiodes | ISORG |
11189665 | Pixel arrangement for display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11189666 | Pixel arrangement structure, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189667 | Display microphone device | LG DISPLAY CO., LTD. |
11189668 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11189669 | Display apparatus having a flexible circuit board for driving a shutter film and method of manufacturing thereof | SAMSUNG DISPLAY CO., LTD. |
11189670 | Display apparatus having zero bezel by bending bezel area | LG DISPLAY CO., LTD. |
11189671 | Display device | SAMSUNG DISPLAY CO., LTD. |
11189672 | Transparent display with OLED substrate having multiple hollow parts and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11189673 | Organic light-emitting display device having first, second and third bank layers and method of fabricating the same | LG DISPLAY CO., LTD. |
11189674 | Anode structure, method of forming the same and organic light emitting diode display device including the same | DB HITEK CO., LTD. |
11189675 | Display module, display apparatus including the same and method of manufacturing display module | SAMSUNG ELECTRONICS CO., LTD. |
11189676 | Light-emitting device having fluorescent and phosphorescent materials | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189677 | Organic light emitting diode display device and method of manufacturing an organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11189678 | Electroluminescent display apparatus and display apparatus | LG DISPLAY CO., LTD. |
11189679 | Array substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189680 | Display device | SAMSUNG DISPLAY CO., LTD. |
11189681 | Organic light emitting diode display and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11189682 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11189683 | Array substrate, display panel and manufacturing method for array substrate | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD |
11189686 | Integrated device coupled to a capacitor structure comprising a trench capacitor | QUALCOMM INCORPORATED |
11189692 | VFET standard cell architecture with improved contact and super via | SAMSUNG ELECTRONICS CO., LTD. |
11189694 | Semiconductor devices and methods of forming the same | -- |
11189695 | Semiconductor device and method for fabricating the same | -- |
11189697 | Ultra-thin fin structure and method of fabricating the same | -- |
11189700 | Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices | INTEL CORPORATION |
11189707 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11189711 | Semiconductor structures | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11189717 | Steep slope transistors with threshold switching devices | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11189719 | Apparatus and circuits including transistors with different gate stack materials and methods of fabricating the same | -- |
11189730 | Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nMOS transistors | INTEL CORPORATION |
11189732 | Array substrate including a substrate, a first thin film transistor and a second thin film transistor, manufacturing method thereof, display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11189734 | Semiconductor device and display device | JAPAN DISPLAY INC. |
11189735 | Semiconductor device and display apparatus | JOLED INC. |
11189736 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189741 | Photodiode device, photodiode detector and methods of fabricating the same | NUCTECH COMPANY LIMITED |
11189742 | Photo-detection device, photo-detection system, and mobile apparatus | CANON KABUSHIKI KAISHA |
11189743 | Single photon avalanche diode | -- |
11189744 | Low noise Geiger-mode avalanche photodiode and manufacturing process | STMICROELECTRONICS S.R.L. |
11189745 | Light sensor circuit, light sensor device, and display device | JAPAN DISPLAY INC. |
11189753 | Solid state light sheet having wide support substrate and narrow strips enclosing LED dies in series | QUARKSTAR LLC |
11189758 | Light-emitting device | NICHIA CORPORATION |
11189759 | Light source device and light emitting device | SHARP KABUSHIKI KAISHA |
11189761 | Image display device and method for manufacturing image display device | NICHIA CORPORATION |
11189762 | Self-emissive element and manufacturing method of light emitting apparatus | -- |
11189763 | Backlight structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11189765 | Light emitting device and method of manufacturing light emitting device | NICHIA CORPORATION |
11189766 | Light emitting diode packages | CREELED, INC. |
11189767 | Display apparatus comprising light emitting devices coupled to a wiring board with conductive adhesive | LG ELECTRONICS INC. |
11189768 | Light emitting device, method of manufacturing light emitting device, and lighting tool for vehicle | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
11189771 | Breathable micro light emitting diode display | MIKRO MESA TECHNOLOGY CO., LTD. |
11189780 | Anisotropic strain-driven magnetoelectric devices | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11189782 | Multilayered bottom electrode for MTJ-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189783 | Embedded MRAM device formation with self-aligned dielectric cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189787 | Method for forming a phase change memory (PCM) cell with a low deviation contact area between a heater and a phase change element | -- |
11189788 | RRAM bottom electrode | -- |
11189789 | Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls | -- |
11189790 | Spacer-based patterning for tight-pitch and low-variability random access memory (RAM) bit cells and the resulting structures | INTEL CORPORATION |
11189791 | Integrated circuit and fabrication method thereof | -- |
11189792 | Oxide-based resistive non-volatile memory cell and method for manufacturing same | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11189793 | Method of forming resistive random access memory cell | -- |
11189796 | Array substrate and manufacturing method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11189809 | Organic EL device and display unit | SONY CORPORATION |
11189811 | Organic light emitting diode display panel having wide color gamut and low power consumption, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189813 | Wound management method and apparatus | OLD FIELD |
11189814 | Organic light emitting display apparatus and method of manufacturing the same | LG DISPLAY CO., LTD. |
11189815 | Display panel | LG DISPLAY CO., LTD. |
11189816 | Display substrate having a unitary cathode layer connected to a plurality of light emitting blocks, display apparatus, and method of fabricating display having the same | BOE TECHNOLOGY GROUP CO., LTD. |
11189817 | Light-emitting device, module, electronic device, and method for manufacturing light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189900 | Tapered broadband balun | CORNING RESEARCH & DEVELOPMENT CORPORATION |
11189907 | Three-dimensional electronic circuit | TOYOTA MOTOR EUROPE |
11189914 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11190012 | Electrostatic protection circuit | SEIKO EPSON CORPORATION |
11190128 | Parallel-connected solar roof tile modules | TESLA, INC. |
11190182 | Control circuitry for silicon-on-insulator chip | SKYWORKS SOLUTIONS, INC. |
11190205 | Single-ended direct interface dual DAC feedback photo-diode sensor | SIGMASENSE, LLC. |
11190460 | System-in-package network processors | INTEL CORPORATION |
11190629 | Sectioned wearable smartphone devices | -- |
11190711 | Solid-state image sensor and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11190720 | Image sensor and image capturing apparatus | CANON KABUSHIKI KAISHA |
11190722 | Image sensor, signal acquisition method and circuit of image sensor, storage medium and terminal | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11190866 | Display device | SAMSUNG DISPLAY CO., LTD. |
11191149 | Heat dissipation assembly of M.2 expansion card and electronic device | -- |
11191150 | Electronic component module and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11191151 | Semiconductor module including a printed circuit board | SK HYNIX INC. |
11191152 | Printed circuit board signal layer testing | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11191154 | Enclosure with tamper respondent sensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11191155 | Tamper-respondent assembly with structural material within sealed inner compartment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11191156 | Link loopback device | DONGGUAN LUXSHARE TECHNOLOGIES CO., LTD |
11191157 | Semiconductor device having buffer structure for external terminals | FUJI ELECTRIC CO., LTD. |
11191158 | Circuit board and process for preparing the same | SHENGYI TECHNOLOGY CO., LTD. |
11191159 | Printed circuit board connector | BATTELLE MEMORIAL INSTITUTE |
11191160 | Flexible base substrate, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11191161 | Electronic circuit | DENSO CORPORATION |
11191162 | Circuit board supporting structure and light emitting device having the same | HOYA CORPORATION |
11191163 | Flexible printed circuit board and flexible display module and electronic device comprising the same | LG DISPLAY CO., LTD. |
11191164 | Wiring structure and method of manufacturing the same, semiconductor device, multilayer wiring structure and method of manufacturing the same, semiconductor element mounting substrate, method of forming pattern structure, imprint mold and method of manufacturing the same, imprint mold set, and method of manufacturing multilayer wiring board | DAI NIPPON PRINTING CO., LTD. |
11191165 | Method for manufacturing a circuit having a lamination layer using laser direct structuring process | ETHERTRONICS, INC. |
11191166 | Fabrication method of flexible electronic device | -- |
11191167 | Method and system for in situ sintering of conductive ink | STRATASYS LTD. |
11191168 | Method of manufacturing composite circuit board and composite circuit board | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
11191169 | Method and apparatus for flexible circuit cable attachment | JABIL INC. |
11191170 | Silicone contact element | -- |
11191174 | Transmission control apparatus and method for fastening a signal input element to a circuit board element of a transmission control apparatus | ROBERT BOSCH GMBH |
11191180 | Electrical assembly | LEAR CORPORATION |
11191184 | Direct contact fluid based cooling module | JETCOOL TECHNOLOGIES INC. |
11191187 | Electronic assembly with phase-change material for thermal performance | DEERE & COMPANY |
11191192 | Electric power conversion apparatus | DENSO CORPORATION |
11191194 | Display device | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11191196 | Solderable electric conductive gasket | JOINSET CO., LTD. |
11191445 | Reflector markers and systems and methods for identifying and locating them | CIANNA MEDICAL, INC. |
11191499 | Radiographic imaging apparatus | FUJIFILM CORPORATION |
11191678 | Method of manufacturing a diaper with moisture sensors | -- |
11191923 | Elongate medical tools including printed circuit boards | PACESETTER, INC. |
11191952 | Implantable thin film devices | PACESETTER, INC. |
11192365 | Piezoelectric printhead and printing system | SUZHOU RUIFA PRINTING TECHNOLOGY CO., LTD |
11192972 | Polymer material, composition, and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11193031 | Dielectric ink composition | ALPHA ASSEMBLY SOLUTIONS INC. |
11193062 | Population of quantum dots and a composition including ihe same | SAMSUNG ELECTRONICS CO., LTD. |
11193064 | Phase difference plate for organic EL display device, organic EL display device, and method for producing phase difference plate | FUJIFILM CORPORATION |
11193203 | Mask for display | LG DISPLAY CO., LTD. |
11193206 | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials | VERSUM MATERIALS US, LLC |
11193639 | Light module and method of manufacturing the same | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11193808 | Device for detecting the level of a medium | ELTEK S.P.A. |
11193832 | Infrared detector, imaging device including the same, and manufacturing method for infrared detector | FUJITSU LIMITED |
11193843 | Capacitive pressure sensor | -- |
11193904 | Pixel for analyzing a gaseous analyte | -- |
11193953 | 3D chip testing through micro-C4 interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11193967 | Storing charge associated with electrical overstress | ANALOG DEVICES GLOBAL |
11194061 | Optical detector and optical detection device | HAMAMATSU PHOTONICS K.K. |
11194063 | X-ray detector having driver micro integrated chips printed on photodiode layer | RAYENCE CO., LTD. |
11194083 | Color filter including quantum dots and metal nanoparticles and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11194091 | Optical light guide systems for low Z-height LED arrays | LUMILEDS LLC |
11194179 | Wiring on curved surfaces | TECTUS CORPORATION |
11194188 | Display panel, driver circuit, and manufacturing method of display panel | -- |
11194191 | Foldable touch display device having different thickness at a folding region | -- |
11194202 | Substrate for display device, and display device | SHARP KABUSHIKI KAISHA |
11194203 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11194204 | Pixel array substrate | -- |
11194206 | Semiconductor substrate and display device | JAPAN DISPLAY INC. |
11194207 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11194395 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11194414 | Touch display device | LG DISPLAY CO., LTD. |
11194432 | Display device | SAMSUNG DISPLAY CO., LTD. |
11194657 | Semiconductor memory devices, memory systems, and methods of operating the semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11194726 | Stacked memory dice for combined access operations | MICRON TECHNOLOGY, INC. |
11194944 | False path timing exception handler circuit | TEXAS INSTRUMENTS INCORPORATED |
11195087 | Synapse array of a neuromorphic device including a synapse array having a plurality of ferroelectricity field effect transistors | SK HYNIX INC. |
11195088 | Data processing device using neural network, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195269 | Exposed pad integrated circuit package | TEXAS INSTRUMENTS INCORPORATED |
11195441 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195448 | Display and mobile terminal | HUAWEI TECHNOLOGIES CO., LTD. |
11195453 | Display device | JAPAN DISPLAY INC. |
11195456 | Display device with a reduced dead space | SAMSUNG DISPLAY CO., LTD. |
11195462 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195465 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195466 | Display device having gate bridges connecting scan gate lines to pixels and method for driving the same | SAMSUNG DISPLAY CO., LTD. |
11195468 | Display apparatus and method of driving display panel using the same | SAMSUNG DISPLAY CO., LTD. |
11195470 | Display device | -- |
11195484 | Display panel including demultiplexer, method of driving the same and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11195560 | Integrated assemblies having void regions between digit lines and conductive structures, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11195561 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195572 | Multi-die memory device | RAMBUS INC. |
11195577 | Switch element and method for manufacturing switch element | FUJITSU LIMITED |
11195578 | 1S-1C DRAM with a non-volatile CBRAM element | INTEL CORPORATION |
11195585 | Calculating shift amounts for read voltage correction | TOSHIBA MEMORY CORPORATION |
11195638 | Resin composition, prepreg, resin sheet, metal foil-clad laminate, and printed circuit board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11195639 | Conductor arrangement and production method | -- |
11195659 | Plated terminations | AVX CORPORATION |
11195679 | Temperature-dependent switch | -- |
11195741 | Micro device arrangement in donor substrate | VUEREAL INC. |
11195742 | Micro device transfer apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11195745 | Forming single and double diffusion breaks for fin field-effect transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195749 | Semiconductor device and manufacturing method | FUJI ELECTRIC CO., LTD. |
11195751 | Bilayer barrier for interconnect and memory structures formed in the BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195752 | Semiconductor device and method of forming same | -- |
11195753 | Tiered-profile contact for semiconductor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195758 | Semiconductor device and method for manufacturing semiconductor device having plurality of insulator | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195760 | Fin-type field-effect transistor device having substrate with heavy doped and light doped regions, and method of fabricating the same | -- |
11195761 | IC structure with short channel gate structure having shorter gate height than long channel gate structure | GLOBALFOUNDRIES U.S. INC. |
11195762 | Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195763 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11195764 | Vertical transport field-effect transistors having germanium channel surfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195765 | Semiconductor device including stressed source/drain, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11195766 | Manufacturing a combined semiconductor device | INFINEON TECHNOLOGIES AG |
11195775 | Semiconductor module, semiconductor device, and manufacturing method of semiconductor module | FUJI ELECTRIC CO., LTD. |
11195779 | Electronic module for motherboard | RAYTHEON COMPANY |
11195780 | Stacked silicon package assembly having thermal management using phase change material | XILINX, INC. |
11195781 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11195784 | Semiconductor device sub-assembly | DYNEX SEMICONDUCTOR LIMITED |
11195788 | Hybrid dielectric scheme in packages | -- |
11195794 | Stacked integrated circuit devices including a routing wire | SAMSUNG ELECTRONICS CO., LTD. |
11195797 | Applications of buried power rails | SAMSUNG ELECTRONICS CO., LTD. |
11195805 | Capacitor die embedded in package substrate for providing capacitance to surface mounted die | INTEL CORPORATION |
11195806 | High frequency waveguide structure | INTEL CORPORATION |
11195816 | Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same | -- |
11195817 | Semiconductor package and manufacturing method thereof | -- |
11195818 | Backside contact for thermal displacement in a multi-wafer stacked integrated circuit | -- |
11195819 | Semiconductor device | LONGITUDE LICENSING LIMITED |
11195820 | Semiconductor device including fractured semiconductor dies | SANDISK TECHNOLOGIES LLC |
11195821 | LED packaging unit, LED lamp comprising same, and method of the manufacture same | NANOGRID LIMITED |
11195822 | Light-emitting package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11195823 | Semiconductor package and manufacturing method thereof | -- |
11195824 | Pixel, display device including the same, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11195825 | Multi-diode semiconductor device and method of operation therefor | NEXPERIA B.V. |
11195826 | Electrostatic discharge protection | ALLEGRO MICROSYSTEMS, LLC |
11195827 | Semiconductor integrated circuit device including an electro-static discharge protection circuit | SK HYNIX INC. |
11195828 | Semiconductor device | JAPAN DISPLAY INC. |
11195830 | Memory devices | MICRON TECHNOLOGY, INC. |
11195831 | 3D semiconductor structure and method of fabricating the same | -- |
11195832 | High performance nanosheet fabrication method with enhanced high mobility channel elements | TOKYO ELECTRON LIMITED |
11195833 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11195834 | Semiconductor device having deep wells | -- |
11195835 | Memory device and manufacturing method thereof | -- |
11195836 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11195837 | Semiconductor devices including support patterns | SAMSUNG ELECTRONICS CO., LTD. |
11195838 | Arrays of capacitors, methods used in forming integrated circuitry, and methods used in forming an array of capacitors | MICRON TECHNOLOGY, INC. |
11195839 | 2S-1C 4F<sup>2 </sup>cross-point DRAM array | INTEL CORPORATION |
11195840 | Method and structures pertaining to improved ferroelectric random-access memory (FeRAM) | -- |
11195841 | Integrated circuit and method for manufacturing the same | -- |
11195842 | Vertical non-volatile memory structure with additional bitline in wordline stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195843 | Non-volatile memory device having a floating gate type memory cell | KIOXIA CORPORATION |
11195844 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11195845 | Substrate processing method and device manufactured by the same | ASM IP HOLDING B.V. |
11195846 | Staircase structures for three-dimensional memory device double-sided routing | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11195847 | Memory device and method for forming the same | -- |
11195848 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11195849 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11195850 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11195851 | Semiconductor memory device | SK HYNIX INC. |
11195852 | Semiconductor memory device having wiring line structure | SK HYNIX INC. |
11195853 | Contact structures having conductive portions in substrate in three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11195854 | Integrated structures and methods of forming integrated structures | MICRON TECHNOLOGY, INC. |
11195855 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11195856 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195857 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11195858 | Ferroelectric memory device | KIOXIA CORPORATION |
11195859 | Array substrate and flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195860 | Display unit and electronic apparatus | SONY GROUP CORPORATION |
11195861 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11195862 | Thin film transistor having gate insulating layer including different types of insulating layers, method of manufacturing the same, and display device comprising the same | LG DISPLAY CO., LTD. |
11195863 | Display panel having a storage capacitor, manufacturing method the same thereof and display module having the same | -- |
11195864 | Flip-chip sample imaging devices with self-aligning lid | OMNIVISION TECHNOLOGIES, INC. |
11195865 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11195866 | Imaging device including photoelectic conversion element and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195867 | High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof | -- |
11195868 | Image distance sensor and manufacture method thereof as well as a ranging device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11195869 | Solid-state imaging device and imaging device with shared circuit elements | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11195870 | Semiconductor apparatus and device | CANON KABUSHIKI KAISHA |
11195871 | Image sensing device | SK HYNIX INC. |
11195872 | Low-noise wide dynamic range image sensor | STMICROELECTRONICS (CROLLES 2) SAS |
11195873 | Solid-state imaging device and electronic device | SONY CORPORATION |
11195874 | Detach and reattach of a flexible polyimide based X-ray detector | CARESTREAM HEALTH, INC. |
11195875 | X-ray detecting panel and method of operating the same, and X-ray detecting device | BOE TECHNOLOGY GROUP CO., LTD. |
11195876 | Solid state transducer devices, including devices having integrated electrostatic discharge protection, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11195877 | Ultra-small LED electrode assembly having improved luminance and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11195878 | Optoelectronic device comprising three-dimensional semiconductor structures in an axial configuration | ALEDIA |
11195879 | Method and related apparatus for improving memory cell performance in semiconductor-on-insulator technology | -- |
11195880 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11195881 | Array substrate and flexible display panel | -- |
11195882 | Pixel arrangement structure, display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11195883 | OLED display device comprising touch sensor, and method for manufacturing same | HUBEI |
11195884 | Organic light emitting display | SAMSUNG DISPLAY CO., LTD. |
11195885 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195886 | Organic light emitting diode and three-dimensional tactile display apparatus using stretchable light-emitting material and manufacturing method thereof | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
11195887 | Touch control panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195888 | Display device | LG DISPLAY CO., LTD. |
11195889 | Method of manufacturing flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195890 | Display panel comprising gate metal layer electrically connected to metal connection region via through hole and method of manufacturing same | -- |
11195891 | Display device having film with groove | SAMSUNG DISPLAY CO., LTD. |
11195892 | Electroluminescence display device and manufacturing method thereof | JAPAN DISPLAY INC. |
11195893 | Display apparatus having shield electrode overlapping connection line | SAMSUNG DISPLAY CO., LTD. |
11195894 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11195895 | Flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195896 | Organic light emitting diode display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11195897 | OLED array substrate and OLED display device | -- |
11195898 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11195899 | Display substrate, display panel, display device and method for manufacturing display substrate | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195900 | Array substrate with protrusion patterns and method of fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195901 | Bendable display device | SAMSUNG DISPLAY CO., LTD. |
11195903 | Highly stable organic light-emitting panel | GU'AN YEOLIGHT TECHNOLOGY CO., LTD |
11195908 | Semiconductor device with carrier lifetime control | FUJI ELECTRIC CO., LTD. |
11195910 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195913 | Semiconductor device including fin structure and manufacturing method thereof | -- |
11195919 | Method of fabricating a semiconductor device with strained SiGe fins and a Si cladding layer | INTEL CORPORATION |
11195920 | Semiconductor structure having porous semiconductor segment for RF devices and bulk semiconductor region for non-RF devices | NEWPORT FAB, LLC |
11195927 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195928 | Semiconductor devices including a gate isolation structure and a gate capping layer including different materials from each other | SAMSUNG ELECTRONICS CO., LTD. |
11195929 | Conformal replacement gate electrode for short channel devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195932 | Ferroelectric gate dielectrics in integrated circuits | INTEL CORPORATION |
11195935 | Semiconductor device with novel spacer structures having novel configurations | GLOBALFOUNDRIES U.S. INC. |
11195936 | Semiconductor structure | -- |
11195938 | Device performance by fluorine treatment | -- |
11195941 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11195943 | Epitaxial structure of Ga-face group III nitride, active device, and gate protection device thereof | -- |
11195947 | Semiconductor device with doped region adjacent isolation structure in extension region | GLOBALFOUNDRIES U.S. INC. |
11195950 | Semiconductor device with at least a portion of gate electrode enclosed by an insulating structure and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11195951 | Semiconductor device with self-aligned wavy contact profile and method of forming the same | -- |
11195953 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11195955 | Thin film transistor and display substrate having the same | SAMSUNG DISPLAY CO., LTD. |
11195956 | Thin-film transistor, display device including the same, and method of manufacturing the same | LG DISPLAY CO., LTD. |
11195963 | Texture structure manufacturing method | NEC CORPORATION |
11195969 | Method of forming a metal silicide transparent conductive electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195970 | Light emitting diode panel and tiling display apparatus | -- |
11195971 | Glass wiring substrate, method of producing the same, part-mounted glass wiring substrate, method of producing the same, and display apparatus substrate | SONY CORPORATION |
11195975 | Device and method for III-V light emitting micropixel array device having hydrogen diffusion barrier layer | OSTENDO TECHNOLOGIES, INC. |
11195979 | Light-emitting device | NICHIA CORPORATION |
11195985 | Electroactive polymer actuator device and driving method | KONINKLIJKE PHILIPS N.V. |
11195988 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11195991 | Magnetic random access memory assisted devices and methods of making | -- |
11195992 | Spin-orbit torque type magnetization rotational element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11195993 | Encapsulation topography-assisted self-aligned MRAM top contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195994 | Method for fabricating semiconductor device including embedded magnetic resistance random access memory | -- |
11195995 | Back-end-of-line compatible processing for forming an array of pillars | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195996 | Phase-change memory device having reversed phase-change characteristics and phase-change memory having highly integrated three-dimensional architecture using same | SAMSUNG ELECTRONICS CO., LTD. |
11195997 | Variable resistance memory devices including self-heating layer and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11195999 | Phase change material with reduced reset state resistance drift | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11196001 | 3D ReRAM formed by metal-assisted chemical etching with replacement wordline and wordline separation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11196006 | Organic compound and organic light-emitting element | CANON KABUSHIKI KAISHA |
11196007 | Organic compound, organic light-emitting element, display apparatus, image pickup apparatus, electronic device, and moving object | CANON KABUSHIKI KAISHA |
11196008 | Compound for organic electric element, organic electric element using same, and electronic apparatus thereof | DUK SAN NEOLUX CO., LTD. |
11196012 | Flexible organic light-emitting panel and manufacturing method thereof | -- |
11196013 | Flexible OLED display panel and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196014 | Flexible display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196017 | Display having a blunt structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196018 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11196019 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11196020 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11196021 | Composite film layer, having alternately-stacked sub-film layers with different refractive indexes | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196022 | Package structure and display device including package structure | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11196023 | Display device having undercut groove filled with encapsulation layer | SAMSUNG DISPLAY CO., LTD. |
11196025 | Organic light-emitting diode (OLED) display panel having a ring-shaped barrier, manufacturing method thereof, and OLED display device having the same | -- |
11196028 | Flexible OLED display with internal reflective component | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196196 | Connection apparatus, network board connection system, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11196243 | Pin-short detection circuits | TEXAS INSTRUMENTS INCORPORATED |
11196250 | Bidirectional precision surge clamp with near-zero dynamic resistance and ultra-low leakage current | TEXAS INSTRUMENTS INCORPORATED |
11196416 | Inductive proximity switch | RENESAS ELECTRONICS AMERICA INC. |
11196451 | High-frequency module, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11196901 | Camera module | ROSENBERGER HOCHFREQUENZTECHNIK GMBH |
11196948 | Photo-detection device and imaging system | CANON KABUSHIKI KAISHA |
11196955 | Solid-state imaging element and camera system | SONY CORPORATION |
11196969 | Image capture display | GOOGLE LLC |
11196983 | Imaging system including light source, image sensor, and double-band pass filter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11197078 | Portable radio with button over speaker | MOTOROLA SOLUTIONS, INC. |
11197364 | Damping arrangement for power electronics applications | ZF FRIEDRICHSHAFEN AG |
11197365 | Multilayer printed circuit board for reducing quantum signal crosstalk | GOOGLE LLC |
11197366 | Electromagnetic band gap structutre for antenna array | -- |
11197367 | Component carrier comprising a double layer structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11197368 | High-frequency circuit board and method for manufacturing the same | AVARY HOLDING (SHENZHEN) CO., LIMITED |
11197369 | Camera module and vehicle camera | LG INNOTEK CO., LTD. |
11197370 | Flexible printed circuit film and stretchable display device including the same | LG DISPLAY CO., LTD. |
11197371 | Flexible printed circuit | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11197372 | Capacitor having through hole structure and manufacturing method therefor | KOREA ELECTRONICS TECHNOLOGY INSTITUTE |
11197373 | Inductor built-in substrate | IBIDEN CO., LTD. |
11197374 | Integrated switched inductor power converter having first and second powertrain phases | FERRIC INC. |
11197375 | Lighting assembly with high irradiance | LUMILEDS LLC |
11197376 | Method of forming a low loss electronics assembly | THE BOEING COMPANY |
11197377 | Flexible circuit board and method for producing same | STEMCO CO., LTD. |
11197378 | Device for assembling screws into printed circuit board | HONG FU JIN PRECISION INDUSTRY (TAIYUAN) CO., LTD. |
11197379 | Method for producing printed wiring board | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11197382 | Electronic module for a transmission control unit, and transmission control unit | ZF FRIEDRICHSHAFEN AG |
11197643 | Medical x-ray imaging systems and methods | MOBIUS IMAGING, LLC |
11197993 | Photosensitive pixel structure with increased light absorption and photosensitive implant | PIXIUM VISION SA |
11198020 | Methods for generating melatonin-response-tuned white light with high color rendering | ECOSENSE LIGHTING INC. |
11198165 | Pin reforming tool | WESTINGHOUSE ELECTRIC COMPANY LLC |
11198263 | Melt processable thermoplastic composite comprising a multimodal dielectric filler | ROGERS CORPORATION |
11198287 | Screen printing machine | FUJI CORPORATION |
11198792 | Method for preparing patterned coverlay on substrate | -- |
11199298 | Method for manufacturing light emitting diode filament | ZHONGSHAN MLS ELECTRONIC CO., LTD |
11199307 | Display device, backlight unit, light emitting module and lens | SEOUL SEMICONDUCTOR CO., LTD. |
11199455 | Thermal detector and thermal detector array | TEKNOLOGIAN TUTKIMUSKESKUS VTT OY |
11199562 | Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11199584 | IC dies with parallel PRBS testing of interposer | CREDO TECHNOLOGY GROUP LIMITED |
11199634 | Packaging of semiconductor X-ray detectors | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11199635 | Digital X-ray detector, digital X-ray detection device, and manufacturing method thereof | LG DISPLAY CO., LTD. |
11199742 | Display device and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11199746 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11199749 | Display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11199752 | Display device | -- |
11199776 | Resin composition | TORAY INDUSTRIES, INC. |
11199866 | Voltage regulator with power rail tracking | -- |
11199872 | Foldable display device with biometric sensors and method for driving the same | -- |
11199882 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11199883 | Display device | SAMSUNG DISPLAY CO., LTD. |
11199892 | Hybrid computing module | -- |
11199920 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11199921 | Touch display panel and detection method thereof, and touch display apparatus | CHONGQING BOE DISPLAY TECHNOLOGY CO., LTD. |
11199923 | Touch display module and touch display screen | -- |
11199930 | Touch display device and method for manufacturing touch display device | LG DISPLAY CO., LTD. |
11199935 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11200002 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11200020 | Intelligent wearable device | JRD COMMUNICATION (SHENZHEN) LTD |
11200399 | Display panel and driving method of display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11200400 | Fingerprint identification apparatus and electronic device | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11200819 | Display substrate and manufacturing method thereof, display panel, display motherboard and testing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11200823 | Microarray adsorption substrate, driving circuit, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11200840 | Display panel, manufacturing method thereof, maintenance method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11200859 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11200934 | Tunneling metamagnetic resistance memory device and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11200935 | Three-dimensional memory device with static random-access memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11200945 | Semiconductor memory device | ZENTEL JAPAN CORPORATION |
11200948 | System for a flexible conductance crossbar | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11200950 | Programming enhancement in self-selecting memory | MICRON TECHNOLOGY, INC. |
11200952 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11200955 | Non-volatile memory device and memory system including the same and program method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11201007 | Modulated inductance module | -- |
11201014 | Multi-layer ceramic electronic component and circuit board | TAIYO YUDEN CO., LTD. |
11201052 | Composition for forming silica layer, silica layer and electronic device incorporating silica layer | SAMSUNG SDI CO., LTD. |
11201055 | Semiconductor device having high-κ dielectric layer and method for manufacturing the same | -- |
11201066 | Control of under-fill using a dam on a packaging substrate for a dual-sided ball grid array package | SKYWORKS SOLUTIONS, INC. |
11201069 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201077 | Parallel assembly of discrete components onto a substrate | KULICKE & SOFFA NETHERLANDS B.V. |
11201082 | Deep trench isolation structure in semiconductor device | -- |
11201083 | Methods for forming memory devices, and associated devices and systems | MICRON TECHNOLOGY, INC. |
11201084 | Fin field-effect transistor device and method of forming the same | -- |
11201085 | Semiconductor device structure having air gap and method for forming the same | -- |
11201088 | Semiconductor device and method for forming the same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201089 | Robust low-k bottom spacer for VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201090 | Semiconductor structures with isolation structures and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201092 | Gate channel length control in VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201093 | Method of manufacturing a semiconductor device including the horizontal channel FET and the vertical channel FET | IMEC VZW |
11201094 | Forming metal gates with multiple threshold voltages | -- |
11201100 | Solid-state storage device | -- |
11201102 | Module lid with embedded two-phase cooling and insulating layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201105 | Semiconductor package having a spacer with a junction cooling pipe | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11201107 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11201108 | Semiconductor package mounted substrate | SAMSUNG ELECTRONICS CO., LTD. |
11201109 | Hermetic metallized via with improved reliability | CORNING INCORPORATED |
11201111 | Three-dimensional memory device containing structures for enhancing gate-induced drain leakage current and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11201114 | Methods of forming thin film resistor structures utilizing interconnect liner materials | INTEL CORPORATION |
11201115 | Semiconductor device | -- |
11201120 | Display having an amorphous silicon light shield below a thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11201124 | Semiconductor devices, semiconductor wafers, and methods of manufacturing the same | OMNIVISION TECHNOLOGIES, INC. |
11201128 | Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (BBUL) packages | INTEL CORPORATION |
11201133 | Bonding apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11201135 | Three dimensional integrated circuits stacking approach | -- |
11201136 | High bandwidth module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201137 | Systems and methods for powering an integrated circuit having multiple interconnected die | CEREBRAS SYSTEMS INC. |
11201138 | Wafer level integration including design/co-design, structure process, equipment stress management and thermal management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201139 | Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11201140 | Semiconductor packages including stacked sub-packages with interposing bridges | SK HYNIX INC. |
11201141 | Light emitting device | OSRAM OLED GMBH |
11201142 | Semiconductor package, package on package structure and method of froming package on package structure | -- |
11201143 | Semiconductor device with a protruding base member | HITACHI ASTEMO, LTD. |
11201144 | Electrostatic discharge handling for sense IGBT using Zener diode | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11201145 | Semiconductor integrated circuit device having an electrostatic discharge protection circuit and method of manufacturing the semiconductor integrated circuit device | SK HYNIX INC. |
11201146 | Semiconductor device structures | -- |
11201147 | Composite power element and method for manufacturing the same | -- |
11201148 | Architecture for monolithic 3D integration of semiconductor devices | TOKYO ELECTRON LIMITED |
11201149 | Semiconductor devices | SK HYNIX INC. |
11201150 | System on chip | SAMSUNG ELECTRONICS CO., LTD. |
11201151 | Resonant fin transistor (RFT) | INTEL CORPORATION |
11201152 | Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor | GLOBALFOUNDRIES INC. |
11201153 | Stacked field effect transistor with wrap-around contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201154 | Methods of forming an apparatus including device structures including pillar structures, and related memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11201155 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11201156 | Semiconductor devices and methods for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11201157 | Bonded semiconductor devices having processor and static random-access memory and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11201158 | SRAM structure | -- |
11201159 | SRAM structure and connection | -- |
11201160 | Semiconductor memory device including multiple conductive line layers | SAMSUNG ELECTRONICS CO., LTD. |
11201161 | Efuse memory cell, eFuse memory array and using method thereof, and eFuse system | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201162 | Methods of erasing semiconductor non-volatile memories | FLASHSILICON INCORPORATION |
11201163 | High-density NOR-type flash memory | HOUSTON |
11201164 | Memory devices | MICRON TECHNOLOGY, INC. |
11201165 | Memory having memory cell string and coupling components | MICRON TECHNOLOGY, INC. |
11201166 | Three dimensional semiconductor device including first and second channels and buried insulation and conductive patterns and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11201167 | Semiconductor pillars having triangular-shaped lateral peripheries, and integrated assemblies | MICRON TECHNOLOGY, INC. |
11201168 | Semiconductor devices including flared source structures | SAMSUNG ELECTRONICS CO., LTD. |
11201169 | Memory device and method of fabricating the same | -- |
11201170 | Three-dimensional semiconductor memory device and manufacturing method of the three-dimensional semiconductor memory device | SK HYNIX INC. |
11201171 | Semiconductor storage device | KIOXIA CORPORATION |
11201172 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201173 | Array substrate, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201175 | Array substrate with capacitance forming portion to hold potential at electrode | SHARP KABUSHIKI KAISHA |
11201176 | Array substrate, display apparatus, and method of fabricating array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11201177 | Array substrate, manufacturing method of array substrate, and display panel | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201178 | Array substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11201179 | Thin film transistor assembly, array substrate and display panel | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11201180 | Photoelectric conversion apparatus and photoelectric conversion system | CANON KABUSHIKI KAISHA |
11201181 | Image sensor of fingerprint | -- |
11201182 | Embedded image sensor semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11201183 | Image sensor device and method | -- |
11201184 | Image sensing devices with reflector arrays | SHENZHEN ADAPS PHOTONICS TECHNOLOGY CO. LTD. |
11201185 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11201186 | Solid-state imaging device, driving method therefor, and electronic apparatus | SONY CORPORATION |
11201187 | CMOS image sensor packaging structure and fabrication method thereof, and camera device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11201188 | Image sensors with high dynamic range and flicker mitigation | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11201189 | Semiconductor device having rare earth oxide layer and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11201190 | RRAM memory cell with multiple filaments | -- |
11201191 | Semiconductor memory device having a plurality of memory cells each having a phase change material | TOSHIBA MEMORY CORPORATION |
11201192 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11201193 | Vertically stacked multilayer high-density RRAM | QUALCOMM INCORPORATED |
11201194 | Display device | SAMSUNG DISPLAY CO., LTD. |
11201195 | Electronic device including flexible display panel | SAMSUNG ELECTRONICS CO., LTD. |
11201196 | Display panel having camera and normal display sub-areas | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201197 | Display device including a trench and manufacturing method thereof | LG DISPLAY CO., LTD. |
11201198 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11201199 | Chip on film package including a protection layer and display device including the chip on film package | SAMSUNG DISPLAY CO., LTD. |
11201200 | Semiconductor device package and method of manufacturing the same | -- |
11201201 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11201202 | Display module and large format display apparatus using the same | SAMSUNG ELECTRONICS CO., LTD. |
11201204 | Display device | SAMSUNG DISPLAY CO., LTD. |
11201205 | Interconnect layout for semiconductor device | -- |
11201206 | Semiconductor device including metal insulator metal capacitor | -- |
11201207 | Methods of forming an array of capacitors, methods of forming an array of memory cells individually comprising a capacitor and a transistor, arrays of capacitors, and arrays of memory cells individually comprising a capacitor and a transistor | MICRON TECHNOLOGY, INC. |
11201215 | MOSFET and memory cell having improved drain current through back bias application | ZENO SEMICONDUCTOR, INC. |
11201219 | Integrated circuit device and method of manufacturing integrated circuit device | TOSHIBA MEMORY CORPORATION |
11201221 | Backside contact structures and fabrication for metal on both sides of devices | INTEL CORPORATION |
11201230 | Semiconductor device structure with metal gate stacks | -- |
11201237 | Semiconductor with unified transistor structure and voltage regulator diode | ROHM CO., LTD. |
11201240 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11201241 | Vertical field effect transistor and method of manufacturing a vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201245 | Method and apparatus improving gate oxide reliability by controlling accumulated charge | PSEMI CORPORATION |
11201247 | LTPS type TFT and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201248 | Thin-film transistor including oxide semiconductor layer, method of manufacturing the same, and display apparatus including the same | LG DISPLAY CO., LTD. |
11201258 | Method for manufacturing light emitting device | NICHIA CORPORATION |
11201259 | Enhancement film and lens array of quantum dot display panel | -- |
11201265 | Micro light emitting devices | LUMILEDS LLC |
11201266 | Light-emitting device | NICHIA CORPORATION |
11201268 | Light source circuit unit, illuminator, and display | SATURN LICENSING LLC |
11201269 | Backlight including light emitting module and light reflective members | NICHIA CORPORATION |
11201270 | Method for increasing the light output of microLED devices using quantum dots | NANOSYS, INC. |
11201272 | Optical device package | POINT ENGINEERING CO., LTD. |
11201273 | Semiconductor-superconductor heterostructure | MICROSOFT TECHNOLOGY LICENSING, LLC |
11201281 | Method for forming a flat bottom electrode via (BEVA) top surface for memory | -- |
11201282 | Magnetic memory structure and device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11201286 | Resistive memory cell | MICRON TECHNOLOGY, INC. |
11201288 | Generalized organic vapor jet depositor capable of high resolution printing and method for OVJP printing | UNIVERSAL DISPLAY CORPORATION |
11201294 | Photoelectric conversion element, optical sensor, imaging element, and compound | FUJIFILM CORPORATION |
11201301 | Base support plate and method of manufacturing the same, and method of manufacturing a flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11201302 | Flexible display panel, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11201303 | Flexible display panel, method for manufacturing flexible display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11201305 | Display panel and method of manufacturing the same | HKC CORPORATION LIMITED |
11201306 | Active OLED display, method for preparing an active OLED display and compound | NOVALED GMBH |
11201307 | Display panel and electronic apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11201308 | Light-emitting device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11201309 | Display unit and electronic apparatus including a divided electrode | JOLED INC. |
11201310 | Optoelectronic assembly and method for producing an optoelectronic assembly | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
11201311 | Organic light emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11201315 | Electro-optical device with a luminance adjustment layer | SEIKO EPSON CORPORATION |
11201316 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201317 | Method of peeling mother protective film and method of manufacturing organic light-emitting display apparatus using the same | SAMSUNG DISPLAY CO., LTD. |
11201393 | Electrochemically controlled capillarity to dynamically connect portions of an electrical circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201441 | Plug-in connector | HARTING ELECTRIC GMBH & CO. KG |
11201465 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11201466 | Electrostatic discharge clamp structures | GLOBALFOUNDRIES U.S. INC. |
11201515 | Motor coil substrate and method for manufacturing motor coil substrate | IBIDEN CO., LTD. |
11201602 | Apparatus and methods for tunable filtering | ANALOG DEVICES, INC. |
11202021 | Pulse-frequency modulation (PFM) digital pixel unit-cell including dual-mode gain selection | RAYTHEON COMPANY |
11202026 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11202341 | Access point device | GOOGLE LLC |
11202363 | Heat transfer member and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11202364 | Electronic device comprising flexible printed circuit board having arranged thereon plurality of ground wiring surrounding signal wiring | SAMSUNG ELECTRONICS CO., LTD. |
11202365 | Antenna using horn structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11202366 | Object having an electronic unit and conductor structures on a carrier structure | SCHREINER GROUP GMBH & CO. KG |
11202367 | Flexible circuit board | LG INNOTEK CO., LTD. |
11202368 | Providing one or more carbon layers to a copper conductive material to reduce power loss in a power plane | CISCO TECHNOLOGY, INC. |
11202369 | Patterned nano graphene platelet-based conductive inks | GLOBAL GRAPHENE GROUP, INC. |
11202370 | Integrated circuit chip, display apparatus, and method of fabricating integrated circuit chip | BOE TECHNOLOGY GROUP CO., LTD. |
11202371 | Multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11202372 | Apparatus for performing compensation associated with screen printer and method thereof | KOH YOUNG TECHNOLOGY INC. |
11202373 | Connector device | AUTONETWORKS TECHNOLOGIES, LTD. |
11202374 | Method of mounting component | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11202375 | Surface mount passive component shorted together | QUALCOMM INCORPORATED |
11202376 | Electronic device including housing and method for manufacturing housing thereof | SAMSUNG ELECTRONICS CO., LTD. |
11202379 | Electronic apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11202385 | Electronic device including physical button structure | SAMSUNG ELECTRONICS CO., LTD. |
11202670 | Method of manufacturing a flexible circuit electrode for electrosurgical instrument | CILAG GMBH INTERNATIONAL |
11202916 | Hermetic terminal for an AIMD having a pin joint in a feedthrough capacitor or circuit board | GREATBATCH LTD. |
11203195 | Inflatable pneumatic stencil clamp | ASM ASSEMBLY SYSTEMS SINGAPORE PTE. LTD. |
11203525 | Method of controlling the placement of micro-objects | PALO ALTO RESEARCH CENTER INCORPORATED |
11203526 | Reprogrammable quantum processor architecture incorporating quantum error correction | EQUAL1.LABS INC. |
11203704 | High temperature resistant masking adhesive composition | 3M INNOVATIVE PROPERTIES COMPANY |
11204093 | Electronic module, actuator device, and method for producing an actuator device | ZF FRIEDRICHSHAFEN AG |
11204242 | Measurement system | -- |
11204313 | Throughput-scalable analytical system using transmembrane pore sensors | GENESENSE TECHNOLOGY INC. |
11204373 | Electric power converting apparatus | MITSUBISHI ELECTRIC CORPORATION |
11204415 | Three-dimensional (3D) image sensors including polarizer, and depth correction methods and 3D image generation methods based on 3D image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11204518 | Display panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11204530 | Array substrate and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11204532 | Wiring structure, display substrate, display device and method for fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11204533 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11204534 | Displays with data lines that accommodate openings | APPLE INC. |
11204539 | Color error corrected segmented LED array | LUMILEDS LLC |
11204546 | Masking process and mask set | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11204555 | Method and apparatus to develop lithographically defined high aspect ratio interconnects | INTEL CORPORATION |
11204659 | Flexible circuit board and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11204663 | Display panel having a conductive member disposed on a side surface of a display layer and electrically connected to a touch layer | -- |
11205062 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11205381 | Display panel, display device and compensation method | BOE TECHNOLOGY GROUP CO., LTD. |
11205382 | Sensing circuit for OLED driver and OLED driver using the same | -- |
11205386 | Display device | SAMSUNG DISPLAY CO., LTD. |
11205387 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205390 | Display device | SAMSUNG DISPLAY CO., LTD. |
11205448 | Disk device | KABUSHIKI KAISHA TOSHIBA |
11205461 | Memory device comprising first through fourth transistors | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205466 | Semiconductor device and semiconductor logic device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11205468 | Apparatuses and methods including ferroelectric memory and for operating ferroelectric memory | MICRON TECHNOLOGY, INC. |
11205474 | SRAM design with four-poly-pitch | -- |
11205478 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11205481 | Memory devices for pattern matching | MICRON TECHNOLOGY, INC. |
11205485 | Three-dimensional NAND flash memory device having improved data reliability by varying program intervals, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11205490 | Method of improving read current stability in analog non-volatile memory cells by screening memory cells | SILICON STORAGE TECHNOLOGY, INC. |
11205493 | Controlling word line voltages to reduce read disturb in a memory device | SANDISK TECHNOLOGIES LLC |
11205570 | Method for manufacturing single-grained nanowire and method for manufacturing semiconductor device employing same single-grained nanowire | -- |
11205574 | Method for forming a semiconductor memory structure | -- |
11205575 | Method for stripping one or more layers from a semiconductor wafer | TEXAS INSTRUMENTS INCORPORATED |
11205576 | Monolayer film mediated precision material etch | TOKYO ELECTRON LIMITED |
11205578 | Dopant anneal with stabilization step for IC with matched devices | TEXAS INSTRUMENTS INCORPORATED |
11205594 | Fin spacer protected source and drain regions in FinFETs | -- |
11205595 | Methods of fabricating semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11205597 | Semiconductor device and method | -- |
11205598 | Double sided NMOS/PMOS structure and methods of forming the same | -- |
11205599 | Evaluation method of silicon epitaxial wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11205600 | Integrated circuits protected by substrates with cavities, and methods of manufacture | INVENSAS CORPORATION |
11205602 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11205603 | Semiconductor package and method manufacturing the same | -- |
11205604 | Semiconductor package including a thermal conductive layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205612 | Integrated circuit package and method | -- |
11205615 | Semiconductor device and method of manufacture | -- |
11205616 | Internal node jumper for memory bit cells | INTEL CORPORATION |
11205619 | Hybrid bonding using dummy bonding contacts and dummy interconnects | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205621 | Device and method for alignment of vertically stacked wafers and die | STMICROELECTRONICS, INC. |
11205630 | Vias in composite IC chip structures | INTEL CORPORATION |
11205631 | Semiconductor package including multiple semiconductor chips | SAMSUNG ELECTRONICS CO., LTD. |
11205632 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11205636 | Semiconductor package and method of manufacturing the same | -- |
11205637 | Semiconductor packages having improved thermal discharge and electromagnetic shielding characteristics | SAMSUNG ELECTRONICS CO., LTD. |
11205638 | Stack packages including an interconnection structure | SK HYNIX INC. |
11205639 | Integrated circuit device with stacked dies having mirrored circuitry | XILINX, INC. |
11205640 | Semiconductor packages having package-on-package (PoP) structures | SAMSUNG ELECTRONICS CO., LTD. |
11205641 | Optoelectronic component | OSRAM OLED GMBH |
11205642 | Twistable light emitting diode display module | -- |
11205643 | Optoelectronic circuit assembly and method for repairing an optoelectronic circuit assembly | OSRAM OLED GMBH |
11205644 | Method for fabricating electronic package | -- |
11205645 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11205646 | Display panel and display device including the same | -- |
11205647 | Semiconductor device and method of manufacture | -- |
11205648 | IC structure with single active region having different doping profile than set of active regions | GLOBALFOUNDRIES U.S. INC. |
11205649 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205650 | Input/output semiconductor devices | -- |
11205651 | Memory structure and method for manufacturing the same | -- |
11205652 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11205653 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11205654 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11205655 | Method for manufacturing semiconductor device including fin-structured transistor | RENESAS ELECTRONICS CORPORATION |
11205656 | Trench structures for three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205657 | Semiconductor constructions | MICRON TECHNOLOGY, INC. |
11205658 | Three-dimensional memory device with corrosion-resistant composite spacer | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205659 | Interconnect structures of three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205660 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11205661 | Three-dimensional memory devices with enlarged joint critical dimension and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205662 | Methods for reducing defects in semiconductor plug in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205663 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205664 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205665 | Transistor substrate | JAPAN DISPLAY INC. |
11205666 | Array substrate and display panel | -- |
11205667 | Method of preparing thin film transistor substrate | HEFEI BOE OPTOELECTRONICS TECHNOLOGY, CO., LTD. |
11205668 | Light receiving device, method of manufacturing light receiving device, imaging device, and electronic apparatus | SONY CORPORATION |
11205669 | Semiconductor device including photoelectric conversion element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205670 | Alignment of multiple image dice in package | TELEDYNE DIGITAL IMAGING, INC. |
11205671 | Solid-state image sensor and method of manufacturing the same | TOPPAN PRINTING CO., LTD. |
11205672 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11205673 | Image sensor and image sensing-enabled display apparatus including the same, and method of making image sensor | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11205674 | Method for fabricating image sensor | -- |
11205675 | Electronic device having thin film camera | SHENZHEN HEYTAP TECHNOLOGY CORP., LTD. |
11205676 | Semiconductor light detection element | HAMAMATSU PHOTONICS K.K. |
11205677 | Micro-LED device, display apparatus and method for manufacturing a micro-LED device | GOERTEK INC. |
11205678 | Embedded MRAM device with top via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205679 | Magnetic memory device including a free layer and a pinned layer | SAMSUNG ELECTRONICS CO., LTD. |
11205680 | Non-volatile random access memory (NVRAM) | NXP USA, INC. |
11205681 | Memory for embedded applications | MICRON TECHNOLOGY, INC. |
11205682 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11205683 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11205684 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11205685 | Electro-luminescent display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11205686 | Display substrate, preparation method of display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11205687 | Display panel solving light diffraction problem and manufacturing method thereof | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11205688 | Display module having a circuit insulating layer | SAMSUNG DISPLAY CO., LTD. |
11205689 | Light emitting display apparatus | CANON KABUSHIKI KAISHA |
11205690 | Display panel and electronic device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205691 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11205692 | Display device and method for manufacturing the same | MIKUNI ELECTRON CORPORATION |
11205693 | Fan-out wiring structure of display panel and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205694 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11205701 | Transistors with sectioned extension regions | GLOBALFOUNDRIES U.S. INC. |
11205708 | Dual self-aligned gate endcap (SAGE) architectures | INTEL CORPORATION |
11205710 | Fabricating method of semiconductor structure | -- |
11205711 | Selective inner spacer implementations | -- |
11205713 | FinFET having a non-faceted top surface portion for a source/drain region | -- |
11205714 | Dummy structure at fin cut | -- |
11205723 | Selective source/drain recess for improved performance, isolation, and scaling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205724 | Self-aligned gate hard mask and method forming same | -- |
11205727 | Array substrate and display panel | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205729 | Semiconductor device and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11205732 | Multi-junction solar cell | KABUSHIKI KAISHA TOSHIBA |
11205738 | Back plate and method for fluid-assisted assembly of micro-LEDs thereon | -- |
11205740 | Light emitting device package and lighting device including same | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11205743 | High luminance light emitting device and method for creating a high luminance light emitting device | LUMILEDS LLC |
11205744 | Light emitting device | NICHIA CORPORATION |
11205748 | 3-contact vertical hall sensor elements connected in a ring and related devices, systems, and methods | INFINEON TECHNOLOGIES AG |
11205755 | Compound for organic electronic element, organic electronic element using same, and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11205759 | Display apparatus including an anti-crack projection | SAMSUNG DISPLAY CO., LTD. |
11205760 | Display device having opening surrounding display region | JAPAN DISPLAY INC. |
11205765 | OLED display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205768 | Method for manufacturing of display device | SAMSUNG DISPLAY CO., LTD. |
11205769 | Method of manufacturing a display panel with a sacrificial protective film | SAMSUNG DISPLAY CO., LTD. |
11205770 | Lift-off method and apparatus for manufacturing flexible light emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11205832 | Electronic device with near-field antenna operating through display | APPLE INC. |
11205836 | Base station antenna and antenna array module thereof | TONGYU COMMUNICATION INC. |
11205867 | Grid array connector system | MOLEX, LLC |
11205881 | Connector-fitting structure of flexible printed circuit | YAZAKI CORPORATION |
11205953 | Heterogeneously integrated power converter assembly | RAYTHEON COMPANY |
11206060 | Apparatus for communicating across an isolation barrier | ENPHASE ENERGY, INC. |
11206353 | Electronic apparatus, method for controlling electronic apparatus, and control program for setting image-capture conditions of image sensor | NIKON CORPORATION |
11206369 | Image sensing device | SK HYNIX INC. |
11206499 | Hearable device comprising integrated device and wireless functionality | QUALCOMM INCORPORATED |
11206500 | Bone conduction speaker | EM-TECH CO., LTD. |
11206729 | Power circuit device | MITSUBISHI ELECTRIC CORPORATION |
11206730 | Flexible hybrid interconnect circuits | CELLINK CORPORATION |
11206731 | Communication module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11206732 | Reliable interconnect for camera image sensors | WAYMO LLC |
11206733 | Display device and bezel substrate thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11206734 | Electronic device and wiring structure thereof | -- |
11206735 | Flexible circuit board | -- |
11206736 | Connection substrate and interposer substrate including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11206737 | Composite electronic component and board having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11206738 | Method and apparatus for forming on a substrate a pattern of a material | HP INDIGO B.V. |
11206739 | Potting method | GOODRICH ACTUATION SYSTEMS LIMITED |
11206740 | High voltage power module | CREE FAYETTEVILLE, INC. |
11207743 | Solder processing device | AND CO., LTD. |
11208406 | Disubstituted diaryloxybenzoheterodiazole compounds | ENI S.P.A. |
11208726 | Microetching agent for copper, copper surface roughening method and wiring board production method | MEC COMPANY LTD. |
11209129 | Light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11209131 | Alignment features for LED light engine | LUMILEDS LLC |
11209326 | Pressure sensor device formed in board and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11209363 | Integrated device for temporal binning of received photons | QUANTUM-SI INCORPORATED |
11209377 | Methods and apparatuses for compensating for moisture absorption | ANDREW WIRELESS SYSTEMS GMBH |
11209477 | Testing fixture and testing assembly | -- |
11209544 | Accurate photo detector measurements for LIDAR | OUSTER, INC. |
11209557 | Array substrate for digital X-ray detector, digital X-ray detector including the same, and method for manufacturing the same | LG DISPLAY CO., LTD. |
11209582 | Composition, curable composition, cured film, near infrared cut filter, infrared transmitting filter, solid image pickup element, infrared sensor, and camera module | FUJIFILM CORPORATION |
11209608 | Optical module | HISENSE BROADBAND MULTIMEDIA TECHNOLOGIES CO., LTD. |
11209683 | Vehicle display apparatus | WAYMO LLC |
11209686 | Display device | JAPAN DISPLAY INC. |
11209702 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11209705 | Notched display panel | -- |
11209706 | Substrate for display device and display device | SHARP KABUSHIKI KAISHA |
11209709 | Display substrate and manufacturing method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11209710 | Display device and electronic device including the display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11209732 | Near infrared absorbing composition, film, infrared cut filter, solid image pickup element, infrared absorber, and compound | FUJIFILM CORPORATION |
11209859 | Organic light emitting diode display panel having double retaining wall structure provided with at least a notch reducing its border width | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11209868 | Display device and method of manufacturing a display device | SAMSUNG DISPLAY CO., LTD. |
11209872 | Electronic device module, method of manufacturing the same and electronic apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11209875 | Adhesive member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11209876 | Display panel and electronic device | -- |
11209877 | Electrical module, display panel, display device, input/output device, data processing device, and method of manufacturing electrical module | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11209923 | Protective film for metal mesh touch sensor | FUTURETECH CAPITAL, INC. |
11209924 | Bezel-less touch display module and manufacturing method thereof | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11209925 | Display device | LG DISPLAY CO., LTD. |
11209943 | OLED touch display panel and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11209945 | Printed wiring | JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED |
11210051 | Display device and operation method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11210446 | Isolation of compartments in a layered printed circuit board, and apparatus and methods for the same | MANAGEMENT SERVICES GROUP, INC. |
11210447 | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices | -- |
11210492 | OLED display panel, driving method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11210601 | Circuit assembly, a system and a method for cooling quantum electric devices | IQM FINLAND OY |
11210970 | Foldable display device | BOE TECHNOLOGY GROUP CO., LTD. |
11210989 | Array substrate, display panel and display device | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11210998 | Transparent display device | SYMONICS GMBH |
11211000 | Display device and electronic device with differently layered wirings for pixel transistors | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211010 | Display panel and driving method thereof, and display device | XIAMEN TIANMA MICRO ELECTRONICS CO., LTD. |
11211011 | Display device for improving display quality | SAMSUNG DISPLAY CO., LTD. |
11211012 | Display panel and manufacturing method thereof, and display device | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11211105 | Memory device comprising programmable command-and-address and/or data interfaces | RAMBUS INC. |
11211108 | Ferroelectric memory device | IMEC VZW |
11211114 | Memories and memory components with interconnected and redundant data interfaces | RAMBUS INC. |
11211117 | Ferrimagnetic/ferromagnetic exchange bilayers for use as a fixed magnetic layer in a superconducting-based memory device | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11211121 | Resistive storage electronic device for adjusting voltage depending on temeperature | SK HYNIX INC. |
11211123 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211124 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. |
11211125 | Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating | ZENO SEMICONDUCTOR, INC. |
11211126 | Apparatus and methods including source gates | MICRON TECHNOLOGY, INC. |
11211127 | Loop dependent plane skew methodology for program operation | SANDISK TECHNOLOGIES LLC |
11211134 | Efuse circuit, method, layout, and structure | -- |
11211203 | Manufacturing method for capacitor unit by cutting | -- |
11211243 | Method of filling gaps with carbon and nitrogen doped film | -- |
11211250 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11211259 | Structure and method for embedded gettering in a silicon on insulator wafer | -- |
11211261 | Package structures and methods for forming the same | -- |
11211263 | Structure for arrayed partial molding of packages | QUALCOMM INCORPORATED |
11211279 | Method for processing a 3D integrated circuit and structure | MONOLITHIC 3D INC. |
11211283 | Method for forming a bulk semiconductor substrate configured to exhibit soi behavior | -- |
11211286 | Airgap formation processes | APPLIED MATERIALS, INC. |
11211292 | Assemblies containing PMOS decks vertically-integrated with NMOS decks, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11211293 | FinFET device and methods of forming the same | -- |
11211294 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11211295 | FinFET doping methods and structures thereof | -- |
11211297 | Method for testing bridging in adjacent semiconductor devices and test structure | -- |
11211298 | System and method for a transducer in an EWLB package | INFINEON TECHNOLOGIES AG |
11211299 | Wiring structure having at least one sub-unit | -- |
11211302 | Semiconductor device package | -- |
11211307 | Semiconductor substrate | INFINEON TECHNOLOGIES AG |
11211313 | Lead frame array for carrying chips and LED package structure with multiple chips | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11211314 | Interposer for electrically connecting stacked integrated circuit device packages | INTEL CORPORATION |
11211315 | Semiconductor package with terminal pattern for increased channel density | TEXAS INSTRUMENTS INCORPORATED |
11211321 | Package structure and manufacturing method thereof | -- |
11211322 | Printed circuit board and electronic equipment | CANON KABUSHIKI KAISHA |
11211323 | Method of fabricating field effect transistor having non-orthogonal gate electrode | -- |
11211327 | Via sizing for IR drop reduction | -- |
11211328 | Semiconductor memory device of three-dimensional structure | SK HYNIX INC. |
11211330 | Standard cell layout architectures and drawing styles for 5nm and beyond | ADVANCED MICRO DEVICES, INC. |
11211332 | Molded die last chip combination | ADVANCED MICRO DEVICES, INC. |
11211333 | Through silicon via optimization for three-dimensional integrated circuits | -- |
11211334 | Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip | -- |
11211335 | Semiconductor packages incorporating alternating conductive bumps | SAMSUNG ELECTRONICS CO., LTD. |
11211336 | Integrated fan-out package and method for fabricating the same | -- |
11211337 | Face-up fan-out electronic package with passive components using a support | INTEL CORPORATION |
11211339 | Semiconductor device | -- |
11211342 | Multiplexer cell and semiconductor device having camouflage design, and method for forming multiplexer cell | -- |
11211345 | In-package RF waveguides as high bandwidth chip-to-chip interconnects and methods for using the same | INTEL CORPORATION |
11211348 | First wafer, fabricating method thereof and wafer stack | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11211350 | Semiconductor package and manufacturing method thereof | -- |
11211358 | Packaged semiconductor devices and packaging methods | -- |
11211359 | Semiconductor device and method of forming modular 3D semiconductor package with horizontal and vertical oriented substrates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11211360 | Passive device module, semiconductor package including the same, and manufacturing method thereof | -- |
11211361 | Semiconductor device and method for manufacturing the same | KIOXIA CORPORATION |
11211362 | 3D trench capacitor for integrated passive devices | -- |
11211363 | Semiconductor device having through silicon vias and manufacturing method thereof | LONGITUDE LICENSING LIMITED |
11211364 | Semiconductor device assemblies and systems with improved thermal performance and methods for making the same | MICRON TECHNOLOGY, INC. |
11211365 | Mini LED backlight panel and backlight module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211366 | Method for manufacturing display device and substrate for manufacturing display device | LG ELECTRONICS INC. |
11211367 | Display panel and method for fabricating the same | -- |
11211368 | Semiconductor device | ROHM CO., LTD. |
11211369 | Service module for SIP devices | OCTAVO SYSTEMS LLC |
11211370 | Bonded assembly with vertical power and control signal connection adjacent to sense amplifier regions and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11211371 | Integrated circuit package and method | -- |
11211372 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211373 | Double-sided chip stack assembly | UNITED SILICON CARBIDE, INC. |
11211374 | Photomask design for generating plasmonic effect | -- |
11211375 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11211376 | Three-dimensional integrated circuit having ESD protection circuit | -- |
11211377 | Resistive element | FUJI ELECTRIC CO., LTD. |
11211378 | Heterogeneous integration structure for artificial intelligence computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211379 | Fabrication of field effect transistors with different threshold voltages through modified channel interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211380 | Semiconductor structure and manufacturing method thereof | -- |
11211381 | Semiconductor device structure and method for forming the same | -- |
11211382 | Methods and apparatuses including a boundary of a well beneath an active area of a tap | MICRON TECHNOLOGY, INC. |
11211383 | Semiconductor device and manufacturing method thereof | -- |
11211384 | Memory cells, arrays of two transistor-one capacitor memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry | MICRON TECHNOLOGY, INC. |
11211385 | Semiconductor device and manufacturing method thereof | -- |
11211386 | Semiconductor structure and manufacturing method thereof | -- |
11211387 | Fin-based strap cell structure for improving memory performance | -- |
11211388 | Array boundfary structure to reduce dishing | -- |
11211389 | Memory device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211390 | Staircase patterning for 3D NAND devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211391 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11211392 | Hole pre-charge scheme using gate induced drain leakage generation | SANDISK TECHNOLOGIES LLC |
11211393 | Memory device and forming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211394 | Three-dimensional memory device with source structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211395 | 3D memory array having select lines | -- |
11211396 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11211397 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211398 | Method for in situ preparation of antimony-doped silicon and silicon germanium films | SUNRISE MEMORY CORPORATION |
11211399 | Electronic apparatus with an oxide-only tunneling structure by a select gate tier, and related methods | MICRON TECHNOLOGY, INC. |
11211400 | Three-dimensional flash memory device with increased storage density | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211401 | Memory device and method for fabricating the same | -- |
11211402 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11211403 | Nonvolatile memory device having a vertical structure and a memory system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11211404 | Memory devices based on ferroelectric field effect transistors | IMEC VZW |
11211405 | Variable low resistance line nonvolatile memory device and method for operating same | VMEMORY CORP. |
11211406 | Semiconductor device and method for controlling semiconductor device | RENESAS ELECTRONICS CORPORATION |
11211407 | Display device | SAMSUNG DISPLAY CO., LTD. |
11211408 | Wiring layer and manufacturing method therefor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211409 | Solid-state imaging device to improve photoelectric efficiency | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211410 | Solid-state image-capturing device and production method thereof, and electronic appliance | SONY CORPORATION |
11211411 | Solid-state image sensing device having a photoelectric conversion unit outside a semiconductor substrate and electronic device having the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211412 | Imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211413 | Imaging element, imaging device, and manufacturing apparatus and method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211414 | Image sensor package | OMNIVISION TECHNOLOGIES, INC. |
11211415 | Solid state imaging device, manufacturing method of the same, and electronic equipment | SONY CORPORATION |
11211416 | Photoelectric conversion apparatus having light shielding portions above semiconductor layer on back surface side and equipment | CANON KABUSHIKI KAISHA |
11211417 | Solid-state imaging device with layered microlenses and method for manufacturing same | SONY CORPORATION |
11211418 | Imaging apparatus and image sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11211419 | Composite bsi structure and method of manufacturing the same | -- |
11211420 | Image sensors and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11211421 | Sensor comprising gate modulation with inductor to form a resonant circuit | OMNIVISION TECHNOLOGIES, INC. |
11211422 | Solid-state image sensor and electronic apparatus | SONY CORPORATION |
11211423 | Method of producing semiconductor epitaxial wafer, semiconductor epitaxial wafer, and method of producing solid-state image sensor | SUMCO CORPORATION |
11211424 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211425 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11211426 | Tunnel junction selector MRAM | -- |
11211427 | Switching element, variable resistance memory device, and method of manufacturing the switching element | SAMSUNG ELECTRONICS CO., LTD. |
11211428 | Integrated circuit including transistors having a common base | STMICROELECTRONICS (ROUSSET) SAS |
11211429 | Vertical intercalation device for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211430 | Display panel for improving display effect in low-resolution area, manufacturing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211431 | Display device | SHARP KABUSHIKI KAISHA |
11211432 | Light emitting device and display apparatus including the light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
11211433 | In-display sensors and viewing angle adjustment microassemblies | INTEL CORPORATION |
11211434 | Organic light emitting diode display panel and manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211435 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11211436 | Display device | JAPAN DISPLAY INC. |
11211437 | Method of manufacturing organic EL display panel, organic EL display panel, and organic EL display device | JOLED INC. |
11211438 | Electroluminescent display apparatus | LG DISPLAY CO., LTD. |
11211439 | Stretchable polymer and dielectric layers for electronic displays | APPLIED MATERIALS, INC. |
11211440 | Display device, method of manufacturing display device, and electronic apparatus with contact electrode | SONY GROUP CORPORATION |
11211441 | Organic light emitting display device and method of manufacturing organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11211442 | Array substrate, with shielding layer, method for fabricating array substrate with shielding layer, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11211443 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11211444 | Display apparatus | LG DISPLAY CO., LTD. |
11211445 | Foldable display panel | -- |
11211447 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211450 | Integrated circuit device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11211454 | Semiconductor devices including source/drain regions having antimony doped layer | SAMSUNG ELECTRONICS CO., LTD. |
11211461 | Semiconductor device and memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211462 | Using selectively formed cap layers to form self-aligned contacts to source/drain regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211466 | Semiconductor device having an air gap and method for fabricating the same | SK HYNIX INC. |
11211469 | Third generation flash memory structure with self-aligned contact and methods for forming the same | -- |
11211472 | Semiconductor device and method of forming the same | -- |
11211477 | FinFETs having epitaxial capping layer on fin and methods for forming the same | -- |
11211487 | Transistors, memory structures and memory arrays containing two-dimensional materials between a source/drain region and a channel region | MICRON TECHNOLOGY, INC. |
11211492 | Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga | -- |
11211493 | Apparatus and method of modulating threshold voltage for fin field effect transistor (FinFET) and nanosheet FET | SAMSUNG ELECTRONICS CO., LTD. |
11211495 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11211497 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211499 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211500 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211502 | Transistor and manufacturing method thereof, transistor device, display substrate and apparatus | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11211503 | Memory arrays | MICRON TECHNOLOGY, INC. |
11211506 | Self-bypass diode function for gallium arsenide photovoltaic devices | UTICA LEASECO, LLC |
11211513 | Optical sensor and detector for an optical detection | TRINAMIX GMBH |
11211515 | Edge-mountable semiconductor chip package | APPLE INC. |
11211520 | Fluidic assembly using tunable suspension flow | ELUX INC. |
11211528 | Light emitting device for display and display apparatus having the same | SEOUL VIOSYS CO., LTD. |
11211532 | Light emitting device | NICHIA CORPORATION |
11211533 | Optoelectronic component and display device | OSRAM OLED GMBH |
11211534 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11211535 | Method for fabricating micro light-emitting diode display | -- |
11211542 | Cryogenic refrigeration for low temperature devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211547 | Spin-orbit-torque type magnetization rotating element, spin-orbit-torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11211549 | Integrated circuit and method for manufacturing the same | -- |
11211550 | Magnetic memory devices with magnetic field sensing and shielding | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11211552 | Spin-orbit torque magnetoresistance effect element and magnetic memory | TDK CORPORATION |
11211553 | Magnetoresistive devices and methods of fabricating such devices | EVERSPIN TECHNOLOGIES, INC. |
11211554 | Electronic systems including magnetic regions | MICRON TECHNOLOGY, INC. |
11211555 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11211578 | Display device | LG DISPLAY CO., LTD. |
11211579 | Substrate sealing structure body, and, display device and production method therefor | SHARP KABUSHIKI KAISHA |
11211580 | Display device and method for manufacturing the same | JAPAN DISPLAY INC. |
11211582 | Organic light-emitting display apparatus with protection layer surrounding the pixel electrode | SAMSUNG DISPLAY CO., LTD. |
11211583 | Encapsulation structure, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11211584 | Electronic panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11211586 | Flexible display device including window substrate, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11211587 | Organic light-emitting diode display with structured electrode | APPLE INC. |
11211588 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11211589 | Display panel with refractive film layers, manufacturing method thereof, and display apparatus with refractive film layers | BOE TECHNOLOGY GROUP CO., LTD. |
11211591 | Organic light-emitting display device having an encapsulating substrate of high thermal conductivity | LG DISPLAY CO., LTD. |
11211592 | Organic luminescent substrate, preparation method thereof, display apparatus, and display driving method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211593 | Display apparatus, OLED display panel and method of manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11211710 | Array antenna apparatus and method for fabricating same | MITSUBISHI ELECTRIC CORPORATION |
11211727 | Connector-attached circuit body and bus bar module | YAZAKI CORPORATION |
11211966 | Semiconductor device, antenna switch circuit, module device, and wireless communication device | SONY CORPORATION |
11212427 | Doorbell camera | GOOGLE LLC |
11212457 | High dynamic range CMOS image sensor design | OMNIVISION TECHNOLOGIES, INC. |
11212470 | Dynamic, single photodiode pixel circuit and operating method thereof | PROPHESEE |
11212473 | Photoelectric conversion device having pixels comprising different size counters | CANON KABUSHIKI KAISHA |
11212476 | Image sensor, imaging apparatus and live body imaging apparatus | SONY CORPORATION |
11212498 | Infrared crosstalk correction for hybrid RGB-IR sensors | INTEL CORPORATION |
11212901 | Light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11212905 | Field device capable of operating in extremely low-temperature environment | YOKOGAWA ELECTRIC CORPORATION |
11212906 | Laminated substrate | MURATA MANUFACTURING CO., LTD. |
11212907 | Printed circuit board arrangement comprising an electrical component and a heat sink | ZF FRIEDRICHSHAFEN AG |
11212908 | Semiconductor apparatus | MITSUBISHI ELECTRIC CORPORATION |
11212909 | Insertable wireless communication device for a power tool | MILWAUKEE ELECTRIC TOOL CORPORATION |
11212910 | High frequency signal cross-layer transmission structure in multi-layer printed circuit board | -- |
11212911 | Apparatus for non-contactive sensor having ESD protection structure | MANDO CORPORATION |
11212912 | Printed circuit board mesh routing to reduce solder ball joint failure during reflow | MICROSOFT TECHNOLOGY LICENSING, LLC |
11212913 | Manufacturing method of printed board | MURATA MANUFACTURING CO., LTD. |
11212914 | Circuit board and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11212915 | Stretchable mounting board | MURATA MANUFACTURING CO., LTD. |
11212916 | Flexible printed circuits for dermal applications | W. L. GORE & ASSOCIATES, INC. |
11212917 | Receptacle for connecting to flexible circuit board | APPLE INC. |
11212918 | Electrical assembly | SIEMENS AKTIENGESELLSCHAFT |
11212919 | Voltage regulator module | -- |
11212920 | Pressing member and machine with pressing member | TRIPLE WIN TECHNOLOGY (SHENZHEN) CO. LTD. |
11212921 | Method for repairing a fine line | GUANGDONG UNIVERSITY OF TECHNOLOGY |
11212922 | Circuit board and manufacturing method thereof | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
11212923 | Method for producing resin multilayer board | MURATA MANUFACTURING CO., LTD. |
11212925 | LED panel for a modular display screen | DIGILED (UK) LIMITED |
11212926 | Display apparatus including frame for supporting printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
11212932 | Pin count socket having reduced pin count and pattern transformation | INTEL CORPORATION |
11212933 | Universal carrier for OCP modules | -- |
11212947 | Power module with capacitor configured for improved thermal management | EPCOS AG |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 19:26
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社