|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第40个技术领域是半导体元件,主要包括用于整流、放大、振荡、开关的半导体方法和器件,还包括热电半导体(如半导体制冷)、热磁半导体、磁性半导体、有机半导体、压电半导体,以及半导体发光和照明器件(如发光二极管,简称LED)。2021年,美国专利商标局在该领域共授权专利14003项(增长率为-18%),占总授权量的4.3%,是专利数量第20多的领域。
2021年,美国在该领域获得专利权3835项,占该领域专利授权总量的23%。中国在该领域做出专利发明1447项,获得专利权1524项,流失专利发明-77项。日本和韩国获得的专利权数量分别为2676和2455项。
表17.40-1 2021年各国半导体元件领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 3763 | 3835 | -72 | -1.9% | 26.9% | 27.4% | -0.5% |
2 | 日本 | 2727 | 2676 | 51 | 1.9% | 19.5% | 19.1% | 0.4% |
3 | 韩国 | 2435 | 2455 | -20 | -0.8% | 17.4% | 17.5% | -0.1% |
4 | 中国 | 1447 | 1524 | -77 | -5.3% | 10.3% | 10.9% | -0.5% |
5 | 德国 | 523 | 509 | 14 | 2.7% | 3.7% | 3.6% | 0.1% |
6 | 法国 | 217 | 216 | 1 | 0.5% | 1.5% | 1.5% | 0.0% |
7 | 加拿大 | 67 | 68 | -1 | -1.5% | 0.5% | 0.5% | 0.0% |
8 | 英国 | 107 | 87 | 20 | 18.7% | 0.8% | 0.6% | 0.1% |
9 | 瑞士 | 58 | 38 | 20 | 34.5% | 0.4% | 0.3% | 0.1% |
10 | 荷兰 | 59 | 67 | -8 | -13.6% | 0.4% | 0.5% | -0.1% |
11 | 瑞典 | 16 | 14 | 2 | 12.5% | 0.1% | 0.1% | 0.0% |
12 | 以色列 | 57 | 32 | 25 | 43.9% | 0.4% | 0.2% | 0.2% |
13 | 意大利 | 90 | 52 | 38 | 42.2% | 0.6% | 0.4% | 0.3% |
14 | 印度 | 32 | 3 | 29 | 90.6% | 0.2% | 0.0% | 0.2% |
15 | 其他 | 2405 | 2427 | -22 | -0.9% | 17.2% | 17.3% | -0.2% |
小计 | 14003 | 14003 | 0 | 0% | 100% | 100% | 0% |
图17.40-1 2021年各国半导体元件领域的在美专利发明和专利权数量对比
2021年,在半导体元件领域上获得美国专利授权最多的机构是台湾积体电路制造公司、三星显示公司、国际商业机器公司。中国专利最多的机构是台湾积体电路制造公司,获得1247项专利。
表17.40-2 2021年半导体元件领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 台湾积体电路制造公司 | 中国 | TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. | 1247 | 1268 |
2 | 三星显示公司 | 韩国 | SAMSUNG DISPLAY CO., LTD. | 868 | 839 |
3 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 782 | 1001 |
4 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 636 | 653 |
5 | 京东方科技集团公司 | 中国 | BOE TECHNOLOGY GROUP CO., LTD. | 363 | 465 |
6 | LG显示公司 | 韩国 | LG DISPLAY CO., LTD. | 351 | 462 |
7 | 日本半导体能源研究所 | 日本 | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. | 306 | 352 |
8 | 英特尔公司 | 美国 | INTEL CORPORATION | 267 | 289 |
9 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 253 | 260 |
10 | 日亚化学工业株式会社 | 日本 | NICHIA CORPORATION | 193 | 213 |
注:本表数据按照第一权利人进行统计。
图17.40-2 2021年半导体元件领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10882072 | Structured layers composed of crosslinked or crosslinkable metal-organic compounds, shaped bodies containing them as well as processes for producing them | MULTIPHOTON OPTICS GMBH |
10882211 | Cement sintering device and cement sintering method | BOE TECHNOLOGY GROUP CO., LTD. |
10882213 | Method for manufacturing a pre-fabricated photovoltaic construction element | HOLCIM TECHNOLOGY LTD |
10882283 | Segmented protective display film | 3M INNOVATIVE PROPERTIES COMPANY |
10882286 | Flexible display window and flexible display including the same | SAMSUNG DISPLAY CO., LTD. |
10882293 | Method of manufacturing image display apparatus | DEXERIALS CORPORATION |
10882311 | Print head, liquid ejection apparatus, and piezoelectric element control circuit | SEIKO EPSON CORPORATION |
10882471 | In-vehicle semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10882736 | Semiconductor structures provided within a cavity and related design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10882739 | Formation of antireflective surfaces | BROOKHAVEN SCIENCE ASSOCIATES, LLC. |
10882850 | Organic compound with triazine and benzimidazole as core and application thereof in organic electroluminescent device | JIANGSU SUNERA TECHNOLOGY CO., LTD |
10882877 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic compositions including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10882938 | Quasicrystalline structures and uses thereof | THE TRUSTEES OF PRINCETON UNIVERSITY |
10882957 | Laminate, and element comprising substrate manufactured using same | LG CHEM, LTD. |
10883002 | Conductive paste composition and solar cell using the conductive paste composition | -- |
10883003 | Methods for producing polymer gels, and uses thereof | THE TRUSTEES OF THE STEVENS INSTITUTE OF TECHNOLOGY |
10883045 | Phosphor materials including fluidization materials for light sources | CURRENT LIGHTING SOLUTIONS, LLC |
10883164 | Mask frame assembly including pattern position adjusting mechanism and pattern position adjusting method using the mask frame assembly | SAMSUNG DISPLAY CO., LTD. |
10883176 | Method for directly synthesizing graphene on surface of target object and device including graphene prepared using the method | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10883191 | Method for producing III-N templates and the reprocessing thereof and III-N template | FREIBERGER COMPOUND MATERIALS GMBH |
10883194 | Diamond components for quantum imaging, sensing and information processing devices | ELEMENT SIX TECHNOLOGIES LIMITED |
10883485 | Driving system for piezoelectric pump | -- |
10883667 | LED light source module and method for manufacturing the same | RADIANT OPTO-ELECTRONICS (SUZHOU) CO., LTD |
10883670 | Light guide module and light source module | -- |
10883673 | Dithered LEDs to reduce color banding in lensed light fixtures | -- |
10883680 | Light-emitting module and method of manufacturing light-emitting module | NIKKISO CO., LTD. |
10883693 | Vehicle lamp | KOITO MANUFACTURING CO., LTD. |
10883694 | Method of manufacturing an LED lighting assembly | LUMILEDS LLC |
10883700 | Lens, light emitting device and method of manufacturing the lens and the light emitting device | NICHIA CORPORATION |
10883710 | Solid state lights with cooling structures | MICRON TECHNOLOGY, INC. |
10883815 | Film strain sensor configuration including a processor | KABUSHIKI KAISHA TOSHIBA |
10883889 | Display device including a pressure sensor with an opening in the electrode | SAMSUNG DISPLAY CO., LTD. |
10883930 | Infrared sensor for soil or water and method of operation thereof | MAX-IR LABS, LLC |
10884018 | Piezoelectric rotational MEMS resonator | MURATA MANUFACTURING CO., LTD. |
10884029 | Magnetic sensor and electric current sensor including same | MURATA MANUFACTURING CO., LTD. |
10884033 | Current device readout system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10884078 | Ferromagnetic multilayer film, magnetoresistance effect element, and method for manufacturing ferromagnetic multilayer film | TDK CORPORATION |
10884126 | Accurate photo detector measurements for LIDAR | OUSTER, INC. |
10884172 | Light emitting device | NICHIA CORPORATION |
10884176 | Display device | FUJIFILM CORPORATION |
10884185 | Semiconductor device including vertically integrated optical and electronic devices and comprising a superlattice | ATOMERA INCORPORATED |
10884197 | Optical receptacle, optical module, and method for manufacturing optical module | ENPLAS CORPORATION |
10884268 | Color-tunable transmission mode active phosphor based on III-nitride nanowire grown on transparent substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10884283 | Method for manufacturing thin film, thin film manufacturing apparatus and method for manufacturing display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10884303 | Transient overvoltage protection circuit, array substrate comprising the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10884304 | Display panel and display device | -- |
10884329 | Wavelength conversion element, light source apparatus, and image projection apparatus | CANON KABUSHIKI KAISHA |
10884528 | Touch display substrate and touch detection method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10884530 | Display device with touch structure and method of forming the same | LG DISPLAY CO., LTD. |
10884532 | Display device and flexible circuit board | SAMSUNG DISPLAY CO., LTD. |
10884535 | Display device | LG DISPLAY CO., LTD. |
10884560 | Integrated light-emitting pixel arrays based devices by bonding | -- |
10885430 | Non-Boolean analog Mott memristor network | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10885431 | Three-terminal neuromorphic vertical sensing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885460 | Dispersive-resistive hybrid attenuator for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10885757 | Optical smoke detection according to the two-color principle by means of a light emitting diode with an LED chip for light emission and with a light converter for converting part of the emitted light into light of longer wavelength | SIEMENS SCHWEIZ AG |
10885821 | Inspection device and inspection method for array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10885835 | Display device | LG ELECTRONICS INC. |
10885836 | Display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
10885847 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10885881 | Flexible display device with deformation layer and control method therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10885934 | Magnetoresistance effect device with shaped high-frequency signal line overlapping magnetoresistance effect element | TDK CORPORATION |
10885960 | Spin device, and operating method therefor and manufacturing method therefor | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10885962 | Vertical memory cells and memory devices using the same | -- |
10885965 | Memcapacitor, programming method for memcapacitor and capacitive random access memory | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
10885974 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10885978 | Nonvolatile nanotube switches with reduced switching voltages and currents | NANTERO, INC. |
10886037 | Conductor and method of manufacturing the same | ALPS ALPINE CO., LTD. |
10886041 | High-temperature superconducting conductor, high-temperature superconducting coil, and connecting structure of high-temperature superconducting coil | KABUSHIKI KAISHA TOSHIBA |
10886072 | Method for producing photoelectric conversion element | SHARP KABUSHIKI KAISHA |
10886073 | Flexible solar panel | KING SAUD UNIVERSITY |
10886095 | Image intensifier for night vision device | PHOTONIS NETHERLANDS B.V. |
10886119 | Aromatic underlayer | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10886120 | Hydrogen ventilation of CMOS wafers | TEXAS INSTRUMENTS INCORPORATED |
10886124 | Multi-state device based on ion trapping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886125 | Method for manufacturing a nano-wire array and a device that comprises a nano-wire array | TECHNION RESEARCH AND DEVELOPMENT FOUNDATION LTD. |
10886128 | Method and apparatus for manufacturing vapor deposition mask | -- |
10886129 | Method for manufacturing semiconductor device and method for evaluating semiconductor device | SHIN-ETSU HANDOTAI CO., LTD. |
10886130 | Methods of forming crystalline semiconductor material, and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10886132 | Manufacturing method of high-dielectric-constant gate insulating film of semiconductor device | SCREEN HOLDINGS CO., LTD. |
10886143 | Semiconductor device, manufacturing method thereof, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886145 | Production of a multi-chip component | OSRAM OLED GMBH |
10886150 | Positioning apparatus | WEBER MACHINENBAU GMBH BREIDENBACH |
10886152 | Method and system for dual stretching of wafers for isolated segmented chip scale packages | LUMILEDS LLC |
10886153 | Display including an LED element having a pressure sensitive adhesive (PSA) for micro pick and bond assembly of the display | INTEL CORPORATION |
10886158 | Method for transferring structures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886160 | Sinker to buried layer connection region for narrow deep trenches | TEXAS INSTRUMENTS INCORPORATED |
10886161 | Semiconductor device using inter-diffusion and method for manufacturing the same | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10886165 | Method of forming negatively sloped isolation structures | -- |
10886167 | Semiconductor device for improving device characteristics | SAMSUNG ELECTRONICS CO., LTD. |
10886175 | Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom | INTEL CORPORATION |
10886178 | Device with highly active acceptor doping and method of production thereof | GLOBALFOUNDRIES INC. |
10886180 | Semiconductor device with fin end spacer and method of manufacturing the same | -- |
10886181 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886182 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
10886189 | Semiconductor die with improved ruggedness | CREE, INC. |
10886190 | Devices and methods for heat dissipation of semiconductor integrated circuits | -- |
10886201 | Power device having a substrate with metal layers exposed at surfaces of an insulation layer and manufacturing method thereof | -- |
10886205 | Terminal structure and semiconductor module | FUJI ELECTRIC CO., LTD. |
10886206 | Lead frame, resin-equipped lead frame, optical semiconductor device, and method for manufacturing lead frame | OHKUCHI MATERIALS CO., LTD. |
10886210 | Cover for an electronic device and method of fabrication | STMICROELECTRONICS (GRENOBLE 2) SAS |
10886212 | Phase-change material (PCM) radio frequency (RF) switches with capacitive couplings between lower portions and upper portions of RF terminals | NEWPORT FAB, LLC |
10886213 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10886214 | Semiconductor device and method for manufacturing same | MICRON TECHNOLOGY, INC. |
10886217 | Integrated circuit device with back-side interconnection to deep source/drain semiconductor | INTEL CORPORATION |
10886219 | Electronic component mounting package | TDK CORPORATION |
10886221 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10886226 | Conductive contact having staircase barrier layers | -- |
10886227 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10886237 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10886240 | Method for protecting an integrated circuit, and corresponding device | STMICROELECTRONICS (ROUSSET) SAS |
10886257 | Micro LED display device and method for manufacturing same | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
10886258 | LED filament comprising conversion layer | OSRAM OLED GMBH |
10886259 | Display devices | -- |
10886260 | Display device | -- |
10886262 | Light bulb | -- |
10886264 | Manufacturing method of light-emitting diode package structure | -- |
10886265 | Integrated circuit device with a two-dimensional semiconductor material and a dielectric material that includes fixed charges | INTEL CORPORATION |
10886266 | Integration of vertical GaN varactor with HEMT | QUALCOMM INCORPORATED |
10886267 | Reference voltage generation device | ABLIC INC. |
10886268 | Method of manufacturing a semiconductor device with separated merged source/drain structure | -- |
10886269 | Semiconductor device and manufacturing method thereof | -- |
10886270 | Manufacturing method of semiconductor device | -- |
10886271 | Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886272 | Techniques for forming dual-strain fins for co-integrated n-MOS and p-MOS devices | INTEL CORPORATION |
10886273 | Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors | MICRON TECHNOLOGY, INC. |
10886274 | Two-terminal vertical 1T-DRAM and method of fabricating the same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10886275 | Nanosheet one transistor dynamic random access device with silicon/silicon germanium channel and common gate structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886278 | Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10886280 | Semiconductor device having a gate and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10886281 | Transistor and capacitor structures for analog memory neural network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886282 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10886284 | Anti-fuse with reduced programming voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886287 | Multiple-time programmable (MTP) memory device with a wrap-around control gate | GLOBALFOUNDRIES INC. |
10886288 | Vertical semiconductor memory device structures including vertical channel structures and vertical dummy structures | SAMSUNG ELECTRONICS CO., LTD. |
10886292 | Semiconductor device, semiconductor wafer, memory device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886296 | Three-dimensional semiconductor devices including vertical structures with varied spacing | SAMSUNG ELECTRONICS CO., LTD. |
10886300 | Semiconductor device | ROHM CO., LTD. |
10886301 | Test circuit, array substrate, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886305 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886306 | Display device with overlapping lines spaced apart from an insulating layer | SAMSUNG DISPLAY CO., LTD. |
10886307 | Flexible electronic device | -- |
10886309 | High-speed light sensing apparatus II | ARTILUX, INC. |
10886311 | Photo-detecting apparatus | ARTILUX, INC. |
10886312 | High-speed light sensing apparatus II | ARTILUX, INC. |
10886314 | Radiation detector | SHARP KABUSHIKI KAISHA |
10886322 | Multi-spectral sensor with stacked photodetectors | SORBONNE UNIVERSITÉ |
10886323 | Infrared detector, infrared detection device, and method of manufacturing infrared detector | FUJITSU LIMITED |
10886324 | Detection panel and detection device | BOE TECHNOLOGY GROUP CO., LTD. |
10886325 | Infrared detector devices and focal plane arrays having a transparent common ground structure and methods of fabricating the same | L3 CINCINNATI ELECTRONICS CORPORATION |
10886327 | Light emitting stacked structure and display device having the same | SEOUL VIOSYS CO., LTD. |
10886328 | Monolithically integrated GaN light-emitting diode with silicon transistor for displays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886329 | Thermoelectric micro-supercapacitor integrated device and manufacturing method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10886330 | Memory device having overlapping magnetic tunnel junctions in compliance with a reference pitch | SPIN MEMORY, INC. |
10886331 | Magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10886332 | Memory cell with independently-sized elements | MICRON TECHNOLOGY, INC. |
10886333 | Memory structure including gate controlled three-terminal metal oxide components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886334 | Vertical array of resistive switching devices having a tunable oxygen vacancy concentration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886335 | Imaging element, stacked-type imaging element, imaging apparatus and electronic apparatus | SONY CORPORATION |
10886336 | Photoelectric conversion devices and organic sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10886337 | Display device and electronic apparatus | SONY CORPORATION |
10886338 | Touch panel, method for driving the same, and touch device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886339 | Display device | LG DISPLAY CO., LTD. |
10886340 | Display panel and display device with reduced frame width | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10886341 | Display panel and method for preparing the same, display panel motherboard and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886343 | Pixel defining layer and method for manufacturing the same, display panel and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886344 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886345 | OLED display panel having a roughened surface, display apparatus and method of manufacturing OLED display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10886346 | Display panel and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10886348 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886349 | Display device including shared transistors among pixels | SAMSUNG DISPLAY CO., LTD. |
10886350 | Electroluminescent device | LG DISPLAY CO., LTD. |
10886351 | Display device | JAPAN DISPLAY INC. |
10886352 | Pixel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10886353 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10886354 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886356 | Display panel with high stability | BOE TECHNOLOGY GROUP CO., LTD. |
10886357 | Circuit for preventing static electricity and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10886358 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886359 | Organic light emitting display device including an organic light emitting diode connected to connection electrodes | SAMSUNG DISPLAY CO., LTD. |
10886360 | Display panel and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886361 | Semiconductor devices including resistor structures | SAMSUNG ELECTRONICS CO., LTD. |
10886362 | Multilayer dielectric for metal-insulator-metal capacitor (MIMCAP) capacitance and leakage improvement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886363 | Metal-insulator-metal capacitor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886364 | Vertical memory cell with mechanical structural reinforcement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886365 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10886366 | Semiconductor structures for peripheral circuitry having hydrogen diffusion barriers and method of making the same | SANDISK TECHNOLOGIES LLC |
10886367 | Forming FinFET with reduced variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886368 | I/O device scheme for gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886369 | Formation of self-limited inner spacer for gate-all-around nanosheet FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886370 | Semiconductor device including silicon carbide body and method of manufacturing | INFINEON TECHNOLOGIES AG |
10886371 | Silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10886372 | Silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10886373 | Composite oxide semiconductor and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886375 | Semiconductor device having buried gate structure and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10886376 | Formation of wrap-around-contact to reduce contact resistivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886377 | Power semiconductor device and manufacturing method thereof | HYUNDAI AUTRON CO., LTD. |
10886378 | Method of forming air-gap spacers and gate contact over active region and the resulting device | GLOBALFOUNDRIES INC. |
10886379 | Semiconductor device and method of manufacturing same | RENESAS ELECTRONICS CORPORATION |
10886380 | Semiconductor storage device and method for forming a profile of a capacitor thereof | CHANGXIN MEMORY TECHNOLOGIES, INC. |
10886381 | Epitaxial structure of N-face group III nitride, active device, and method for fabricating the same with integration and polarity inversion | -- |
10886382 | Cascode amplifier optimization | SKYWORKS SOLUTIONS, INC. |
10886383 | Replacement gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10886384 | Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886385 | Semiconductor structures having increased channel strain using fin release in gate regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886386 | Dual width FinFET | STMICROELECTRONICS, INC. |
10886388 | Heterojunction bipolar transistor | MURATA MANUFACTURING CO., LTD. |
10886389 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10886390 | Method of manufacturing semiconductor device and semiconductor device | FUJI ELECTRIC CO., LTD. |
10886391 | Single-electron transistor with wrap-around gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886392 | Semiconductor structure for improving thermal stability and Schottky behavior | -- |
10886393 | High electron mobility transistor with tunable threshold voltage | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10886394 | Semiconductor structure | -- |
10886395 | Method for fabricating tunneling field effect transistor having interfacial layer containing nitrogen | -- |
10886396 | Transistor structures having a deep recessed P+ junction and methods for making same | CREE, INC. |
10886397 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10886398 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10886399 | High voltage semiconductor device and method of fabrication | NXP USA, INC. |
10886400 | Semiconductor device having a drain drift-region in contact with the body region | ABLIC INC. |
10886401 | Semiconductor device with well region and protection region electrically connected by connection region | NISSAN MOTOR CO., LTD. |
10886403 | Close proximity and lateral resistance reduction for bottom source/drain epitaxy in vertical transistor devices | ELPIS TECHNOLOGIES INC. |
10886404 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10886405 | Semiconductor structure | -- |
10886406 | Semiconductor structure and method of manufacturing the same | -- |
10886407 | Semiconductor device, manufacturing method for semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10886408 | Group III-V material transistors employing nitride-based dopant diffusion barrier layer | INTEL CORPORATION |
10886409 | Display backplate and fabrication method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886410 | Thin film transistor, display substrate, method for manufacturing the same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886411 | Semiconductor device and display unit | JOLED INC. |
10886412 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886413 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886414 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886415 | Multi-state transistor devices with multiple threshold voltage channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886417 | Device, system, and method to change a consistency of behavior by a cell circuit | INTEL CORPORATION |
10886418 | Split-gate JFET with field plate | TEXAS INSTRUMENTS INCORPORATED |
10886419 | Semiconductor structure including a varactor and method for the formation thereof | GLOBALFOUNDRIES INC. |
10886420 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSORS SINGAPORE PTE. LTD. |
10886421 | Semiconductor film, method of producing semiconductor film, solar cell, light-emitting diode, thin film transistor, and electronic device | FUJIFILM CORPORATION |
10886422 | Grouped nanostructured units system forming a metamaterial | SEGTON ADVANCED TECHNOLOGY |
10886423 | Energy harvesting systems for providing autonomous electrical power to building structures and electrically-powered devices in the building structures | FACE INTERNATIONAL CORPORATION |
10886424 | Method for blackening a metallic article | MERLIN SOLAR TECHNOLOGIES, INC. |
10886425 | Tandem photovoltaic cell | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10886426 | Method for producing an electronic device and electronic device | OSRAM OLED GMBH |
10886427 | Optoelectronic device comprising three-dimensional diodes | ALEDIA |
10886428 | Method of manufacturing semiconductor element | NICHIA CORPORATION |
10886429 | Method of manufacturing an optoelectronic device by transferring a conversion structure onto an emission structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886430 | Light-emitting device and method of manufacturing the same | NICHIA CORPORATION |
10886431 | Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip | OSRAM OLED GMBH |
10886432 | Light emitting display device | LG DISPLAY CO., LTD. |
10886433 | Light-emitting device having a patterned substrate and the method thereof | -- |
10886434 | White LED lamp, backlight, light emitting device, display device and illumination device | KABUSHIKI KAISHA TOSHIBA |
10886435 | Group III nitride semiconductor with InGaN diffusion blocking layer | PANASONIC CORPORATION |
10886436 | Light-emitting device and lighting apparatus | LG INNOTEK CO., LTD. |
10886437 | Devices and structures bonded by inorganic coating | LUMILEDS LLC |
10886438 | Manufacturing method of light-emitting device | -- |
10886439 | Light emitting device with reflective sidewall | LUMILEDS LLC |
10886440 | Wavelength converted semiconductor light emitting device | LUMILEDS LLC |
10886441 | Light emitting device with porous structure to enhance color point shift as a function of drive current | LUMILEDS LLC |
10886442 | Phosphor containing particle, and light emitting device and phosphor containing sheet using the same | SHARP KABUSHIKI KAISHA |
10886443 | Light emitting device package and light emitting device package module | SAMSUNG ELECTRONICS CO., LTD. |
10886444 | Solid state optoelectronic device with preformed metal support substrate | MICRON TECHNOLOGY, INC. |
10886445 | Vertical solid-state transducers having backside terminals and associated systems and methods | MICRON TECHNOLOGY, INC. |
10886446 | Micro LED structure and method of manufacturing same | POINT ENGINEERING CO., LTD. |
10886447 | Light emitting device | SEOUL VIOSYS CO., LTD. |
10886448 | Method for producing lead frame, method for producing package and method for producing light emitting device | NICHIA CORPORATION |
10886449 | Semiconductor device package | LG INNOTEK CO., LTD. |
10886450 | Thermoelectric composite material comprising MXene and method for manufacturing the same | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10886451 | Thermoelectric material, method of fabricating the same, and thermoelectric device | UNIVERSITY-INDUSTRY FOUNDATION (UIF), YONSEI UNIVERSITY |
10886452 | Selective and direct deposition technique for streamlined CMOS processing | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10886453 | Coherence capacitor for quantum information engine | LOCKHEED MARTIN CORPORATION |
10886454 | Antenna-based qubit annealing method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886455 | Piezoelectric microphone with deflection control and method of making the same | VANGUARD INTERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD. |
10886456 | Nonvolatile magnetic memory device | SONY CORPORATION |
10886457 | Spin orbit torque magnetic RAM | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10886458 | Multi-resistance MRAM | SANDISK TECHNOLOGIES LLC |
10886459 | Multi-resistance MRAM | SANDISK TECHNOLOGIES LLC |
10886460 | Magnetic tunnel junction device with spin-filter structure | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10886461 | Highly physical etch resistive photoresist mask to define large height sub 30nm via and metal hard mask for MRAM devices | -- |
10886462 | Encapsulated memory pillars | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886463 | Method of fabricating a magnetoresistive bit from a magnetoresistive stack | EVERSPIN TECHNOLOGIES, INC. |
10886464 | Selective phase change material growth in high aspect ratio dielectric pores for semiconductor device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886465 | Resistive random access memory device | -- |
10886466 | Variable resistor, non-volatile memory element using the same, and method of fabricating the same | HANKUK UNIVERSITY OF FOREIGN STUDIES RESEARCH BUSINESS FOUNDATION |
10886467 | CBRAM by subtractive etching of metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886468 | Manufacturing method and manufacturing apparatus for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10886469 | Display device | JAPAN DISPLAY INC. |
10886470 | Organic light emitting display device and open/short test method thereof | SAMSUNG DISPLAY CO., LTD. |
10886471 | Polymeric electrode modifiers | FLEXTERRA, INC. |
10886472 | Alternative acceptor materials based on hexabenzocoronene | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
10886474 | Organic electroluminescent compound, and organic electroluminescent material and organic electroluminescent device comprising the same | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD |
10886475 | Organic electroluminescent materials and organic electroluminescent devices | BOE TECHNOLOGY GROUP CO., LTD. |
10886476 | Polycyclic compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
10886477 | Iridium complex and organic electroluminescence device using the same | -- |
10886478 | Tetradentate platinum (II) complexes cyclometalated with functionalized phenyl carbene ligands and their analogues | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10886479 | Photoelectric conversion element, optical sensor, imaging element, and compound | FUJIFILM CORPORATION |
10886480 | Flexible display device and method of manufacturing flexible display device | SAMSUNG DISPLAY CO., LTD. |
10886481 | Display substrate with angle-adjusting portion, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886482 | Display device having stress buffer layered vias | BOE TECHNOLOGY GROUP CO., LTD. |
10886483 | Stretchable display device | LG DISPLAY CO., LTD. |
10886484 | Organic thin film photovoltaic device module and electronic apparatus | ROHM CO., LTD. |
10886485 | Quantum dot light emitting diode (QLED) and manufacture method thereof, display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10886486 | QLED with asymmetrical quantum emitters | SHARP KABUSHIKI KAISHA |
10886488 | Display device | -- |
10886489 | Flexible electroluminescence display | LG DISPLAY CO., LTD. |
10886490 | Organic light-emitting device having low work function metal halide compound in hole injection layer and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10886491 | Process for making a metal containing layer | NOVALED GMBH |
10886492 | Array substrate and display panel comprising fracture opening for blocking carrier transportation between adjacent sub-pixels | BOE TECHNOLOGY GROUP CO., LTD. |
10886493 | Display device including a crack detection line | SAMSUNG DISPLAY CO., LTD. |
10886494 | Display element | SAMSUNG DISPLAY CO., LTD. |
10886495 | Organic light-emitting diode display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886496 | Display unit, method of manufacturing display unit, and electronic apparatus | SONY CORPORATION |
10886497 | Light-emitting element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886498 | Moveable display supports, computing devices using same, and methods of use | MICROSOFT TECHNOLOGY LICENSING, LLC |
10886499 | Light emitting display apparatus and method of manufacturing the same | LG DISPLAY CO., LTD. |
10886500 | Display panel, manufacturing method thereof, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10886501 | Graphene protective film serving as a gas and moisture barrier, method for forming same, and use thereof | GRAPHENE SQUARE, INC. |
10886502 | Barrier, barrier manufacturing method, display including barrier, and method of manufacturing display including barrier | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10886503 | Microlens array architectures for enhanced light outcoupling from an OLED array | UNIVERSAL DISPLAY CORPORATION |
10886504 | Systems, devices and methods for the quality assessment of OLED stack films | KATEEVA, INC. |
10886505 | Manufacturing method of display apparatus utilizing dam portion and display apparatus manufactured using the method | SAMSUNG DISPLAY CO., LTD. |
10886648 | Communication module, electronic device, and image pickup apparatus | CANON KABUSHIKI KAISHA |
10886694 | Hermetic capsule and method | LIGHTWAVE LOGIC INC. |
10886729 | Electrostatic discharge protection device for high supply voltage operations | -- |
10886730 | Filter having an ESD protection device | MURATA MANUFACTURING CO., LTD. |
10886732 | Reverse direction high-electron-mobility transistor circuit | -- |
10886747 | Power generation element, power generation module, power generation device, and power generation system | KABUSHIKI KAISHA TOSHIBA |
10886836 | Semiconductor device, power conversion device, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
10886862 | Semiconductor device | JTEKT CORPORATION |
10886873 | Energy harvesting methods for providing autonomous electrical power to building structures and electrically-powered devices in the building structures | FACE INTERNATIONAL CORPORATION |
10886884 | Inductively coupled filter and wireless fidelity WiFi module | HUAWEI TECHNOLOGIES CO., LTD. |
10886909 | Electric assembly including an insulated gate bipolar transistor device and a wide-bandgap transistor device | INFINEON TECHNOLOGIES AG |
10886910 | Semiconductor device with current sense element | FUJI ELECTRIC CO., LTD. |
10887227 | Methods and apparatuses for routing data packets in a network topology | TELIA COMPANY AB |
10887988 | Circuit substrate, component-mounted substrate, and methods of manufacturing circuit substrate and component-mounted substrate | NICHIA CORPORATION |
10888855 | Silicon-titanium dioxide-polypyrrole three-dimensional bionic composite material based on hierarchical assembly and use thereof | JIANGNAN UNIVERSITY |
10888897 | Transducer, transducer array, and method of making the same | CTS CORPORATION |
10888898 | Shielded ultrasound transducer and imaging system employing the same | ENDRA LIFE SCIENCES INC. |
10889009 | Transfer head | POINT ENGINEERING CO., LTD. |
10889082 | Laminated structure and method for producing the same | SONY CORPORATION |
10889109 | Temperature sensing in a printhead using piezoelectric actuators | RICOH COMPANY, LTD. |
10889504 | Oxide semiconductor composition, manufacturing method thereof, thin film transistor and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10889602 | Organic compound, and organic light emitting diode and organic light emitting display device including the same | LG DISPLAY CO., LTD. |
10889604 | Binuclear and trinuclear metal complexes composed of two inter-linked tripodal hexadentate ligands for use in electroluminescent devices | MERCK PATENT GMBH |
10889605 | Phenyl-carbazole based tetradentate cyclometalated platinum complex and application thereof | AAC MICROTECH(CHANGZHOU)CO., LTD. |
10889669 | Modulating interfacial wettability of a noncovalent nanoscopic ligand film | PURDUE RESEARCH FOUNDATION |
10889690 | Siloxane monomers, their polymerization and uses thereof | INKRON OY |
10889754 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10889756 | Luminescent crystals and manufacturing thereof | AVANTAMA AG |
10889888 | Sputtering target, method for manufacturing sputtering target, and method for forming thin film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10889893 | Atomic layer deposition apparatus and atomic layer deposition method | THE JAPAN STEEL WORKS, LTD. |
10889904 | Synthesis of nanostructured photoactive films with controlled morphology by a flame aerosol reactor | WASHINGTON UNIVERSITY |
10890167 | Method for operating a piston pump, control device of a piston pump, and piston pump | ROBERT BOSCH GMBH |
10890491 | Optical detector for an optical detection | TRINAMIX GMBH |
10890525 | Infrared analytical sensor for soil or water and method of operation thereof | MAX-IR LABS, LLC |
10890553 | Sensing device, sensing apparatus and sensing system | -- |
10890554 | Sensors with a non-planar sensing structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10890575 | Lubricant deterioration detection device and lubricant deterioration state evaluation method | NSK LTD. |
10890629 | Magnetic sensor | TDK CORPORATION |
10890630 | Magnetic sensor | TDK CORPORATION |
10890701 | Laminate, method of producing the same, polarizing plate, liquid crystal display device, and organic EL display device | FUJIFILM CORPORATION |
10890704 | Display device and method for selecting optical film of display device | DAI NIPPON PRINTING CO., LTD. |
10890712 | Photonic and electric devices on a common layer | RAYTHEON BBN TECHNOLOGIES CORP. |
10890756 | Optical scanning device | MITSUMI ELECTRIC CO., LTD. |
10890761 | Photoreactive sensor including optical amplification phototransistor, and display panel and vehicle control system including photoreactive sensor | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
10890994 | Touch sensor integrated color filter and manufacturing method for the same | DONGWOO FINE-CHEM CO., LTD. |
10891008 | Touch display device and touch panel to reduce undesired capacitance | LG DISPLAY CO., LTD. |
10891010 | Display device and touch display device | -- |
10891251 | Signal connector for microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10891460 | Systems and methods for optical sensing with angled filters | WILL SEMICONDUCTOR (SHANGHAI) CO. LTD. |
10891898 | Pixel circuit for top-emitting AMOLED panel and driving method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10891899 | Display device, electronic device, and body-biasing circuit | LG DISPLAY CO., LTD. |
10891999 | Perpendicular SOT MRAM | WESTERN DIGITAL TECHNOLOGIES, INC. |
10892009 | Magnetic wall utilization-analog memory element and magnetic wall utilization analog memory | TDK CORPORATION |
10892010 | Method for controlling accumulated resistance property of ReRAM device | -- |
10892011 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
10892087 | On-chip inductors | MARVELL ASIA PTE, LTD. |
10892099 | Fringe capacitor for high resolution ADC | NXP USA, INC. |
10892106 | Highly stable electronic device employing hydrophobic composite coating layer | UNIVERSITY OF PITTSBURGH—OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
10892158 | Manufacturing method of a semiconductor device and a plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10892159 | Semipolar or nonpolar group III-nitride substrates | SAPHLUX, INC. |
10892164 | Dual hard mask replacement gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892168 | Semiconductor device and method for forming a semiconductor device | INFINEON TECHNOLOGIES AG |
10892181 | Semiconductor device with mitigated local layout effects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892188 | Self-aligned trench MOSFET contacts having widths less than minimum lithography limits | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10892192 | Non-planar I/O and logic semiconductor devices having different workfunction on common substrate | INTEL CORPORATION |
10892193 | Controlling active fin height of FinFET device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892196 | Magnetic property measuring system, a method for measuring magnetic properties, and a method for manufacturing a magnetic memory device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10892202 | External gettering method and device | MICRON TECHNOLOGY, INC. |
10892203 | Power semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
10892212 | Flat no-lead package with surface mounted structure | STMICROELECTRONICS, INC. |
10892215 | Metal on both sides with power distributed through the silicon | INTEL CORPORATION |
10892223 | Advanced lithography and self-assembled devices | INTEL CORPORATION |
10892232 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10892234 | Method for detecting a differential fault analysis attack and a thinning of the substrate in an integrated circuit, and associated integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10892237 | Methods of fabricating high voltage semiconductor devices having improved electric field suppression | GENERAL ELECTRIC COMPANY |
10892255 | Method of manufacturing light emitting module | NICHIA CORPORATION |
10892256 | Light emitting display system having improved fire performance | NANOLUMENS ACQUISITION, INC. |
10892257 | Foldable display device | -- |
10892260 | Capacitor | -- |
10892261 | Metal resistor and self-aligned gate edge (SAGE) architecture having a metal resistor | INTEL CORPORATION |
10892262 | Semiconductor device having junctionless vertical gate transistor and method of manufacturing the same | SK HYNIX INC. |
10892263 | Methods of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892275 | Stacked connections in 3D memory and methods of making the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892278 | Three-dimensional semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10892281 | Method for manufacturing a transistor having a sharp junction by forming raised source-drain regions before forming gate regions and corresponding transistor produced by said method | STMICROELECTRONICS, INC. |
10892282 | Metal oxide film and method for forming metal oxide film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10892291 | Bonding pad architecture using capacitive deep trench isolation (CDTI) structures for electrical connection | STMICROELECTRONICS (CROLLES 2) SAS |
10892294 | Radiation detector element and imager comprising an assembly of radiation detector elements | THALES |
10892295 | Germanium-modified, back-side illuminated optical sensor | MICROSOFT TECHNOLOGY LICENSING, LLC |
10892296 | Light emitting device having commonly connected LED sub-units | SEOUL VIOSYS CO., LTD. |
10892297 | Light emitting diode (LED) stack for a display | SEOUL VIOSYS CO., LTD. |
10892298 | Light emitting diode display device with separation film and partition aligning to each other | SAMSUNG ELECTRONICS CO., LTD. |
10892299 | Magnetic field controlled transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892301 | Photo-electric conversion element, solid-state imaging element, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10892302 | Photoelectric conversion element, imaging element, stacked-type imaging element, and solid-state imaging apparatus | SONY CORPORATION |
10892303 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10892304 | Display device | LG DISPLAY CO., LTD. |
10892307 | Fingerprint sensor, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10892308 | Display panel and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10892309 | Display apparatus including a plurality of banks and a method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10892310 | Display device including a passivation layer having an uneven surface | SAMSUNG DISPLAY CO., LTD. |
10892311 | Display device and display terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10892312 | Flexible display device | LG DISPLAY CO., LTD. |
10892314 | Stretchable display device | LG DISPLAY CO., LTD. |
10892315 | Display device | JAPAN DISPLAY INC. |
10892316 | High density ball grid array (BGA) package capacitor design | GOOGLE LLC |
10892317 | Power trench capacitor compatible with deep trench isolation process | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10892318 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10892319 | Semiconductor device | ROHM CO., LTD. |
10892320 | Semiconductor devices having stacked trench gate electrodes overlapping a well region | -- |
10892321 | MOS transistors in parallel | STMICROELECTRONICS (ROUSSET) SAS |
10892322 | Circuits employing a double diffusion break (DDB) and single diffusion break (SDB) in different type diffusion region(s), and related fabrication methods | QUALCOMM INCORPORATED |
10892323 | Semiconductor structure and manufacturing method thereof | -- |
10892324 | Vertical field effect transistor with reduced gate to source/drain capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892325 | Vertical field effect transistor with reduced gate to source/drain capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892326 | Removal of a bottom-most nanowire from a nanowire device stack | INTEL CORPORATION |
10892327 | Semi-metal rectifying junction | UNIVERSITY COLLEGE CORK |
10892328 | Source/drain extension regions and air spacers for nanosheet field-effect transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892329 | Method for manufacturing semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10892330 | FET based synapse network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892331 | Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892332 | Gate insulating layer having a plurality of silicon oxide layer with varying thickness | KABUSHIKI KAISHA TOSHIBA |
10892333 | Method of making a gallium nitride device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892334 | n-Type SiC single crystal substrate, method for producing same and SiC epitaxial wafer | SHOWA DENKO K.K. |
10892335 | Device isolation by fixed charge | INTEL CORPORATION |
10892336 | Wrap-around-contact structure for top source/drain in vertical FETS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892337 | Backside source/drain replacement for semiconductor devices with metallization on both sides | INTEL CORPORATION |
10892338 | Scaled gate contact and source/drain cap | GLOBALFOUNDRIES INC. |
10892339 | Gate first technique in vertical transport FET using doped silicon gates with silicide | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892340 | Memory cell structures | MICRON TECHNOLOGY, INC. |
10892341 | Flash memory with assistant gate and method of fabricating the same | -- |
10892342 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10892343 | Display device including capping layer covered source and drain electrodes | SAMSUNG DISPLAY CO., LTD. |
10892344 | Atomic layer deposition of selected molecular clusters | STMICROELECTRONICS, INC. |
10892345 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892346 | Bipolar junction transistor (BJT) for liquid flow biosensing applications without a reference electrode and large sensing area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892347 | Vertical tunneling field effect transistor and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10892348 | Method of rounding fin-shaped structure | -- |
10892349 | FinFETs with deposited fin bodies | MICRON TECHNOLOGY, INC. |
10892350 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10892351 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10892352 | Power semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10892353 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10892354 | Field plates on two opposed surfaces of double-base bidirectional bipolar transistor: devices, methods, and systems | IDEAL POWER INC. |
10892355 | Lateral fin static induction transistor | HRL LABORATORIES, LLC |
10892356 | Group III-nitride high-electron mobility transistors with buried p-type layers and process for making the same | CREE, INC. |
10892357 | Double-channel HEMT device and manufacturing method thereof | STMICROELECTRONICS S.R.L. |
10892358 | Insulating structure of high electron mobility transistor and manufacturing method thereof | -- |
10892359 | Semiconductor device | SANKEN ELECTRIC CO., LTD. |
10892360 | Semiconductor device structure with high voltage device | -- |
10892361 | Lateral insulated-gate bipolar transistor and method therefor | NXP USA, INC. |
10892362 | Devices for LDMOS and other MOS transistors with hybrid contact | SILICET, LLC |
10892363 | Semiconductor device having termination region with insulator films having different coefficients of moisture absorption | MITSUBISHI ELECTRIC CORPORATION |
10892364 | Dielectric isolated fin with improved fin profile | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892365 | Fin field effect transistor having crystalline titanium germanosilicide stressor layer | -- |
10892366 | Thin film transistor and vertical non-volatile memory device including transition metal-induced polycrystalline metal oxide channel layer | SAMSUNG ELECTRONICS CO., LTD. |
10892367 | Metal oxide film, semiconductor device, and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10892368 | Nanosheet transistor having abrupt junctions between the channel nanosheets and the source/drain extension regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892369 | Photodiode having an aluminum metal ohmic contact and a spinel oxide layer | KING ABDULAZIZ UNIVERSITY |
10892370 | Photoelectric conversion device and method of manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10892371 | Solar module construction | S. E. TRACK AG |
10892372 | High performance solar cells, arrays and manufacturing processes therefor | MPOWER TECHNOLOGY, INC. |
10892373 | Germanium photodiode with silicon cap | NEWPORT FAB, LLC |
10892374 | Method for fabrication of germanium photodiode with silicon cap | NEWPORT FAB, LLC |
10892375 | Photonic energy storage device | QUANTUM PHOTONICS CORPORATION |
10892376 | Method and device for producing a photovoltaic element with stabilised efficiency | UNIVERSITÄT KONSTANZ |
10892377 | Selective deposition for interdigitated patterns in solar cells | IMEC VZW |
10892378 | Method of making a semi-polar nitride layer on a crystalline substrate | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10892379 | High efficiency visible and ultraviolet nanowire emitters | THE ROYAL INSTITUTION FOR THE ADVANCEMENT OF LEARNING/MCGILL UNIVERSITY |
10892380 | Light-emitting device | -- |
10892381 | Semiconductor structure with layer having protrusions | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10892382 | Semiconductor light-emitting element | NICHIA CORPORATION |
10892383 | Light emitting diode package and method for fabricating same | CREE, INC. |
10892384 | Etched trenches in bond materials for die singulation, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10892385 | LED fabrication using high-refractive-index adhesives | LUMILEDS LLC |
10892386 | Wafer-level light emitting diode package and method of fabricating the same | SEOUL SEMICONDUCTOR CO., LTD. |
10892387 | Lighting device with switching material | LUMILEDS, LLC |
10892388 | GeSn nanobeam light-emitting diode | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF AIR FORCE |
10892389 | Packaging leadframe and packaging structure | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
10892390 | Light-emitting element and light-emitting element package including the same | LG INNOTEK CO., LTD. |
10892391 | Light-emitting device package including a lead frame | SAMSUNG ELECTRONICS CO., LTD. |
10892392 | Method for manufacturing semiconductor device | NICHIA CORPORATION |
10892393 | Light emitting device having external connection with different width | NICHIA CORPORATION |
10892394 | Higher manganese silicide based telluride composite for thermoelectric conversion and preparation method thereof | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10892395 | Thermoelectric conversion material and production method thereof | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10892396 | Stabilized copper selenide thermoelectric materials and methods of fabrication thereof | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10892397 | Self-monitoring superconducting tape via integrated optical fibers | NORTH CAROLINA STATE UNIVERSITY |
10892398 | Qubit hardware for electrons on helium | -- |
10892399 | Powerless magnetic field sensing using magnetoelectric nanowires | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. |
10892400 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
10892401 | Spin current magnetization rotational element, magnetoresistance effect element and magnetic memory | TDK CORPORATION |
10892402 | Magnetoresistive element, and production method for magnetoresistive element | KONICA MINOLTA, INC. |
10892403 | Structured bottom electrode for MTJ containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892404 | Sacrificial buffer layer for metal removal at a bevel edge of a substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892405 | Hall-effect sensor package with added current path | TEXAS INSTRUMENTS INCORPORATED |
10892406 | Phase change memory structures and devices | INTEL CORPORATION |
10892407 | Apparatus and methods for electrical switching | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10892408 | Multivalent oxide cap for analog switching resistive memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892409 | Switching device, method of fabricating the same, and non-volatile memory device having the same | SK HYNIX INC. |
10892410 | Variable resistance memory devices and methods of manufacturing variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10892411 | Phase-change material RF switch | NEWPORT FAB, LLC |
10892412 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10892413 | Integration of confined phase change memory with threshold switching material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892414 | Process for making electronic device | MERCK PATENT GMBH |
10892415 | Deposition mask, vapor deposition apparatus, vapor deposition method, and method for manufacturing organic EL display apparatus | -- |
10892416 | Sensitive x-ray and gamma-ray detectors including perovskite single crystals | NUTECH VENTURES |
10892417 | Substrate, display device, conductive film with dopant and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10892418 | Charge injection layer and method for its production as well as organic photoelectronic element and method for its production | AGC INC. |
10892419 | Space-through charge transfer compound, and organic light emitting diode and display device using the same | LG DISPLAY CO., LTD. |
10892420 | Organic electroluminescent device | HODOGAYA CHEMICAL CO., LTD. |
10892421 | Organic small molecule semiconducting chromophores for use in organic electronic devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10892422 | Compound for organic optoelectronic device, organic light emitting diode including the same, and display including the organic light emitting diode | SAMSUNG ELECTRONICS CO., LTD. |
10892423 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10892424 | Composition for manufacturing organic semiconductor device | DAICEL CORPORATION |
10892425 | Composition of matter for use in organic light-emitting diodes | KYULUX, INC. |
10892426 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10892427 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10892428 | Flexible substrate and manufacturing method thereof | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10892429 | Organic EL element and display device | JOLED INC. |
10892430 | Inductively doped mixed layers for an optoelectronic component, and method for the production thereof | INURU GMBH |
10892431 | Organic electroluminescent element | JOLED INC. |
10892432 | Organic EL display device, manufacturing method thereof, and light-emission method thereof | SHARP KABUSHIKI KAISHA |
10892433 | Quantum dot light emitting device including ligand-substituted quantum dot light emitting layer with polymer having amine groups and method for fabricating the same | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10892434 | Light-emitting electrochemical cell | LUNALEC AB |
10892435 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10892436 | Narrow bezel electroluminance lighting device | LG DISPLAY CO., LTD. |
10892437 | Display device including a sealant | SAMSUNG DISPLAY CO., LTD. |
10892438 | Organic light-emitting display device having an upper substrate formed by a metal and method of fabricating the same | LG DISPLAY CO., LTD. |
10892439 | Display panel having filler layer and heat dissipation layer and packaging method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10892440 | Display device | JAPAN DISPLAY INC. |
10892441 | Display device | SAMSUNG DISPLAY CO., LTD. |
10892442 | Display device | SAMSUNG DISPLAY CO., LTD. |
10892443 | Display device having a thin film glass layer | SAMSUNG DISPLAY CO., LTD. |
10892444 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10892445 | Light apparatus for organic light emitting device | LG DISPLAY CO., LTD. |
10892548 | Photoconductive antenna array | TECHNISCHE UNIVERSITEIT DELFT |
10892690 | Actuator device and array of the same | KONINKLIJKE PHILIPS N.V. |
10892702 | Functionalized infrastructure and method for installing such a functionalized infrastructure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10892712 | Stacked-die bulk acoustic wave oscillator package | TEXAS INSTRUMENTS INCORPORATED |
10892730 | Acoustic filter with packaging-defined boundary conditions and method for producing the same | VANGUARD INTERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD. |
10892733 | Piezo-actuated MEMS resonator with surface electrodes | SITIME CORPORATION |
10892757 | Reverse body biasing of a transistor using a photovoltaic source | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10893088 | Sequence dependent data message consolidation in a voice activated computer network environment | GOOGLE LLC |
10893225 | Electronic device having large dynamic range for image sensing | -- |
10893346 | Display apparatus | LG DISPLAY CO., LTD. |
10893586 | Light emitting diode light structures | AUSTIN IP PARTNERS |
10893606 | Display device including cutout portion at folding axis | SAMSUNG DISPLAY CO., LTD. |
10894267 | Vapor deposition mask with metal plate | DAI NIPPON PRINTING CO., LTD. |
10894375 | Color conversion film and light source unit including the same, display, and lighting apparatus | TORAY INDUSTRIES, INC. |
10894403 | Semiconductor apparatus, liquid discharge head substrate, liquid discharge head, and liquid discharge apparatus | CANON KABUSHIKI KAISHA |
10894407 | Device including actuator | BROTHER KOGYO KABUSHIKI KAISHA |
10894712 | Optical electronics device | TEXAS INSTRUMENTS INCORPORATED |
10894738 | Wavelength conversion device and method for manufacturing the same | APPOTRONICS CORPORATION LIMITED |
10894744 | Oxide sintered material and method for manufacturing the same, sputtering target, and method for manufacturing semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10894770 | Fullerene derivative and n-type semiconductor material | DAIKIN INDUSTRIES, LTD. |
10894903 | Nanoparticle filled barrier adhesive compositions | 3M INNOVATIVE PROPERTIES COMPANY |
10895008 | Vapor deposition mask, frame-equipped vapor deposition mask, vapor deposition mask preparation body, vapor deposition pattern forming method, and method for producing organic semiconductor element | DAI NIPPON PRINTING CO., LTD. |
10895350 | Addressable color changeable LED structure | LUMILEDS LLC |
10895421 | Thermoelectric flow cloaking via metamaterials | UNIVERSITY OF SOUTH FLORIDA |
10895534 | Method and system for fluorescence lifetime based sequencing | ILLUMINA, INC. |
10895598 | At-speed test access port operations | TEXAS INSTRUMENTS INCORPORATED |
10895669 | Light emitting device with self-aligning preformed lens | LUMILEDS LLC |
10895739 | Optical scanning device, image projection device, and mobile object | RICOH COMPANY, LTD. |
10895774 | Array substrate, manufacturing method, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10895778 | Substrate for electro-optical device, electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
10895792 | Display device | JAPAN DISPLAY INC. |
10895800 | Segmented light or optical power emitting device with fully converting wavelength converting material and methods of operation | LUMILEDS LLC |
10895937 | Touch screen and manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10895944 | Touch control structure and manufacturing method thereof, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896173 | Content management in an on-demand environment | SALESFORCE.COM, INC. |
10896640 | Electroluminescence display device having buffer layer | LG DISPLAY CO., LTD. |
10896708 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10896727 | Auto-referenced memory cell read techniques | MICRON TECHNOLOGY, INC. |
10896732 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10896773 | Quench protected structured superconducting cable | THE TEXAS A&M UNIVERSITY SYSTEM |
10896803 | Ion beam mill etch depth monitoring with nanometer-scale resolution | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10896805 | Methods for rapid electron area masking (REAM) lithography | COQUITLAM |
10896816 | Silicon residue removal in nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896817 | Laser irradiation apparatus, thin film transistor, and method of manufacturing thin film transistor | V TECHNOLOGY CO. LTD. |
10896818 | Stacking fault-free semipolar and nonpolar GaN grown on foreign substrates by eliminating the nitrogen polar facets during the growth | YALE UNIVERSITY |
10896820 | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process | ASM IP HOLDING B.V. |
10896845 | Airgap vertical transistor without structural collapse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896847 | Techniques for revealing a backside of an integrated circuit device, and associated configurations | INTEL CORPORATION |
10896851 | Vertically stacked transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896852 | Methods for doping a sub-fin region of a semiconductor fin structure and devices containing the same | INTEL CORPORATION |
10896853 | Mask-free methods of forming structures in a semiconductor device | GLOBALFOUNDRIES INC. |
10896854 | Forming fins utilizing alternating pattern of spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896856 | Semiconductor structures, static random access memories, and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10896857 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896858 | Processing apparatus and processing method | TOKYO ELECTRON LIMITED |
10896863 | Semiconductor device and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10896871 | Circuit board, method for manufacturing circuit board, and electronic device | FUJITSU LIMITED |
10896873 | Massive deep trench capacitor die fill for high performance application specific integrated circuit (ASIC) applications | GOOGLE LLC |
10896875 | Forming conductive plugs for memory device | MICRON TECHNOLOGY, INC. |
10896885 | High-voltage MOSFET structures | POLAR SEMICONDUCTOR, LLC |
10896896 | Semiconductor device comprising PN junction diode and schottky barrier diode | ROHM CO., LTD. |
10896897 | LED display module and method of making thereof | SCT LTD. |
10896898 | Edge interconnect self-assembly substrate | INDIANA INTEGRATED CIRCUITS, LLC |
10896899 | Display panel, method for manufacturing the same, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10896903 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10896904 | ESD guard ring with snapback protection and lateral buried layers | TEXAS INSTRUMENTS INCORPORATED |
10896907 | Retrograde transistor doping by heterojunction materials | INTEL CORPORATION |
10896909 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10896910 | Memory structure and manufacturing method thereof | -- |
10896911 | Method for forming memory device involving ion implantation of the control gate spacer and wet etching process to expose sidewall of control gate | -- |
10896912 | Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896914 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10896916 | Reverse memory cell | SUNRISE MEMORY CORPORATION |
10896919 | Semiconductor integrated circuit device | RENESAS ELECTRONICS CORPORATION |
10896920 | Thin film transistor array substrate and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10896921 | Manufacturing method of array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896923 | Method of operating an imaging device with global shutter system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896927 | Micro-LED transfer method, manufacturing method and device | GOERTEK INC. |
10896928 | Light emitting diode display device | XIAMEN XM-PLUS TECHNOLOGY LTD |
10896929 | Integrated circuit components incorporating energy harvesting components/devices, and methods for fabrication, manufacture and production of integrated circuit components incorporating energy harvesting components/devices | FACE INTERNATIONAL CORPORATION |
10896930 | Memory including a selector switch on a variable resistance memory cell | MICRON TECHNOLOGY, INC. |
10896931 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10896932 | Three dimensional memory array | MICRON TECHNOLOGY, INC. |
10896933 | Display substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS |
10896934 | Light-emitting device, display apparatus, and image pickup apparatus | CANON KABUSHIKI KAISHA |
10896935 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10896938 | Flexible display device having curing material layer in different thicknesses and method for manufacturing the same | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
10896939 | Organic light-emitting display device including pixel defining layer with openings and black matrix with openings | SAMSUNG DISPLAY CO., LTD. |
10896940 | Transparent display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10896941 | Light-emitting device, method for manufacturing the same, and cellular phone | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896942 | Organic EL display device and method for manufacturing same | TORAY INDUSTRIES, INC. |
10896943 | Display device | SAMSUNG DISPLAY CO., LTD. |
10896945 | Display device including concave/convex structure in the inorganic insulation layer | JAPAN DISPLAY INC. |
10896946 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10896948 | Flexible display device with bridged wire traces | LG DISPLAY CO., LTD. |
10896949 | Inductor/transformer with closed ring | QUALCOMM INCORPORATED |
10896950 | Method and apparatus for a thin film dielectric stack | NXP USA, INC. |
10896951 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10896952 | SiC device and methods of manufacturing thereof | INFINEON TECHNOLOGIES AG |
10896953 | Diode structures | GLOBALFOUNDRIES INC. |
10896954 | Electronic device including a drift region | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10896955 | Semiconductor device including a functional layer and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10896956 | Field effect transistor with reduced contact resistance | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10896957 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10896958 | Silicon-on-insulator backside contacts | QUALCOMM INCORPORATED |
10896959 | Top structure of super junction MOSFETs and methods of fabrication | HUNTECK SEMICONDUCTOR (SHANGHAI) CO. LTD. |
10896960 | Silicon carbide MOSFET inverter circuit | FUJI ELECTRIC CO., LTD. |
10896961 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10896962 | Asymmetric threshold voltages in semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896963 | Semiconductor device contacts with increased contact area | INTEL CORPORATION |
10896964 | Semiconductor devices having variously-shaped source/drain patterns | SAMSUNG ELECTRONICS CO., LTD. |
10896965 | Formation of wrap-around-contact to reduce contact resistivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896966 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10896967 | Integrated circuit device including gate spacer structure | SAMSUNG ELECTRONICS CO., LTD. |
10896968 | Device structure and manufacturing method using HDP deposited source-body implant block | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10896969 | Manufacturing method of an HEMT transistor of the normally off type with reduced resistance in the on state and HEMT transistor | STMICROELECTRONICS S.R.L. |
10896970 | Process of forming high electron mobility transistor (HEMT) and HEMT formed by the same | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10896971 | Vertical transistor with body contact fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896972 | Self-aligned contact for vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896973 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10896974 | Method of fabricating semiconductor device | -- |
10896975 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10896976 | Embedded source/drain structure for tall FinFet and method of formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896977 | Composite oxide semiconductor and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10896978 | Oxide semiconductor device and method for manufacturing same | V TECHNOLOGY CO., LTD. |
10896979 | Compact vertical injection punch through floating gate analog memory and a manufacture thereof | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896980 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10896981 | Integration of vertical GaN varactor with HEMT | QUALCOMM INCORPORATED |
10896982 | Method of forming a multijunction metamorphic solar cell assembly for space applications | SOLAERO TECHNOLOGIES CORP. |
10896983 | Optical component packaging structure | -- |
10896984 | Solar cell module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10896985 | Dielectric sidewall structure for quality improvement in GE and SIGE devices | -- |
10896986 | Solar cell stack | AZUR SPACE SOLAR POWER GMBH |
10896987 | Sealing sheet for back surface of solar cell, and solar cell module | TOYOBO CO., LTD. |
10896988 | Laminated sheet and solar cell backsheet using same | MITSUI CHEMICALS, INC. |
10896989 | High efficiency back contact type solar cell, solar cell module, and photovoltaic power generation system | SHIN-ETSU CHEMICAL CO., LTD. |
10896990 | Group-IV solar cell structure using group-IV or III-V heterostructures | THE BOEING COMPANY |
10896991 | Photovoltaic devices and method of manufacturing | FIRST SOLAR, INC. |
10896992 | Photodiode structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896993 | Spherical array of optoelectronics for free space optical communication and power delivery, and neuromorphic interconnection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896994 | Light-emitting diode with hyperbolic metamaterial | FACEBOOK TECHNOLOGIES, LLC |
10896995 | Solid state lighting devices with accessible electrodes and methods of manufacturing | MICRON TECHNOLOGY, INC. |
10896996 | Optical device | ROHM CO., LTD. |
10896997 | Light-diffusion quantum dot nanostructure with voids and LED component having the same | -- |
10896998 | Method of manufacturing light emitting device | NICHIA CORPORATION |
10896999 | Electro-optical device, method for manufacturing electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
10897001 | Thermoelectric conversion module | MITSUBISHI MATERIALS CORPORATION |
10897002 | Acoustic resonator and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10897003 | Laminated ceramic electronic component and electronic component assembly | NGK INSULATORS, LTD. |
10897004 | Piezoelectric drive device | TDK CORPORATION |
10897005 | Vibrating device | TDK CORPORATION |
10897006 | Magnetic memory device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10897007 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10897008 | Magnetoresistive stacks with an unpinned, fixed synthetic anti-ferromagnetic structure and methods of manufacturing thereof | EVERSPIN TECHNOLOGIES, INC. |
10897009 | Resistive memory cells and precursors thereof, methods of making the same, and devices including the same | INTEL CORPORATION |
10897010 | Mask frame assembly for thin layer deposition | SAMSUNG DISPLAY CO., LTD. |
10897011 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10897012 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10897013 | Compound for organic electric device, organic electric device using same, and electronic device comprising same | DUK SAN NEOLUX CO., LTD. |
10897014 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10897015 | Organic light emitting device | SAMSUNG DISPLAY CO., LTD. |
10897016 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10897017 | Display device having a passivation film surrounding a substrate and method for manufacturing the same | LG DISPLAY CO., LTD. |
10897018 | Display device | SAMSUNG DISPLAY CO., LTD. |
10897019 | Display device | SAMSUNG DISPLAY CO., LTD. |
10897020 | Flexible and foldable OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10897021 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10897022 | Organic solar module and/or fabrication method | CAMBRIOS FILM SOLUTIONS CORPORATION |
10897023 | All quantum dot based optoelectronic device | TOYOTA MOTOR EUROPE |
10897024 | Crosslinked emissive layer containing quantum dots for light-emitting device and method for making same | SHARP KABUSHIKI KAISHA |
10897025 | Electroactive materials | LG CHEM, LTD. |
10897026 | Display device and manufacturing method of display device | SHARP KABUSHIKI KAISHA |
10897027 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
10897028 | Multilayer diamond display system and method | -- |
10897068 | Systems and devices for filtering electrical signals | D-WAVE SYSTEMS INC. |
10897120 | Externally-strain-engineered semiconductor photonic and electronic devices and assemblies and methods of making same | UNIVERSITY OF HOUSTON SYSTEM |
10897142 | Half bridge circuit with bootstrap capacitor charging circuit | NAVITAS SEMICONDUCTOR LIMITED |
10897155 | Power transmission device and wireless power transfer system | MURATA MANUFACTURING CO., LTD. |
10897214 | Method for joining a ceramic friction element to a piezoceramic element | PHYSIK INSTRUMENTE (PI) GMBH & CO. KG |
10897235 | Superconducting signal amplifier | PSIQUANTUM CORP. |
10897454 | Obfuscation of email addresses | GROUPON, INC. |
10898897 | Metal assisted chemical etching for fabricating high aspect ratio and straight silicon nanopillar arrays for sorting applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10898952 | Composition for forming electrode, electrode manufactured using the same and solar cell | SAMSUNG SDI CO., LTD. |
10899102 | Carbon nanotube sheet optical bellows with enhanced stray light suppression and method of manufacture | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10899607 | III-V nitride resonate based photoacoustic sensor | UNIVERSITY OF SOUTH CAROLINA |
10899672 | Ceramic material for generating light | KONINKLIJKE PHILIPS N.V. |
10899731 | Preparation of fluoroalkyl electrochromic polymers and the uses thereof | AMBILIGHT INC. |
10899908 | Self-healing composite and device including self-healing film | SAMSUNG ELECTRONICS CO., LTD. |
10899963 | Light-emitting structure, optical member having the light-emitting structure, light-emitting device, and liquid crystal display apparatus | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10899965 | Fluorescent material particles, method for producing the same, and light emitting device | NICHIA CORPORATION |
10900115 | Chalcogenide film including a noble metal chalcogenide material, device including the chalcogenide film, and method of forming the chalcogenide film | NANYANG TECHNOLOGICAL UNIVERSITY |
10900625 | Retainer ring for a light fixture | ABL IP HOLDING LLC |
10900678 | Gas enclosure assembly and system | KATEEVA, INC. |
10900847 | Thermal pattern sensor with pyroelectric capacitor comprising a sol-gel matrix and metallic oxide particles | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10900854 | Pressure sensor and method of producing the same | TOHOKU UNIVERSITY |
10900918 | Test system of thermoelectric module and test method for thermoelectric module | LAFAYETTE |
10900927 | Graphene field effect transistors for detection of ions | UNIVERSITY OF SOUTH CAROLINA |
10900952 | Dual surface charge sensing biosensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900953 | DNA sequencing using MOSFET transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900993 | Single axis accelerometer with inertial threshold | MECHOPTIX, INC. |
10901049 | Magnetic sensor and method for manufacturing said magnetic sensor | DENSO CORPORATION |
10901100 | Radiation detector and radiation detecting device | KABUSHIKI KAISHA TOSHIBA |
10901123 | Curable composition, cured film, near infrared cut filter, camera module and method for manufacturing camera module | FUJIFILM CORPORATION |
10901148 | Optical hybrid | ELENION TECHNOLOGIES, LLC |
10901150 | Metal contact free photodetector with sidewall doping | ELENION TECHNOLOGIES, LLC |
10901152 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10901161 | Optical power transfer devices with an embedded active cooling chip | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10901260 | Displays with direct-lit backlight units | APPLE INC. |
10901282 | Thin film transistor substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10901283 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10901313 | Division mask | SAMSUNG DISPLAY CO., LTD. |
10901314 | Pixel arrangement structure, organic light emitting device, display device and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10901463 | Display device, method for manufacturing the device and laser processing apparatus for manufacturing the display device | SAMSUNG DISPLAY CO., LTD. |
10901510 | Haptic feedback system having two independent actuators | MICROSOFT TECHNOLOGY LICENSING, LLC |
10901542 | Flexible organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10901566 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
10901623 | Memory device including mixed non-volatile memory cell types | MICRON TECHNOLOGY, INC. |
10902756 | Display apparatus using semiconductor light emitting device and manufacturing method therefor | LG ELECTRONICS INC. |
10902760 | Test circuit, display substrate, test method thereof and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10902770 | Display device | SHARP KABUSHIKI KAISHA |
10902804 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902814 | Semiconductor device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902900 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
10902908 | Josephson memory and logic circuits using quasi-long-junction interconnect | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10902910 | Phase change memory (PCM) with gradual reset characteristics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902912 | Electrochemical switching device with protective encapsulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10902913 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10902917 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
10902919 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10902969 | Organic semiconductor composition, organic semiconductor film, organic thin film transistor, and method of manufacturing organic thin film transistor | FUJIFILM CORPORATION |
10902970 | Patterned transparent conductive film and process for producing such a patterned transparent conductive film | BASF SE |
10902971 | Conductive paste for semiconductor device and preparation method | SOBTRIUM ADVANCED MATERIALS TECHNOLOGY, LTD. |
10902978 | Diffusion barriers for metallic superconducting wires | H.C. STARCK INC. |
10902982 | Electrically conductive PTC ink with double switching temperatures and applications thereof in flexible double-switching heaters | LMS CONSULTING GROUP, LLC |
10902986 | Sensor, microphone, and touch panel | KABUSHIKI KAISHA TOSHIBA |
10902987 | Spin-orbit torque type magnetization rotation element, spin-orbit torque magnetoresistance effect element, and method of manufacturing spin-orbit torque type magnetization rotation element | TDK CORPORATION |
10903002 | Method for manufacturing a magnetic memory element using Ru and diamond like carbon hard masks | SPIN MEMORY, INC. |
10903013 | Dielectric powder and multilayer capacitor using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903018 | Substrate-electrode (SE) interface illuminated photoelectrodes and photoelectrochemical cells | REPSOL, S.A. |
10903034 | Planar field emission transistor | WISYS TECHNOLOGY FOUNDATION, INC. |
10903068 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903072 | Conductive C-plane GaN substrate | MITSUBISHI CHEMICAL CORPORATION |
10903073 | Systems and methods of dislocation filtering for layer transfer | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10903074 | GaN laminate and method of manufacturing the same | SCIOCS COMPANY LIMITED |
10903076 | Material selective regrowth structure and method | THE TEXAS STATE UNIVERSITY—SAN MARCOS |
10903077 | Methods to protect nitride layers during formation of silicon germanium nano-wires in microelectronic workpieces | TOKYO ELECTRON LIMITED |
10903078 | Methods for processing a silicon carbide wafer, and a silicon carbide semiconductor device | INFINEON TECHNOLOGIES AG |
10903079 | Method for forming complementary doped semiconductor regions in a semiconductor body | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10903080 | Transistor device and method for preparing the same | -- |
10903085 | Method for etching organic region | TOKYO ELECTRON LIMITED |
10903088 | Electronic package and method for fabricating the same | -- |
10903108 | Semiconductor devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903111 | Semiconductor device with linerless contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903119 | Semiconductor chip, method of producing a semiconductor chip and apparatus having a plurality of semiconductor chips | OSRAM OLED GMBH |
10903120 | Semiconductor wafer dicing crack prevention using chip peripheral trenches | INFINEON TECHNOLOGIES AUSTRIA AG |
10903122 | Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors | MICRON TECHNOLOGY, INC. |
10903123 | High threshold voltage FET with the same fin height as regular threshold voltage vertical FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903124 | Transistor structure with n/p boundary buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903134 | Silicon heat-dissipation package for compact electronic devices | -- |
10903137 | Electrical interconnections with improved compliance due to stress relaxation and method of making | INTEL CORPORATION |
10903139 | Superlattice structures for thermoelectric devices | THE JOHNS HOPKINS UNIVERSITY |
10903142 | Micro through-silicon via for transistor density scaling | INTEL CORPORATION |
10903143 | Semiconductor device | -- |
10903149 | Semiconductor module, electric vehicle, and power control unit | FUJI ELECTRIC CO., LTD. |
10903154 | Semiconductor device and method of forming cantilevered protrusion on a semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10903161 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903163 | Trench MOSFET with self-aligned body contact with spacer | VISHAY-SILICONIX, LLC |
10903166 | Integrated circuit packages | INTEL IP CORPORATION |
10903173 | Pre-conditioned substrate | PALO ALTO RESEARCH CENTER INCORPORATED |
10903175 | Semiconductor device having features to prevent reverse engineering | -- |
10903176 | Method of forming a photodiode | PALO ALTO RESEARCH CENTER INCORPORATED |
10903184 | Filler particle position and density manipulation with applications in thermal interface materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903192 | Vehicle luminaire and vehicle lamp | TOSHIBA LIGHTING & TECHNOLOGY CORPORATION |
10903193 | Light-emitting device | NICHIA CORPORATION |
10903194 | Micro light-emitting diode display with 3D orifice plating and light filtering | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10903195 | High-resolution micro-LED display device and manufacturing method of the same | LG DISPLAY CO., LTD. |
10903197 | Assembly of wafer stacks | AMS SENSORS SINGAPORE PTE. LTD. |
10903201 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10903202 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10903203 | Trench transistor structure and manufacturing method thereof | -- |
10903204 | Lateral transient voltage suppressor device | -- |
10903205 | Semiconductor device of electrostatic discharge protection | -- |
10903206 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903207 | Method of forming an integrated circuit (IC) with shallow trench isolation (STI) regions and the resulting IC structure | GLOBALFOUNDRIES INC. |
10903208 | Distributed decoupling capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903209 | MOS transistors in parallel | STMICROELECTRONICS (ROUSSET) SAS |
10903210 | Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903211 | Gate devices and methods of formation using angled ions | APPLIED MATERIALS, INC. |
10903212 | Fin field effect transistor devices with modified spacer and gate dielectric thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903213 | Integrated circuit devices | SAMSUNG ELECTRONICS CO., LTD. |
10903214 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903218 | Methods of incorporating leaker-devices into capacitor configurations to reduce cell disturb, and capacitor configurations incorporating leaker-devices | MICRON TECHNOLOGY, INC. |
10903219 | Method for making a flash memory device | INTEL CORPORATION |
10903220 | Integrated assemblies having anchoring structures proximate stacked memory cells, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10903221 | Memory cells and memory arrays | MICRON TECHNOLOGY, INC. |
10903224 | Semiconductor device and method for fabricating the same | -- |
10903225 | Storage device and manufacturing method for the same | TOSHIBA MEMORY CORPORATION |
10903226 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10903227 | Semiconductor devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903228 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10903229 | Three-dimensional semiconductor memory device with central connection through region | SAMSUNG ELECTRONICS CO., LTD. |
10903231 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903232 | Three-dimensional memory devices containing memory stack structures with laterally separated charge storage elements and method of making thereof | SANDISK TECHNOLOGIES LLC |
10903236 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10903238 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10903240 | Integrated circuits (ICs) on a glass substrate | QUALCOMM INCORPORATED |
10903241 | System of forming debonding layer, method of forming debonding layer, system of manufacturing display device using debonding layer and method of manufacturing display device debonding layer | SAMSUNG DISPLAY CO., LTD. |
10903242 | Array substrate, manufacturing method, display panel for forming transparent conductive layers of different thicknesses | BOE TECHNOLOGY GROUP CO., LTD. |
10903246 | Thin film transistor substrate and display using the same | LG DISPLAY CO., LTD. |
10903248 | Thin film transistor array substrate and organic light-emitting display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
10903252 | Photoelectric conversion device including perovskite compound, method of manufacturing the same, and imaging device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903254 | Distance-measuring imaging device, distance measuring method of distance-measuring imaging device, and solid-state imaging device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10903262 | Scalable thermoelectric-based infrared detector | MERIDIAN INNOVATION PTE LTD |
10903265 | Pixelated-LED chips and chip array devices, and fabrication methods | CREE, INC. |
10903266 | Ultra-smooth sidewall pixelated array LEDs | LUMILEDS LLC |
10903267 | System and method for making micro LED display | -- |
10903268 | Pixelated-LED chips and chip array devices, and fabrication methods | CREE, INC. |
10903269 | Magnetic memory device and fabrication method thereof | -- |
10903270 | Access device and phase change memory combination structure in backend of line (BEOL) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903271 | Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903272 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10903273 | Phase change memory with gradual conductance change | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903274 | Interconnect landing method for RRAM technology | -- |
10903276 | Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces | MICRON TECHNOLOGY, INC. |
10903277 | Scalable, stackable, and BEOL-process compatible integrated neuron circuit | HRL LABORATORIES, LLC |
10903278 | Solid-state imaging element and solid-state imaging apparatus having a photoelectric conversion layer and a pair of electrodes | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10903280 | Organic light-emitting diode display panel, method for fabricating the same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10903281 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10903282 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10903283 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10903284 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903285 | Input sensor, method for manufacturing the same, and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10903286 | Display device | JAPAN DISPLAY INC. |
10903287 | Foldable, flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903288 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10903289 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10903290 | Display device and display apparatus containing same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10903292 | Organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903293 | Method of manufacturing display panel and display apparatus including the display panel | SAMSUNG DISPLAY CO., LTD. |
10903294 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903295 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903296 | Display device | LG DISPLAY CO., LTD. |
10903297 | Bidirectional organic light emitting display device | LG DISPLAY CO., LTD. |
10903298 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903299 | Display device including a flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10903300 | Display panel | SAMSUNG DISPLAY CO., LTD. |
10903301 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903302 | Display device having an organic layer between a plurality of signal layers | SAMSUNG DISPLAY CO., LTD. |
10903303 | Display panel with a separation pillar having slanting side surfaces disposed on a pixel definition layer | -- |
10903304 | Semiconductor device and method of forming inductor over insulating material filled trench in substrate | STATS CHIPPAC PTE. LTD. |
10903305 | Ultra high density metal-oxide-metal capacitor | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10903306 | Integrated trench capacitor | TEXAS INSTRUMENTS INCORPORATED |
10903307 | Fabrication of a MIM capacitor structure with via etch control with integrated maskless etch tuning layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903308 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10903309 | Capacitor | MURATA MANUFACTURING CO., LTD. |
10903310 | Capacitor structure and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903311 | Vertical semiconductor structure | STMICROELECTRONICS (TOURS) SAS |
10903312 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10903313 | Apparatus for controlling driver current for illumination source | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10903314 | Semiconductor device and method for manufacturing the same | -- |
10903315 | Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903316 | Radio frequency switches with air gap structures | GLOBALFOUNDRIES INC. |
10903317 | Gate-all-around field effect transistors with robust inner spacers and methods | GLOBALFOUNDRIES U.S. INC. |
10903318 | External resistance reduction with embedded bottom source/drain for vertical transport FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903319 | Patterning graphene with a hard mask coating | NANOMEDICAL DIAGNOSTICS, INC. |
10903320 | Transistor with source field plates and non-overlapping gate runner layers | TEXAS INSTRUMENTS INCORPORATED |
10903321 | Semiconductor device and method of manufacturing a semiconductor device using an alignment layer | INFINEON TECHNOLOGIES AUSTRIA AG |
10903322 | SiC power semiconductor device with integrated body diode | INFINEON TECHNOLOGIES AG |
10903323 | Semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10903324 | Semiconductor device including fin-FET and etch stop layers | SAMSUNG ELECTRONICS CO., LTD. |
10903325 | Memory transistor with multiple charge storing layers and a high work function gate electrode | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903326 | Semiconductor device and method for fabricating the same | -- |
10903327 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903328 | Method for fabricating semiconductor device | -- |
10903329 | Contact photolithography-based nanopatterning using photoresist features having re-entrant profiles | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10903330 | Tapered gate electrode for semiconductor devices | GENERAL ELECTRIC COMPANY |
10903331 | Positioning air-gap spacers in a transistor for improved control of parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903332 | Fully depleted SOI transistor with a buried ferroelectric layer in back-gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903333 | Doped gate dielectric materials | HRL LABORATORIES, LLC |
10903334 | High voltage semiconductor device and manufacturing method thereof | -- |
10903335 | Self-aligned internal spacer with EUV | IMEC VZW |
10903336 | Semiconductor device and method of manufacturing the same | -- |
10903337 | Air gap spacer with wrap-around etch stop layer under gate spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903338 | Vertical FET with shaped spacer to reduce parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903339 | Vertical transport FET devices having a sacrificial doped layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903340 | Laterally diffused metal oxide semiconductor structure and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10903341 | Methods for manufacturing a MOSFET | INFINEON TECHNOLOGIES AUSTRIA AG |
10903342 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903343 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10903344 | Semiconductor device with separation regions | INFINEON TECHNOLOGIES AG |
10903345 | Power MOSFET with metal filled deep sinker contact for CSP | TEXAS INSTRUMENTS INCORPORATED |
10903346 | Trench-gate semiconductor device having first and second gate pads and gate electrodes connected thereto | KABUSHIKI KAISHA TOSHIBA |
10903348 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10903349 | Electronic component with multiple quantum islands | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10903350 | Semiconductor devices and methods for forming the same | -- |
10903351 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10903352 | Manufacturing method of vertical GaN-based semiconductor device and vertical GaN-based semiconductor device | FUJI ELECTRIC CO., LTD. |
10903353 | Double gate transistor device and method of operating | INFINEON TECHNOLOGIES AUSTRIA AG |
10903354 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903355 | Power switch arrangement | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10903356 | LDMOS device with body diffusion self-aligned to gate | TEXAS INSTRUMENTS INCORPORATED |
10903357 | Laterally diffused metal oxide semiconductor (LDMOS) transistor on a semiconductor on insulator (SOI) layer with a backside device | QUALCOMM INCORPORATED |
10903358 | Vertical fin field effect transistor with reduced gate length variations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903359 | Semiconductor device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10903360 | Vertically integrated memory cells with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903361 | Fabrication of a vertical field effect transistor device with a modified vertical fin geometry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903362 | Semiconductor device with asymmetric strained source/drain structure and fabrication method | -- |
10903363 | Ferroelectric semiconductor device | SK HYNIX INC. |
10903364 | Semiconductor device with released source and drain | INTEL CORPORATION |
10903365 | Transistors with uniform source/drain epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903366 | Forming fin-FET semiconductor structures | -- |
10903367 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903368 | Semiconductor device and display device including semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903369 | Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903370 | Semiconductor integrated circuit device | SOCIONEXT INC. |
10903371 | Three dimensional vertically structured MISFET/MESFET | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10903372 | Metal-oxide-polysilicon tunable resistor for flexible circuit design and method of fabricating same | INTEL CORPORATION |
10903373 | Chip diode and method for manufacturing same | ROHM CO., LTD. |
10903374 | Schottky semiconductor device with junction termination extensions | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10903375 | Solar cell | LG ELECTRONICS INC. |
10903376 | Light receiving/emitting element, solar cell, optical sensor, light emitting diode, and surface emitting laser element | SONY CORPORATION |
10903377 | Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions | MICRON TECHNOLOGY, INC. |
10903378 | Photovoltaic cells comprising a layer of crystalline non-centrosymmetric light-absorbing material and a plurality of electrodes to collect ballistic carriers | DREXEL UNIVERSITY |
10903379 | Photovoltaic devices and photovoltaic modules | SHARP KABUSHIKI KAISHA |
10903380 | Assembly and mounting of solar cells on airfoils | VANGUARD SPACE TECHNOLOGIES, INC. |
10903381 | Electronic device module | YPAREX B.V. |
10903382 | Light redirecting film useful with solar modules | 3M INNOVATIVE PROPERTIES COMPANY |
10903383 | Group-IV solar cell structure using group-IV or III-V heterostructures | THE BOEING COMPANY |
10903384 | Multi-color photo-detector | TELEDYNE SCIENTIFIC & IMAGING, LLC |
10903385 | Image sensor and electronic device having the same for outputting a count value as a pixel value by counting pulses present in the output signals from photoelectric converters | CANON KABUSHIKI KAISHA |
10903386 | Solid-state image sensor, image capturing apparatus, and image capturing method | CANON KABUSHIKI KAISHA |
10903387 | Optical sensing assembly and method for manufacturing the same, and optical sensing system | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10903388 | Electronic device comprising electronic chips | STMICROELECTRONICS (GRENOBLE 2) SAS |
10903389 | Hydride enhanced growth rates in hydride vapor phase epitaxy | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10903390 | Automated assembly and mounting of solar cells on space panels | SOLAERO TECHNOLOGIES CORP. |
10903391 | Optoelectronic device with modulation doping | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10903392 | Method of producing optoelectronic semiconductor components and optoelectronic semiconductor component | OSRAM OLED GMBH |
10903393 | Transfer template, display substrate, display panel, and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10903394 | Light emitting element | NICHIA CORPORATION |
10903395 | Semiconductor device having varying concentrations of aluminum | LG INNOTEK CO., LTD. |
10903396 | Layered material based quantum light emitting device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903397 | Light emitting device package | SAMSUNG ELECTRONICS CO., LTD. |
10903398 | Dielectric film coating for full conversion ceramic platelets | OSRAM OPTO SEMICONDUCTORS GMBH |
10903399 | Method for manufacturing a light emitting device comprising at least two first light emitting diodes and a second light emitting diodes interposed therebetween | NICHIA CORPORATION |
10903400 | Light emitting device and light source device | NICHIA CORPORATION |
10903401 | Light-emitting device | NICHIA CORPORATION |
10903402 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903403 | LED array package | BRIDGELUX, INC. |
10903404 | Semiconductor device | LG INNOTEK CO., LTD. |
10903406 | Housing comprising a semiconductor body and a method for producing a housing with a semiconductor body | OSRAM OLED GMBH |
10903407 | Semiconductor light emitting element | NICHIA CORPORATION |
10903408 | Thermal energy harvesting for dispensing system | GOJO INDUSTRIES, INC. |
10903409 | Thermoelectric generator for semiconductor power module | DENSO INTERNATIONAL AMERICA, INC. |
10903410 | Thermoelectric module, and heat conversion apparatus comprising the same | LG INNOTEK CO., LTD. |
10903411 | Semiconductor Josephson junction and a transmon qubit related thereto | UNIVERSITY OF COPENHAGEN |
10903412 | Qubit frequency tuning structures and fabrication methods for flip chip quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903413 | Semiconductor process optimized for quantum structures | EQUAL!.LABS INC. |
10903414 | Device and method for generating an oscillatory motion | DR. HIELSCHER GMBH |
10903415 | Large structure monitoring with a substrate-free flexible sensor system | UNITED TECHNOLOGIES CORPORATION |
10903416 | Alloy thin films exhibiting perpendicular magnetic anisotropy | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10903417 | MTJ containing device with replacement top electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903418 | Low resistance electrode for high aspect ratio confined PCM cell in BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903419 | Resistive memory device and method of manufacturing the resistive memory device | SK HYNIX INC. |
10903420 | Lithium-compound based solid state memristor device for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903421 | Controlling filament formation and location in a resistive random-access memory device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903422 | Vertically oriented memory structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903423 | Phase change memory | STMICROELECTRONICS (CROLLES 2) SAS |
10903424 | Resistive RAM cell structure for gradual set programming | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903425 | Oxygen vacancy and filament-loss protection for resistive switching devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903426 | Metal mask base, metal mask and method for producing metal mask | TOPPAN PRINTING CO., LTD. |
10903427 | Apparatus and method for direct patterning of an organic material using an electrostatic mask | EMAGIN CORPORATION |
10903428 | Organic electroluminescent element, compound and material for organic electroluminescent element capable of being used therefor, light emitting device, display device, and illumination device, each using the element | UDC IRELAND LIMITED |
10903429 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10903430 | Materials for electronic devices | MERCK PATENT GMBH |
10903431 | Compound and organic electronic device comprising same | LG CHEM, LTD. |
10903432 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10903433 | Organic compound, and photoelectric conversion element and imaging device using the organic compound | CANON KABUSHIKI KAISHA |
10903434 | Organic semiconductor element | THE UNIVERSITY OF TOKYO |
10903435 | Flexible display device | DONGWOO FINE-CHEM CO., LTD. |
10903436 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903437 | Fabrication method for fused multi-layer amorphous selenium sensor | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
10903438 | Visibly transparent, ultraviolet-absorbing photovoltaic devices | UBIQUITOUS ENERGY, INC. |
10903439 | Solid-state organic intermediate-band photovoltaic devices | THE TRUSTEES OF PRINCETON UNIVERSITY |
10903440 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903441 | Single-layer light-emitting diodes using organometallic halide perovskite/ionic-conducting polymer composite | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10903442 | Organic light-emitting diode comprising self-crystallizing material and organic light-emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
10903443 | Organic EL display device | JAPAN DISPLAY INC. |
10903444 | OLED package substrate having a conductor attached to electrode on an array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10903445 | Electronic device and method of forming flexible display thereof | SAMSUNG ELECTRONICS CO., LTD. |
10903446 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903447 | Display device | SAMSUNG DISPLAY CO., LTD. |
10903448 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903449 | Display device | JAPAN DISPLAY INC. |
10903450 | Display device | JAPAN DISPLAY INC. |
10903451 | Organic light-emitting display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
10903452 | Barrier film, organic EL device, flexible substrate, and method for manufacturing barrier film | PANASONIC CORPORATION |
10903453 | Light-emitting device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903454 | Light-emitting device and display apparatus including the light-emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10903455 | Organic light emitting diode display device for improving light extraction efficiency of pixels | LG DISPLAY CO., LTD. |
10903456 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10903457 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903458 | Optoelectronic assembly and method for producing an optoelectronic assembly | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
10903459 | Mask assembly, and apparatus and method for manufacturing display apparatus including the mask assembly | SAMSUNG DISPLAY CO., LTD. |
10903460 | Flexible OLED device, method for manufacturing same, and support substrate | SAKAI DISPLAY PRODUCTS CORPORATION |
10903619 | Semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10903623 | Method and structure for manufacturable large area gallium and nitrogen containing substrate | SORAA LASER DIODE, INC. |
10903762 | Actuator device based on an electroactive or photoactive polymer | KONINKLIJKE PHILIPS N.V. |
10903763 | Built-in piezoelectric-type online dynamic balance actuator | -- |
10903782 | Solar tracker system | FCX SOLAR LLC |
10903786 | Preventing harmful polarization of solar cells | SUNPOWER CORPORATION |
10903789 | System and method for optimizing energy generation | NOVA LUMOS LTD. |
10903803 | Semiconductor device and power amplifier module | MURATA MANUFACTURING CO., LTD. |
10903814 | Bulk acoustic wave resonator | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903837 | Low power pin diode driver | ADVANCED ENERGY INDUSTRIES, INC. |
10904963 | Optical device | PIONEER CORPORATION |
10904965 | Method and apparatus for providing a passive color control scheme using blue and red emitters | SIGNIFY HOLDING B.V. |
10904995 | Plasma generator | TDK ELECTRONICS AG |
10905000 | Display device and method of manufacturing electronic device using the same | SAMSUNG DISPLAY CO., LTD. |
10905009 | Display module and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10905019 | Display device | LG ELECTRONICS INC. |
10905029 | Cooling structure for electronic boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10905334 | Electroactive polymer sensors and sensing methods | KONINKLIJKE PHILIPS N.V. |
10905346 | Pseudo-conductive high-electron mobility transistors and microelectronic sensors based on them | EPITRONIC HOLDINGS PTE LTD. |
10905890 | Autonomous cardiac implant of the leadless capsule type with energy harvester and controlled-charge energy storage buffer | CAIRDAC |
10906235 | Optoelectronic semiconductor component and 3D printer | OSRAM OLED GMBH |
10906274 | Laminate substrate with sintered components | QORVO US, INC. |
10906382 | Roof construction for a vehicle and a semi-transparent photo voltaic panel therein | INALFA ROOF SYSTEMS GROUP B.V. |
10906803 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10906811 | Composition comprising optically and electronically active phosphorene | NORTHWESTERN UNIVERSITY |
10906830 | Method of processing window member | SAMSUNG DISPLAY CO., LTD. |
10906922 | Heterocyclic compound and organic solar cell comprising same | LG CHEM, LTD. |
10907061 | Formulations containing N,N-dialkylaniline solvents | MERCK PATENT GMBH |
10907093 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10907094 | Pyrromethene-boron complex, color conversion composition, color conversion film, light source unit, display, and illumination apparatus | TORAY INDUSTRIES, INC. |
10907095 | Luminophore mixtures for use in dynamic lighting systems | LITEC-VERMOGENSVERWALTUNGSGESELLSCHAFT MBH |
10907772 | LED lamp and LED emitter of the same | GRAPHENE LIGHTING CHINA LIMITED |
10907789 | Light emitting device and vehicular lamp comprising same | SEOUL SEMICONDUCTOR CO., LTD. |
10908025 | Patterned focal plane arrays of carbon nanotube thin film bolometers with high temperature coefficient of resistance and improved detectivity for infrared imaging | CARBON SOLUTIONS, INC. |
10908155 | Biological sensing system | -- |
10908231 | Small bore magnetic measurement system, method for measuring small bore magnets | UCHICAGO ARGONNE, LLC |
10908233 | Magnetic detection device and method for manufacturing the same | ALPS ELECTRIC CO., LTD. |
10908234 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION |
10908286 | Integrated optical transmitter and receiver | INTEL CORPORATION |
10908302 | Semiconductor photo-detection device and radiation detection apparatus | HAMAMATSU PHOTONICS K.K. |
10908318 | Luminescent device | CAMBRIDGE ENTERPRISE LIMITED |
10908331 | Display substrate, manufacturing method thereof and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10908333 | Optical film, polarization plate, and image display device | DAI NIPPON PRINTING CO., LTD. |
10908338 | Optical film, polarizing plate, and image display device | FUJIFILM CORPORATION |
10908340 | Display device | SAMSUNG DISPLAY CO., LTD. |
10908465 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10908648 | Foldable display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908689 | Method for outputting feedback based on piezoelectric element and electronic device supporting the same | SAMSUNG ELECTRONICS CO., LTD. |
10908715 | Array substrate for detecting touch position with bottom gate sensing thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
10908716 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
10908733 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908747 | Display apparatus | LG DISPLAY CO., LTD. |
10909443 | Neuromorphic circuit structure and method to form same | GLOBALFOUNDRIES INC. |
10909915 | Light emitting device | JOLED INC. |
10909918 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10909921 | Organic light emitting diode display device including driving transistor having gate electrode under semiconductor layer | SAMSUNG DISPLAY CO., LTD. |
10909930 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10910039 | Semiconductor device with a plurality of surrounding gate transistors | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10910050 | ReRAM memory cell having dual word line control | MICROCHIP TECHNOLOGY INC. |
10910052 | Material implication operations in memory | MICRON TECHNOLOGY, INC. |
10910158 | Capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10910212 | Materials and optical components for color filtering in a lighting apparatus | SAVANT TECHNOLOGIES, LLC |
10910215 | Method of forming later insulating films for MTJ | TOKYO ELECTRON LIMITED |
10910223 | Doping through diffusion and epitaxy profile shaping | -- |
10910224 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
10910226 | Method of producing a semiconductor laser and semiconductor laser | OSRAM OLED GMBH |
10910229 | Substrate treatment method | TOKYO ELECTRON LIMITED |
10910230 | Semiconductor manufacturing apparatus and method for manufacturing semiconductor device | HITACHI HIGH-TECH CORPORATION |
10910232 | Copper plasma etching method and manufacturing method of display panel | SAMSUNG DISPLAY CO., LTD. |
10910254 | Transfer device | -- |
10910256 | Pseudo-substrate with improved efficiency of usage of single crystal material | SOITEC |
10910257 | High resistivity SOI wafers and a method of manufacturing thereof | -- |
10910258 | Engineered substrate structure and method of manufacture | QROMIS, INC. |
10910260 | Method for manufacturing semiconductor device | -- |
10910261 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910265 | Gate aligned contact and method to fabricate same | INTEL CORPORATION |
10910272 | Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same | SANDISK TECHNOLOGIES LLC |
10910273 | Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910274 | Backside processed semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910275 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910276 | STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method | GLOBALFOUNDRIES INC. |
10910278 | Semiconductor device, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10910279 | Variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910282 | Prevention of charging damage in full-depletion devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910297 | Package including multiple semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910304 | Tight pitch wirings and capacitor(s) | GLOBALFOUNDRIES U.S. INC. |
10910305 | Microelectronic devices designed with capacitive and enhanced inductive bumps | INTEL CORPORATION |
10910306 | Devices including vias extending through alternating dielectric materials and conductive materials, and related electronic devices | MICRON TECHNOLOGY, INC. |
10910307 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910313 | Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch | SAMSUNG ELECTRONICS CO., LTD. |
10910315 | Fabric with embedded electrical components | APPLE INC. |
10910316 | Electronic device | -- |
10910320 | Shielded MOM capacitor | -- |
10910325 | Integrated circuit packages with conductive element having cavities housing electrically connected embedded components | INTEL CORPORATION |
10910328 | Silicon wafer manufacturing method | SUMCO CORPORATION |
10910333 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910335 | Semiconductor device and a method of manufacturing thereof | -- |
10910342 | Method for transferring and placing a semiconductor device on a substrate | IMEC VZW |
10910350 | Structure of a semiconductor array | -- |
10910351 | Optoelectronic component and method of producing an optoelectronic component | OSRAM OLED GMBH |
10910352 | High density pixelated LED and devices and methods thereof | CREE, INC. |
10910353 | White light source and method of producing a white light source | OSRAM OLED GMBH |
10910354 | Apparatus for direct transfer of semiconductor device die | ROHINNI, LLC |
10910355 | Bezel-free displays | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10910356 | Light-emitting diode display panel with micro lens array | JADE BIRD DISPLAY (SHANGHAI) LIMITED |
10910358 | Integrated assemblies having capacitive units, and having resistive structures coupled with the capacitive units | MICRON TECHNOLOGY, INC. |
10910359 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910360 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10910361 | Semiconductor element and semiconductor device | FUJI ELECTRIC CO., LTD. |
10910362 | High voltage ESD protection device | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10910363 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910364 | 3D semiconductor device | MONOLITAIC 3D INC. |
10910365 | Structure and method for cooling three-dimensional integrated circuits | -- |
10910367 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910368 | Circuit structure | -- |
10910369 | On-chip security circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910370 | Integrated circuit devices including a vertical field-effect transistor (VFET) and a fin field-effect transistor (FinFET) and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10910372 | Fin field effect transistor devices with modified spacer and gate dielectric thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910373 | Semiconductor device having asymmetric fin-shaped pattern | SAMSUNG ELECTRONICS CO., LTD. |
10910374 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910375 | Semiconductor device and method of fabrication thereof | -- |
10910376 | Semiconductor devices including diffusion break regions | SAMSUNG ELECTRONICS CO., LTD. |
10910377 | LDMOS devices, integrated circuits including LDMSO devices, and methods for fabricating the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10910378 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910380 | Method of manufacturing dynamic random access memory | -- |
10910382 | Method for fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910383 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10910385 | Vertical gate-all-around TFET | STMICROELECTRONICS, INC. |
10910387 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10910388 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10910389 | Methods and apparatuses with vertical strings of memory cells and support circuitry | MICRON TECHNOLOGY, INC. |
10910390 | Memory device and forming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10910394 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10910397 | Through array contact structure of three- dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10910399 | Three dimensional memory device and method for fabricating the same | -- |
10910401 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10910402 | Three-dimensional and flash memory and manufacturing method thereof | -- |
10910403 | Memory cells comprising a programmable field effect transistor having a reversibly programmable gate insulator | MICRON TECHNOLOGY, INC. |
10910404 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910405 | Backside fin recess control with multi-HSI option | INTEL CORPORATION |
10910407 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910408 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910410 | Flexible array substrate, flexible display device and method of assembling the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910414 | Photodetector in a silicon carbide integrated circuit | COOLCAD ELECTRONICS, LLC |
10910415 | Three-dimensional photodetector and method of manufacturing the same | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
10910418 | Readout systems and methods based on a coincidence threshold | KISKEYA MICROSYSTEMS LLC |
10910419 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10910420 | Semiconductor switching device separate by device isolation | -- |
10910421 | Molded image sensor chip scale packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910422 | Image sensor package and image sensing module | SAMSUNG ELECTRONICS CO., LTD. |
10910427 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910431 | Pixel with strained silicon layer for improving carrier mobility and blue response in imagers | MICRON TECHNOLOGY, INC. |
10910432 | Use of surface patterning for fabricating a single die direct capture dental X-ray imaging sensor | CYBER MEDICAL IMAGING, INC. |
10910433 | Pixelated LED array with optical elements | LUMILEDS LLC |
10910434 | Magnetoresistive stack with seed region and method of manufacturing the same | EVERSPIN TECHNOLOGIES, INC. |
10910435 | Stackable symmetrical operation memory bit cell structure with bidirectional selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910436 | Asymmetric selectors for memory cells | INTEL CORPORATION |
10910437 | Cross-point memory and methods for fabrication of same | MICRON TECHNOLOGY, INC. |
10910438 | Memory array with graded memory stack resistances | MICRON TECHNOLOGY, INC. |
10910439 | Efficient interconnecting layer for tandem solar cells | -- |
10910440 | Imaging device and imaging apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910441 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10910442 | Display device and electrical device using the same | SAMSUNG DISPLAY CO., LTD. |
10910443 | Organic electroluminescence display device | SAMSUNG DISPLAY CO., LTD. |
10910444 | Display panel | LG DISPLAY CO., LTD. |
10910445 | Display device, method of manufacturing the same, and electronic apparatus | CANON KABUSHIKI KAISHA |
10910446 | Display device for displaying the outline of icons | SAMSUNG DISPLAY CO., LTD. |
10910448 | Organic light-emitting display device and method of fabricating the same | LG DISPLAY CO., LTD. |
10910449 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10910450 | Chip on film package and display device | -- |
10910451 | Method for fabricating flexible display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10910453 | Display device | JAPAN DISPLAY INC. |
10910454 | Display device | TIANMA JAPAN, LTD. |
10910456 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910458 | Display apparatus | TIANMA MICROELECTRONICS CO., LTD. |
10910459 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10910461 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10910462 | Display substrate for avoiding light leakage defect, method for manufacturing the same and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910464 | Electronic device including an IC | JAPAN DISPLAY INC. |
10910465 | 3D printed semiconductor package | TEXAS INSTRUMENTS INCORPORATED |
10910466 | Process for tuning via profile in dielectric material | -- |
10910467 | Capacitor including first electrode, dielectric layer, and second electrode, image sensor, method for manufacturing capacitor, and method for manufacturing image sensor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10910468 | Capacitor structure | -- |
10910469 | Semiconductor device with conducting structure for reducing parasitic capacitance and improving RC delay | -- |
10910470 | Nanosheet transistors with inner airgaps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910471 | Device with large EPI in FinFETs and method of manufacturing | GLOBALFOUNDRIES INC. |
10910472 | Integrated circuit, LDMOS with bottom gate and ballast drift | TEXAS INSTRUMENTS INCORPORATED |
10910473 | Apparatuses with atomically-thin ohmic edge contacts between two-dimensional materials, methods of making same, and devices comprising same | CORNELL UNIVERSITY |
10910474 | Group III nitride semiconductor substrate and method for manufacturing group III nitride semiconductor substrate | FURUKAWA CO., LTD. |
10910475 | Method of manufacturing a silicon wafer | INFINEON TECHNOLOGIES AG |
10910477 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910478 | Metal-oxide-semiconductor field-effect transistor having enhanced high-frequency performance | -- |
10910479 | Gate feature in FinFET device | -- |
10910480 | Transistor with multi-metal gate | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10910481 | Semiconductor device with improved insulated gate | CREE, INC. |
10910482 | Nanosheet with changing SiGe percentage for SiGe lateral recess | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910483 | Fin diode structure and methods thereof | -- |
10910484 | Bipolar transistor semiconductor device | MURATA MANUFACTURING CO., LTD. |
10910486 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10910487 | Power semiconductor device having trench electrodes biased at three different electrical potentials, and method of manufacturing the same | INFINEON TECHNOLOGIES AG |
10910488 | Quantum dot devices with fins and partially wrapped gates | INTEL CORPORATION |
10910489 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10910490 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10910491 | Semiconductor device having reduced capacitance between source and drain pads | -- |
10910492 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10910493 | Semiconductor device and method of manufacturing the same | DB HITEK CO., LTD. |
10910494 | Method and structure for forming vertical transistors with various gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910495 | Thin film transistors with epitaxial source/drain and drain field relief | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910496 | FinFET device with asymmetrical drain/source feature | -- |
10910497 | Thin film transistor having light shielding layer | BOE TECHNOLOGY GROUP CO., LTD. |
10910498 | Array substrate, method for fabricating the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10910499 | Semiconductor device, power diode, and rectifier | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910500 | Load sensing devices, packages, and systems | STMICROELECTRONICS S.R.L. |
10910501 | Stucture and method for SIC based protection device | MONOLITH SEMICONDUCTOR, INC. |
10910502 | Solar cell and method for manufacturing the same | LG ELECTRONICS INC. |
10910503 | Semiconductor detectors with butt-end coupled waveguide and method of forming the same | GLOBALFOUNDRIES INC. |
10910504 | Solid-state imaging element, imaging device, and method for manufacturing solid-state imaging element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910505 | Lighter than air vehicle | BAE SYSTEMS PLC |
10910506 | Solar cell with gradation in the top window layer | SOLAERO TECHNOLOGIES CORP. |
10910507 | Semiconductor package device and method of manufacturing the same | -- |
10910508 | Method of fabricating photosensitive devices with reduced process-temperature budget | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10910509 | Method for improving wafer performance for photovoltaic devices | NEWSOUTH INNOVATIONS PTY LIMITED |
10910510 | Encapsulated flexible electronic device, and corresponding manufacturing method | STMICROELECTRONICS S.R.L. |
10910511 | Manufacturing method of III-V compound crystal and manufacturing method of semiconductor device | OSAKA UNIVERSITY |
10910512 | Nano-scale LED element for horizontally-aligned assembly, method for manufacturing same, and horizontally-aligned assembly comprising same micro-LED | SAMSUNG DISPLAY CO., LTD. |
10910513 | Component having metal carrier layer and reduced overall height | OSRAM OLED GMBH |
10910514 | Molded etch masks | FACEBOOK TECHNOLOGIES, LLC |
10910515 | Method of manufacturing a light-emitting device | NICHIA CORPORATION |
10910516 | Optoelectronic semiconductor body and method for producing an optoelectronic semiconductor body | OSRAM OLED GMBH |
10910517 | Shallow etching of microLEDs for increased light extraction | FACEBOOK TECHNOLOGIES, LLC |
10910518 | Semiconductor device | -- |
10910519 | Semiconductor device having layers including aluminum and semiconductor device package including same | LG INNOTEK CO., LTD. |
10910520 | Optoelectronic device | -- |
10910521 | Semiconductor light emitting device | NIKKISO CO., LTD. |
10910522 | High efficiency LEDs and LED lamps | COOLEDGE LIGHTING INC. |
10910523 | Light emitting device | -- |
10910524 | Light wavelength conversion member and light emitting device | NGK SPARK PLUG CO.. LTD. |
10910525 | Cadmium-free quantum dot nanoparticles | NANOCO TECHNOLOGIES LTD. |
10910526 | Light emitting device | NICHIA CORPORATION |
10910527 | Optoelectronic component | OSRAM OLED GMBH |
10910528 | LED assembly | -- |
10910529 | Wavelength converted light emitting device | KONINKLIJKE PHILIPS N.V. |
10910530 | LED chip mounting method and device | -- |
10910531 | Optoelectronic component and method for producing an optoelectronic component | OSRAM OLED GMBH |
10910532 | Semiconductor device package and method of manufacturing the same | -- |
10910533 | Planar light source device and display device | ENPLAS CORPORATION |
10910534 | Light-emitting device and surface-emitting light source | NICHIA CORPORATION |
10910535 | Method for making light emitting device LED arrays | -- |
10910536 | Light emitting element | NICHIA CORPORATION |
10910537 | Light emitting device, resin-attached lead frame, and methods of manufacturing the same | NICHIA CORPORATION |
10910538 | Optoelectronic semiconductor component and method for producing an optoelectronic semiconductor component | OSRAM OLED GMBH |
10910539 | Light emitting device and manufacturing method thereof | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10910540 | Solid state light emitter die having a heat spreader between a plurality lead frame | SIGNIFY HOLDING B.V. |
10910541 | Thermoelectric element | LG INNOTEK CO., LTD. |
10910542 | Laminated thermoelectric conversion element | MURATA MANUFACTURING CO., LTD. |
10910543 | Thermo-electric device to provide electrical power | -- |
10910544 | Using a magnetic Josephson junction device as a pi inverter | MICROSOFT TECHNOLOGY LICENSING, LLC |
10910545 | Superconductive junction, superconducting apparatus, method of manufacturing superconducting junction and control method of superconducting junction | TEKNOLOGIAN TUTKIMUSKESKUS VTT OY |
10910546 | Surface acoustic wave device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
10910547 | Piezoelectric thin film resonator, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
10910548 | Ultrasonic device, ultrasonic probe, ultrasonic apparatus, and ultrasonic device manufacturing method | SEIKO EPSON CORPORATION |
10910549 | Piezoelectric rotational MEMS resonator | MURATA MANUFACTURING CO., LTD. |
10910550 | Piezoceramic material with reduced lead content | PI CERAMIC GMBH |
10910551 | Piezoelectric material, piezoelectric device including the piezoelectric material, and method of manufacturing the piezoelectric material | SAMSUNG ELECTRONICS CO., LTD. |
10910552 | Magnetic memory device, method for manufacturing the same, and substrate treating apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10910553 | Magnetoresistive random access memory and method of manufacturing the same | -- |
10910554 | Spin-current magnetization rotational element and spin orbit torque type magnetoresistance effect element | TDK CORPORATION |
10910555 | Magnetic memory element incorporating perpendicular enhancement layer | AVALANCHE TECHNOLOGY, INC. |
10910556 | Magnetic and spin logic devices based on Jahn-Teller materials | INTEL CORPORATION |
10910557 | Apparatus and methods of fabricating a magneto-resistive random access memory (MRAM) device | APPLIED MATERIALS, INC. |
10910558 | Memory device | STMICROELECTRONICS S.R.L. |
10910559 | Optoelectronic memristor devices including one or more solid electrolytes with electrically controllable optical properties | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10910560 | RRAM structure | -- |
10910561 | Reduced diffusion in metal electrode for two-terminal memory | CROSSBAR, INC. |
10910562 | Organic electroluminescent device and preparation method, evaporation device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910563 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10910564 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10910565 | Organic electroluminescent element, material for organic electroluminescent elements, and electronic device | IDEMITSU KOSAN CO., LTD. |
10910566 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10910567 | Double spiro-type compound and organic light emitting diode comprising same | LG CHEM, LTD. |
10910568 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910569 | Organo-metal halide perovskites films and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10910570 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10910571 | Organic electronic component and use of a fluorinated sulfonimide metal salt | OSRAM OLED GMBH |
10910572 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10910573 | Cell-based electromechanical biocomputing | THE UNIVERSITY OF NOTRE DAME DU LAC |
10910574 | Display panel, terminal, and method for display control | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10910575 | Electroluminescent display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10910576 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910577 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10910578 | Polycyclic compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
10910579 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910580 | Organic electroluminescent device and display including the same | SAMSUNG DISPLAY CO., LTD. |
10910581 | Organic light-emitting diode comprising electron transport layers with different matrix compounds | NOVALED GMBH |
10910582 | Light emitting display device and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10910583 | Organic light-emitting diode and organic light-emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
10910584 | Light emitting device having insulation layer of varying thickness and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10910585 | OLED lighting apparatus having a double layered electrode | LG DISPLAY CO., LTD. |
10910586 | Lighting apparatus using organic light emitting diode | LG DISPLAY CO., LTD. |
10910587 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10910588 | Display module and display device comprising the same | SAMSUNG DISPLAY CO., LTD. |
10910589 | Flexible display apparatus having polarization structure extending up to bending part | LG DISPLAY CO., LTD. |
10910590 | Hermetically sealed isolated OLED pixels | UNIVERSAL DISPLAY CORPORATION |
10910591 | Organic EL display device | JAPAN DISPLAY INC. |
10910592 | Flexible electroluminescent display device | LG DISPLAY CO., LTD. |
10910593 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10910594 | Encapsulation film | LG CHEM, LTD. |
10910595 | Flexible display panel and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10910596 | Method of manufacturing a display device by laser cutting an area of a display panel | SAMSUNG DISPLAY CO., LTD. |
10910597 | Method for manufacturing light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910598 | Lighting apparatus using organic light emitting diode and manufacturing method thereof | LG DISPLAY CO., LTD. |
10910599 | Luminescent panel, luminescent device, and electronic apparatus | JOLED INC. |
10910600 | Electroluminescent device having light transmitting region of non-through-hole structure | SAMSUNG DISPLAY CO., LTD. |
10910601 | Display device and electronic device having same | SAMSUNG ELECTRONICS CO., LTD. |
10910602 | Display panel with beam diffusion layer and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10910604 | Organic light-emitting display panel, method for adjusting color temperature thereof, and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10910605 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910766 | Connector system | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10910794 | Light-emitting device comprising photonic cavity | SAMSUNG ELECTRONICS CO., LTD. |
10910843 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10910850 | Energy storage apparatus | NOVA LUMOS LTD. |
10910946 | Self-tuning zero current detection circuit | INTEL CORPORATION |
10910961 | System using a piezoelectric generator to produce electrical power | PYTHEAS TECHNOLOGY |
10910989 | Methods for forming solar panels | THE BOEING COMPANY |
10910990 | Solar cell module | LG ELECTRONICS INC. |
10910991 | Multifunctional solar system for generating electricity, useful heat, and ventilation in buildings | -- |
10910994 | Method for detecting faults in a photovoltaic module | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10911020 | Method of providing protective cavity and integrated passive components in wafer level chip scale package using a carrier wafer | SKYWORKS SOLUTIONS, INC. |
10911021 | Transversely-excited film bulk acoustic resonator with lateral etch stop | RESONANT INC. |
10911023 | Transversely-excited film bulk acoustic resonator with etch-stop layer | RESONANT INC. |
10912172 | Lighting systems for providing tunable light with high color rendering | ECOSENSE LIGHTING INC. |
10912197 | Display device | SAMSUNG DISPLAY CO., LTD. |
10912205 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10912474 | Microelectronic sensor for use in hypersensitive microphones | EPITRONIC HOLDINGS PTE LTD. |
10912768 | Compounds and methods for treating influenza | ROMARK LABORATORIES L.C. |
10913045 | Porous microsphere and method for preparing the same | -- |
10913072 | Partial dismantling device of photovoltaic module | KOREA INSTITUTE OF ENERGY RESEARCH |
10913093 | Micro-electro-mechanical system piezoelectric transducer and method for manufacturing the same | MEMSEN ELECTRONICS INC. |
10913369 | Charging energy recapture assembly and method | FORD GLOBAL TECHNOLOGIES, LLC |
10913655 | Manufacturing of integrated circuit resonator | SITIME CORPORATION |
10913680 | Lead-free glass composition, glass composite material, glass paste, sealing structure, electrical/electronic component and coated component | HITACHI, LTD. |
10913754 | Lanthanum compound and methods of forming thin film and integrated circuit device using the lanthanum compound | SAMSUNG ELECTRONICS CO., LTD. |
10913758 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic compound including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10913874 | Encapsulating composition | LG CHEM, LTD. |
10914432 | Lighting apparatus | SAVANT TECHNOLOGIES LLC |
10914436 | Refractive decorative lighting string | -- |
10914445 | Vehicle lamp using semiconductor light emitting device | LG ELECTRONICS INC. |
10914539 | Two piece aluminum heat sink | OSRAM SYLVANIA INC. |
10914627 | Configurable laser monitor photodiode in optical modulation amplitude controller | SEMTECH CORPORATION |
10914636 | Thermopile self-test and/or self-calibration | AMS SENSORS UK LIMITED |
10914638 | Pyroelectric sensor | FUJIFILM CORPORATION |
10914642 | Device for temperature detection | BOE TECHNOLOGY GROUP CO., LTD. |
10914645 | System and method for analyzing athletic activity | NIKE, INC. |
10914703 | Computer implemented method for determining intrinsic parameter in a stacked nanowires MOSFET | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10914736 | Nanovesicle comprising heterodimeric G-protein coupled receptor, method for preparing nanovesicle, field effect transistor-based taste sensor comprising nanovesicle, and method for manufacturing taste sensor | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10914765 | Multi-die integrated current sensor | ALLEGRO MICROSYSTEMS, LLC |
10914796 | Integrated fluxgate device with three-dimensional sensing | TEXAS INSTRUMENTS INCORPORATED |
10914821 | Calibration and alignment of coherent lidar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914822 | Dual-laser chip-scale lidar for simultaneous range-doppler sensing | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914881 | Polarizing plate with optical compensation layer and organic EL panel using same | NITTO DENKO CORPORATION |
10914892 | Germanium photodetector coupled to a waveguide | CISCO TECHNOLOGY, INC. |
10914992 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10914995 | Liquid crystal display panel | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10914996 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
10915023 | Nitrogen heterocycle-containing monolayers on metal oxides for binding biopolymers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10915029 | Particle irradiation apparatus, beam modifier device, and semiconductor device including a junction termination extension zone | INFINEON TECHNOLOGIES AG |
10915139 | Foldable display | LG DISPLAY CO., LTD. |
10915210 | Touch display panel and touch display device | LG DISPLAY CO., LTD. |
10915726 | Display device and biometric detection method thereof | -- |
10915832 | Constructing and programming quantum hardware for robust quantum annealing processes | GOOGLE LLC |
10916185 | Array substrate, display panel, display device and array-substrate manufacturing method | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10916186 | Display apparatus, electroluminescent display panel and method of acquiring and displaying image by display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10916198 | Electronic display with hybrid in-pixel and external compensation | APPLE INC. |
10916265 | Multi-layer actuator electrode configuration for resonance improvement | MAGNECOMP CORPORATION |
10916281 | Magnetic memory apparatus | KABUSHIKI KAISHA TOSHIBA |
10916282 | Control of switching trajectory in spin orbit torque devices by micromagnetic configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916284 | Metallic magnetic memory devices for cryogenic operation and methods of operating the same | SANDISK TECHNOLOGIES LLC |
10916286 | Assisted write method for MRAM testing and field applications | -- |
10916295 | Memory arrays with vertical thin film transistors coupled between digit lines | MICRON TECHNOLOGY, INC. |
10916361 | Oxide superconductor and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10916364 | Tunable inductor arrangement, transceiver, method and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10916376 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10916381 | Modulating electron transfer dynamics at hybrid interfaces via self-assembled multilayers | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION. INC. |
10916382 | Photoelectric conversion element and photoelectric conversion element module | SHARP KABUSHIKI KAISHA |
10916419 | Insulator, capacitor with the same and fabrication method thereof, and method for fabricating semiconductor device | KEY FOUNDRY CO., LTD. |
10916422 | Buffer layers having composite structures | XIAMEN CHANGELIGHT CO., LTD. |
10916430 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916431 | Robust gate cap for protecting a gate from downstream metallization etch operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916432 | Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916433 | Methods of forming metal silicide layers and metal silicide layers formed therefrom | APPLIED MATERIALS, INC. |
10916438 | Method of multiple gate oxide forming with hard mask | ALLEGRO MICROSYSTEMS, LLC |
10916440 | Process and apparatus for processing a nitride structure without silica deposition | TOKYO ELECTRON LIMITED |
10916445 | Method for preparing a p-type semiconductor layer, enhanced device and method for manufacturing the same | ENKRIS SEMICONDUCTOR, INC. |
10916447 | Semiconductor device and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10916455 | Flattening method and flattening apparatus | EBARA CORPORATION |
10916460 | Wafer producing apparatus | DISCO CORPORATION |
10916461 | Method of laser scribing of semiconductor workpiece using divided laser beams | EVANA TECHNOLOGIES, UAB |
10916465 | Inorganic light emitting diode (ILED) assembly via direct bonding | FACEBOOK TECHNOLOGIES, LLC |
10916468 | Semiconductor device with buried local interconnects | ELPIS TECHNOLOGIES INC. |
10916469 | Systems and methods for a semiconductor structure having multiple semiconductor-device layers | -- |
10916470 | Modified dielectric fill between the contacts of field-effect transistors | GLOBALFOUNDRIES INC. |
10916475 | Semiconductor device and a method for fabricating the same | -- |
10916476 | Semiconductor devices with various line widths and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10916477 | Fin field-effect transistor devices and methods of forming the same | -- |
10916478 | Methods of performing fin cut etch processes for FinFET semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
10916479 | Fabrication method for fin-based semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10916480 | Magnetic wall utilization type analog memory device, magnetic wall utilization type analog memory, nonvolatile logic circuit, and magnetic neuro device | TDK CORPORATION |
10916491 | Semiconductor module | FUJI ELECTRIC CO., LTD. |
10916502 | Semiconductor device and manufacturing method thereof | -- |
10916504 | Three-dimensional memory device including electrically conductive layers with molybdenum-containing liners | SANDISK TECHNOLOGIES LLC |
10916512 | Capacitor metal guard ring for moisture ingression prevention | SKYWORKS SOLUTIONS, INC. |
10916522 | Semiconductor device and method for manufacturing same | NICHIA CORPORATION |
10916523 | Microdevice transfer setup and integration of micro-devices into system substrate | VUEREAL INC. |
10916528 | Collapsible lighting device having circuit wire and LED module and method for manufacturing the same | HYUNDAI FOMEX CO., LTD. |
10916530 | Electronic device | -- |
10916531 | Semiconductor module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10916532 | Micro LED display panel and method for making same | -- |
10916534 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916537 | Tight integrated vertical transistor dual diode structure for electrostatic discharge circuit protector | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916540 | Device including PCM RF switch integrated with group III-V semiconductors | NEWPORT FAB, LLC |
10916541 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10916542 | Recessed STI as the gate dielectric of HV device | -- |
10916543 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916544 | Gate-all-around quantum well complementary inverter and method of making the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD |
10916546 | Enhanced channel strain to reduce contact resistance in NMOS FET devices | -- |
10916547 | Floating body memory cell having gates favoring different conductivity type regions | INTEL CORPORATION |
10916548 | Memory arrays with vertical access transistors | MICRON TECHNOLOGY, INC. |
10916550 | Memory devices with gate all around transistors | -- |
10916555 | Structure of memory cell and method for fabricating the same | -- |
10916556 | Three-dimensional memory device using a buried source line with a thin semiconductor oxide tunneling layer | SANDISK TECHNOLOGIES LLC |
10916557 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10916561 | Method of fabricating semiconductor device | TOKYO ELECTRON LIMITED |
10916562 | Non-volatile memory device | TOSHIBA MEMORY CORPORATION |
10916565 | LTPS substrate and fabricating method thereof, thin film transistor thereof, array substrate thereof and display device thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916566 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916567 | Light-emitting device and electronic device using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916569 | Thin-film transistor and method of forming an electrode of a thin-film transistor | H.C. STARCK INC. |
10916571 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916573 | Semiconductor device and driving method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916578 | Semiconductor apparatus and camera | CANON KABUSHIKI KAISHA |
10916579 | Optoelectronic device with light-emitting diodes | ALEDIA |
10916580 | Optoelectronic device with light-emitting diodes | ALEDIA |
10916581 | Multilayered magnetic free layer structure containing an ordered magnetic alloy first magnetic free layer for spin-transfer torque (STT) MRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916582 | Vertically-strained silicon device for use with a perpendicular magnetic tunnel junction (PMTJ) | SPIN MEMORY, INC. |
10916583 | Monolithic integrated circuits with multiple types of embedded non-volatile memory devices | INTEL CORPORATION |
10916584 | Semiconductor device including a data storage pattern and a method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10916585 | Stacked phase-change material (PCM) radio frequency (RF) switches with improved RF power handling | NEWPORT FAB, LLC |
10916586 | Three dimensional memory array with select device | MICRON TECHNOLOGY, INC. |
10916588 | Display panel, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10916589 | Electroluminescent display device | LG DISPLAY CO., LTD. |
10916590 | Light emitting element and display device | SONY CORPORATION |
10916592 | Organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10916593 | Display unit | SONY CORPORATION |
10916594 | Display device including a plurality of color filters and a plurality of light emitting layers | JAPAN DISPLAY INC. |
10916595 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916596 | Display cell, display device, and method of manufacturing a display cell | SAMSUNG DISPLAY CO., LTD. |
10916597 | Display device | JAPAN DISPLAY INC. |
10916598 | OLED array substrate, method for fabricating the same, OLED pixel circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916600 | Flexible touch control display screen and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916601 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916602 | Base plate, method for manufacturing the same and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10916603 | Display device and fabrication method thereof | SAMSUNG DISPLAY CO., LTD. |
10916604 | Organic light emitting display device having a multi-directional dam structure | LG DISPLAY CO., LTD. |
10916605 | Display substrate, method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916606 | OLED light emitting device, preparation method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916607 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10916608 | Flexible organic light emitting display device having a block structure | SAMSUNG DISPLAY CO., LTD. |
10916609 | Array substrate and method for manufacturing array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916610 | Backplane substrate, manufacturing method for the same, and organic light-emitting display device using the same | LG DISPLAY CO., LTD. |
10916613 | Array substrate and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916614 | Array substrate, display panel, light-detecting method therefor and method for controlling the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916615 | Display device, display panel and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916616 | Display device having flexible non-display area portion | SAMSUNG DISPLAY CO., LTD. |
10916617 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916618 | Array substrate and method for repairing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10916619 | Display apparatus including conductive pattern in substrate and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10916620 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10916621 | Capacitor including first electrode, second electrode, and dielectric layer, image sensor, and method for producing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10916622 | High-voltage capacitor, system including the capacitor and method for manufacturing the capacitor | STMICROELECTRONICS S.R.L. |
10916623 | Semiconductor device including capacitor and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10916624 | Semiconductor integrated circuit and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
10916626 | High voltage power device with hybrid Schottky trenches and method of fabricating the same | HONG KONG APPLIED SCIENCE AND TECHNOLOGY RESEARCH INSTITUTE CO., LTD. |
10916627 | Nanosheet transistor with fully isolated source and drain regions and spacer pinch off | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916628 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10916629 | Nanosheet-CMOS EPROM device with epitaxial oxide charge storage region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916630 | Nanosheet devices with improved electrostatic integrity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916631 | Semiconductor device including an n-type carrier stored layer, power conversion device, and method of manufacturing the semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10916632 | Manufacture of improved power devices | GENESIC SEMICONDUCTOR INC. |
10916633 | Silicon germanium FinFET with low gate induced drain leakage current | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916635 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10916636 | Method of forming gate | -- |
10916637 | Method of forming gate spacer for nanowire FET device | TOKYO ELECTRON LIMITED |
10916638 | Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916639 | Semiconductor device structure and method for preparing the same | -- |
10916640 | Approach to high-k dielectric feature uniformity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916641 | Thin film transistor, method of manufacturing thin film transistor, and manufacturing system | -- |
10916642 | Heterojunction bipolar transistor with emitter base junction oxide interface | GLOBALFOUNDRIES U.S. INC. |
10916643 | Semiconductor device, method for controlling semiconductor device, and control circuit for semiconductor device | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10916644 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10916645 | Compound semiconductor device including protective layer and ohmic electrode | FUJITSU LIMITED |
10916646 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10916647 | FET transistor on a III-V material structure with substrate transfer | HRL LABORATORIES, LLC |
10916648 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
10916649 | Vertical field effect transistor with reduced external resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916650 | Uniform bottom spacer for VFET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916651 | Body contact in fin field effect transistor design | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916652 | Asymmetric transistors and related devices and methods | INTEL CORPORATION |
10916653 | Transient-insensitive level shifter | TEXAS INSTRUMENTS INCORPORATED |
10916654 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10916655 | Ferroelectric semiconductor device including a ferroelectric and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10916656 | MOS devices having epitaxy regions with reduced facets | -- |
10916657 | Tensile strain in NFET channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916658 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916659 | Asymmetric threshold voltage FinFET device by partial channel doping variation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916660 | Vertical transistor with a body contact for back-biasing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916661 | Thin film transistor substrate provided with protective film and method for producing same | MERCK PATENT GMBH |
10916662 | Oxide thin film transistor, array substrate, and preparation methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916663 | Oxide semiconductor film and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916664 | Non-volatile memory and manufacturing method for the same | NEXCHIP SEMICONDUCTOR CO., LTD. |
10916665 | Magnetic field sensor integrated circuit with an integrated coil | ALLEGRO MICROSYSTEMS, LLC |
10916666 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10916667 | Solar cell and production method therefor, and solar cell module | KANEKA CORPORATION |
10916669 | Photonic lock based high bandwidth photodetector | -- |
10916670 | Semiconductor device, photoelectronic device, and method for manufacturing transition-metal dichalcogenide thin film | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10916671 | Solar cell module | LG ELECTRONICS INC. |
10916672 | Method of making a photovoltaic cell, the photovoltaic cell made therewith, and an assembly including the same | LUCINTECH INC. |
10916673 | Solder strip applied to shingled solar cell module | LONGI SOLAR TECHNOLOGY (TAIZHOU) CO., LTD. |
10916674 | Bandgap-shifted semiconductor surface and method for making same, and apparatus for using same | NANOPTEK CORPORATION |
10916675 | High efficiency multijunction photovoltaic cells | ARRAY PHOTONICS, INC. |
10916676 | Optoelectronic devices including heterojunction and intermediate layer | ALTA DEVICES, INC. |
10916677 | Optocoupler | AZUR SPACE SOLAR POWER GMBH |
10916678 | Method of substrate lift-off for high-efficiency group III-V solar cell for reuse | -- |
10916679 | Optical device wafer processing method | DISCO CORPORATION |
10916680 | Heterostructure and light-emitting device employing the same | BOLB INC. |
10916681 | Semiconductor stacking structure, and method and apparatus for separating nitride semiconductor layer using same | SAMSUNG ELECTRONICS CO., LTD. |
10916682 | Micro light-emitting device and display apparatus | -- |
10916683 | Contact etching and metallization for improved LED device performance and reliability | LUMILEDS LLC |
10916684 | Light emitting device including RGB light emitting diodes and phosphor | SEOUL SEMICONDUCTOR CO., LTD. |
10916685 | Package structure and manufacturing method thereof | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
10916686 | Optoelectronic component, optoelectronic arrangement, method of producing an optical element, and method of producing an optoelectronic component | OSRAM OLED GMBH |
10916688 | Eutectic electrode structure of flip-chip LED chip and flip-chip LED chip | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916689 | LED package using electroform stencil printing | LUMILEDS LLC |
10916690 | Electrical leads for trenched qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916691 | Mother piezoelectric element, laminated piezoelectric element, and manufacturing method for laminated piezoelectric element | MURATA MANUFACTURING CO., LTD. |
10916692 | Piezoelectric detection circuit, method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916693 | Piezoelectric element and piezoelectric element-based device | SEIKO EPSON CORPORATION |
10916694 | Magnetoresistive random access memory (MRAM) device | -- |
10916695 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10916696 | Method for manufacturing magnetic memory element with post pillar formation annealing | SPIN MEMORY, INC. |
10916697 | Memory device and method of manufacturing the same | -- |
10916698 | Semiconductor storage device including hexagonal insulating layer | TOSHIBA MEMORY CORPORATION |
10916699 | Resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916700 | Memory device with memory cell pillar having resistive memory layer with wedge memory portion and body memory portion, and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10916701 | Ultra-fine pattern deposition apparatus, ultra-fine pattern deposition method using the same, and light-emitting display device manufactured by ultra-fine pattern deposition method | LG DISPLAY CO., LTD. |
10916703 | Masks, method to inspect and adjust mask position, and method to pattern pixels of organic light-emitting display device utilizing the masks | SAMSUNG DISPLAY CO., LTD. |
10916704 | Vapor jet printing | UNIVERSAL DISPLAY CORPORATION |
10916705 | Formulations with a low particle content | MERCK PATENT GMBH |
10916706 | Composition, film production method, and light emitting device | SUMITOMO CHEMICAL COMPANY, LIMITED |
10916707 | Organic compound, light-emitting element, light-emitting device, electronic device, display device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916708 | Condensed cyclic compound and organic light emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10916709 | Organic compound and organic electroluminescent element comprising same | DOOSAN SOLUS CO., LTD. |
10916710 | Heterocyclic compound and organic light-emitting element comprising same | LG CHEM, LTD. |
10916711 | Spiro compound having azafluorene ring structure, light-emitting material, and organic electroluminescent device | HODOGAYA CHEMICAL CO., LTD. |
10916712 | Perovskite and other solar cell materials | HEE SOLAR, L.L.C. |
10916713 | Wire-shaped perovskite structures and methods for manufacture thereof | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10916714 | Organometallic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10916715 | Organometallic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10916716 | Metal complexes comprising diazabenzmidazolocarbene ligands and the use thereof in OLEDS | UDC IRELAND LIMITED |
10916717 | Flexible organic light emitting diode display assembly | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916718 | Flexible array substrate, method for manufacturing same, and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916719 | Flexible display | LG DISPLAY CO., LTD. |
10916720 | Organic photoelectric conversion device and production method thereof | SUMITOMO CHEMICAL COMPANY, LIMITED |
10916721 | Non-blocked phosphorescent OLEDs | UNIVERSAL DISPLAY CORPORATION |
10916722 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916723 | Organic light emitting display device including discharging hole | SAMSUNG DISPLAY CO., LTD. |
10916724 | Organic light emitting device | LG CHEM, LTD. |
10916725 | Organic light-emitting diode display panel, method for fabricating the same and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916726 | Crack extension blocking structure, display panel, display apparatus, and production method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916727 | OLED substrate and packaging method and display apparatus thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10916728 | Display device | SAMSUNG DISPLAY CO., LTD. |
10916729 | Light-emitting display device including barrier film with organic material to suppress moisture | JOLED INC. |
10916730 | Manufacturing method of the display device | JAPAN DISPLAY INC. |
10916731 | Organic light emitting diode display device | -- |
10916732 | Display panel | -- |
10916733 | Display device and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916734 | Method of manufacturing curved display, curved display and display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916735 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10916736 | Display panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10916737 | Display panel, mask, method for manufacturing display panel, and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10916738 | Display panel and manufacturing method of the display panel, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916782 | Solid-liquid electrolyte for use in a battery | -- |
10916804 | Method for fabricating an electrochemical device and electrochemical device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10916829 | Semiconductor package structure having antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10916912 | Optical module | MITSUBISHI ELECTRIC CORPORATION |
10916938 | ESD-protective surface-mount composite component | MURATA MANUFACTURING CO., LTD. |
10917025 | Power generating element converting vibration energy into electric energy | WACOH CORPORATION |
10917031 | Modular tile, a functionalized batten, a pipe and a method for producing a pipe | LOGIC SWISS AG |
10917034 | Deployable solar panels for high-altitude balloons | LOON LLC |
10917037 | Solar tracker assembly | SAINT-AUGUSTIN CANADA ELECTRIC INC. |
10917038 | Pneumatic actuator system and method | SUNFOLDING, INC. |
10917043 | Non-tracking solar energy collector | B. G. NEGEV TECHNOLOGIES AND APPLICATIONS LTD., AT BEN-GURION UNIVERSITY |
10917052 | Dual device semiconductor structures with shared drain | CIRRUS LOGIC, INC. |
10917070 | Bandpass filter with frequency separation between shunt and series resonators set by dielectric layer thickness | RESONANT INC. |
10917080 | Gate drive circuit | ROHM CO., LTD. |
10917096 | Low-power biasing networks for superconducting integrated circuits | SEEQC INC. |
10917395 | Vehicle wireless internet security | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10917597 | Imaging device, module, and electronic device comprising a pixel including transistors using back gate electrical connections | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10917953 | Electrically parallel fused LEDs | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10917965 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10917978 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10917990 | Display device | SAMSUNG DISPLAY CO., LTD. |
10918297 | Microelectronic sensors for non-invasive monitoring of physiological parameters | EPITRONIC HOLDINGS PTE LTD. |
10918298 | High-speed, high-resolution electrophysiology in-vivo using conformal electronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
10918747 | Disinfecting lighting device | VITAL VIO, INC. |
10919007 | Organic material purification composition and method of purifying organic materials using the same | SAMSUNG DISPLAY CO., LTD. |
10919074 | Method for transferring nanowires from a fluid to a substrate surface | ALIGNEDBIO AB |
10919280 | Two-dimensional material printer and transfer system and method for atomically layered materials | THE GEORGE WASHINGTON UNIVERSITY |
10919396 | Solar panel and car roof | LG ELECTRONICS INC. |
10919762 | Method for preparing amorphous GeH under high pressure | JILIN UNIVERSITY |
10919770 | Homogeneous anaerobically stable quantum dot concentrates | NANOSYS, INC. |
10919880 | Organic molecules, in particular for use in optoelectronic devices | CYNORA GMBH |
10920098 | Processing of polymer based inks and pastes | HENKEL AG & CO. KGAA |
10920134 | Method for preparing multilayer of nanocrystals, and organic-inorganic hybrid electroluminescence device comprising multilayer of nanocrystals prepared by the method | SAMSUNG ELECTRONICS CO., LTD. |
10920137 | Luminescent crystals and manufacturing thereof | AVANTAMA AG |
10920138 | Red phosphor and light emitting device | DENKA COMPANY LIMITED |
10920140 | Method for producing fluorescent material | NICHIA CORPORATION |
10920322 | Method for directly depositing palladium onto a non-activated surface of a gallium nitride semiconductor | ATOTECH DEUTSCHLAND GMBH |
10920326 | Etchant composition for etching titanium layer or titanium-containing layer, and etching method | KANTO KAGAKU KABUSHIKI KAISHA |
10920482 | Glass building material | KANEKA CORPORATION |
10920643 | Fluid flow network for a vehicle including flow members that respond to a flow imbalance | JAGUAR LAND ROVER LIMITED |
10920946 | In-mold electronics package | -- |
10920960 | Light-emitting device and integrated light-emitting device | NICHIA CORPORATION |
10921028 | Solar structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10921169 | Flow sensor, method for manufacturing flow sensor and flow sensor module | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10921282 | Field-effect apparatus, associated apparatus and methods | NOKIA TECHNOLOGIES OY |
10921314 | Method of making an integrated circuit for a single-molecule nucleic-acid assay platform | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
10921349 | Piezoelectric package-integrated current sensing devices | INTEL CORPORATION |
10921364 | Structure and testing device for measuring the bonding strength of the light-emitting panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921388 | Magnetic sensor with three detection units | TDK CORPORATION |
10921389 | Planar hall effect sensors | BAR-ILAN UNIVERSITY |
10921391 | Magnetic field sensor with spacer | ALLEGRO MICROSYSTEMS, LLC |
10921516 | Photodiode device monolithically integrating waveguide element with photodiode element type of optical waveguide | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10921548 | Focusing mechanism and imaging module | FUJIFILM CORPORATION |
10921624 | Display panel and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10921642 | Mini light emitting diode (LED) backlight with adhesive filled seams and grooves and the method of manufacturing same | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10921664 | Substrate including semiconductors arranged in a matrix and a display device | JAPAN DISPLAY INC. |
10921857 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10922521 | Display device, method for operating display device and electronic device | BOE TECHNOLOGY GROUP CO., LTD. |
10922619 | Constructing and programming quantum hardware for quantum annealing processes | GOOGLE LLC |
10923002 | Flexible display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923024 | LED display module and method of making thereof | SCT LTD. |
10923030 | EL display apparatus | JOLED INC. |
10923162 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10923168 | Method of making magnetic tunnel junction memory device with stress inducing layers | SK HYNIX INC. |
10923169 | Magnetic recording array and magnetic recording device | TDK CORPORATION |
10923183 | Memory device comprising electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
10923190 | Memory device | TOSHIBA MEMORY CORPORATION |
10923205 | Memory devices comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls and methods of forming a memory device comprising magnetic tracks individually comprising a plurality of magnetic domains having domain walls | MICRON TECHNOLOGY, INC. |
10923248 | Method for producing a metal film | VDM METALS INTERNATIONAL GMBH |
10923286 | Method and apparatus for compensating for high thermal expansion coefficient mismatch of a stacked device | NXP USA, INC. |
10923329 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
10923343 | High-k dielectric layer, fabricating method thereof and multi-function equipment implementing such fabricating method | -- |
10923344 | Methods for forming a semiconductor structure and related semiconductor structures | ASM IP HOLDING B.V. |
10923345 | Epitaxial metal oxide as buffer for epitaxial III-V layers | IQE PLC |
10923346 | Group III nitride semiconductor and method for producing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923348 | Gate-all-around field effect transistor using template-assisted-slective-epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923349 | Semiconductor element and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10923350 | Manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923353 | Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same | -- |
10923355 | Methods and systems for dopant activation using microwave radiation | -- |
10923356 | Gas phase etch with controllable etch selectivity of silicon-germanium alloys | TOKYO ELECTRON LIMITED |
10923362 | Manufacturing process of element chip | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923378 | Micro-component batch transfer systems, methods, and devices | SEAGATE TECHNOLOGY LLC |
10923387 | Array of gated devices and methods of forming an array of gated devices | MICRON TECHNOLOGY, INC. |
10923389 | Air-gap spacers for field-effect transistors | GLOBALFOUNDRIES U.S. INC. |
10923393 | Contacts and interconnect structures in field-effect transistors | -- |
10923395 | Semiconductor device and manufacturing method of semiconductor device | DENSO CORPORATION |
10923399 | Hybrid integrated semiconductor tri-gate and split dual-gate FinFET devices and method for manufacturing | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10923401 | Gate cut critical dimension shrink and active gate defect healing using selective deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923413 | Hard IP blocks with physically bidirectional passageways | XCELSIS CORPORATION |
10923417 | Integrated fan-out package with 3D magnetic core inductor | -- |
10923426 | Standard-cell layout structure with horn power and smart metal cut | -- |
10923432 | Method of manufacturing a semiconductor device with epitaxial layers and an alignment mark | INFINEON TECHNOLOGIES AUSTRIA AG |
10923443 | Electronic device package including a capacitor | INTEL CORPORATION |
10923445 | Monolithic decoupling capacitor between solder bumps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923458 | Vertical light emitting diode with magnetic back contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923460 | Device and methods for the transfer of chips from a source substrate onto a destination substrate | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923461 | Light-emitting module and tandem light-emitting device | BRIGHTEK OPTOELECTRONIC (SHENZHEN) CO., LTD. |
10923466 | Vertical transient voltage suppression device | -- |
10923467 | Series resistor over drain region in high voltage device | -- |
10923469 | Vertical resistor adjacent inactive gate over trench isolation | GLOBALFOUNDRIES U.S. INC. |
10923470 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10923471 | Minimizing shorting between FinFET epitaxial regions | TESSERA, INC. |
10923472 | Semiconductor device including a multigate transistor formed with fin structure | SAMSUNG ELECTRONICS CO., LTD. |
10923473 | High voltage logic circuit | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10923474 | Semiconductor structure having gate-all-around devices | -- |
10923475 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923476 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10923477 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923478 | Reduction of roughness on a sidewall of an opening | MICRON TECHNOLOGY, INC. |
10923480 | Capacitance reduction in a semiconductor device | MICRON TECHNOLOGY, INC. |
10923482 | IC product with a novel bit cell design and a memory array comprising such bit cells | GLOBALFOUNDRIES U.S. INC. |
10923486 | Memory device | TOSHIBA MEMORY CORPORATION |
10923488 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10923489 | Three-dimensional semiconductor devices including vertical structures | SAMSUNG ELECTRONICS CO., LTD. |
10923492 | Elevationally-extending string of memory cells and methods of forming an elevationally-extending string of memory cells | MICRON TECHNOLOGY, INC. |
10923493 | Microelectronic devices, electronic systems, and related methods | MICRON TECHNOLOGY, INC. |
10923494 | Electronic devices comprising a source below memory cells and related systems | MICRON TECHNOLOGY, INC. |
10923499 | Semiconductor device and manufacturing method of the same | SK HYNIX INC. |
10923501 | Ferroelectric memory device and method of manufacturing the same | SK HYNIX INC. |
10923503 | Semiconductor-on-insulator (SOI) substrate comprising a trap-rich layer with small grain sizes | -- |
10923506 | Electroluminescence display device | LG DISPLAY CO., LTD. |
10923508 | Array substrate and manufacturing method therefor, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923512 | Array substrate, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923513 | Array substrate and method for manufacturing the same, display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923514 | Etch chemistries for metallization in electronic devices | H.C. STARCK INC. |
10923517 | Solid-state imaging apparatus having output circuit unit for outputting a pixel signal | SONY CORPORATION |
10923520 | Event-based vision sensor manufactured with 3D-IC technology | SONY ADVANCED VISUAL SENSING AG |
10923524 | Support for forming an optoelectronic component, optoelectronic component and method for manufacturing such a support and such a component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923525 | CMOS cap for MEMS devices | MERIDIAN INNOVATION PTE LTD |
10923528 | Optoelectronic device comprising pixels with improved contrast and brightness | ALEDIA |
10923530 | Optoelectronic device with light-emitting diodes | ALEDIA |
10923531 | Nonvolatile memory device having an oxidized magnetic material film around a magnetic material layer and method of manufacturing the same | SONY CORPORATION |
10923532 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
10923533 | Semiconductor device having a volatile element and a plurality of non-volatile elements | SONY CORPORATION |
10923534 | Rectifying element and switching element having the rectifying element | NEC CORPORATION |
10923535 | Solid state tissue equivalent detector with gate electrodes | -- |
10923536 | Organic el display device and method of manufacturing organic el display device | JAPAN DISPLAY INC. |
10923538 | Display device | SAMSUNG DISPLAY CO., LTD. |
10923539 | Electronic display apparatus including a solar cell unit | SAMSUNG DISPLAY CO., LTD. |
10923541 | Display device | SAMSUNG DISPLAY CO., LTD. |
10923543 | Display panel and method of forming the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923544 | Display device having first light emitting element in a non-transmission portion and a second light emitting element in a transmission portion | LG DISPLAY CO., LTD. |
10923546 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10923547 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10923548 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923549 | Display apparatus including a shielding conductive layer | SAMSUNG DISPLAY CO., LTD. |
10923550 | Display device with organic layer with different thickness | JAPAN DISPLAY INC. |
10923551 | Display panel with stretching units and display device having the same | BOE TECHNOLOGY GROUP CO., LTD. |
10923552 | Display panel having a plurality of lines bypassing around area edges | SAMSUNG DISPLAY CO., LTD. |
10923553 | Display device | SHARP KABUSHIKI KAISHA |
10923557 | Active-matrix light-emitting diode (AMOLED) free of TFT within an active area | AROLLTECH CO., LTD. |
10923558 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
10923559 | Display panel of portable electronic device and design method therefor | GALAXYCORE SHANGHAI LIMITED CORPORATION |
10923560 | Capacitor including electrode and dielectric layer each containing silicon, and method for manufacturing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923561 | Semiconductor device | DENSO CORPORATION |
10923562 | Semiconductor device, and method for manufacturing semicondcutor device | ROHM CO., LTD. |
10923563 | Power device | HANGZHOU SILAN MICROELECTRONICS CO., LTD. |
10923564 | Super-junction structure and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10923565 | Self-aligned contact air gap formation | -- |
10923566 | Semiconductor structures and methods of forming the same | -- |
10923567 | Graphene FET with graphitic interface layer at contacts | TEXAS INSTRUMENTS INCORPORATED |
10923568 | Semiconductor device, inverter circuit, and vehicle | KABUSHIKI KAISHA TOSHIBA |
10923569 | P-type oxide, p-type oxide-producing composition, method for producing p-type oxide, semiconductor device, display device, image display apparatus, and system | RICOH COMPANY, LTD. |
10923570 | Manufacturing method for controlling carrier lifetimes in semiconductor substrates that includes injection and annealing | FUJI ELECTRIC CO., LTD. |
10923571 | Semiconductor device suppressing electric field concentration and method for manufacturing | ROHM CO., LTD. |
10923572 | Heat sink layout designs for advanced FinFET integrated circuits | -- |
10923573 | Forming metal contacts on metal gates | -- |
10923574 | Transistor with inner-gate spacer | INTEL CORPORATION |
10923575 | Low resistance contact for transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923576 | Atomic layer deposition methods and structures thereof | -- |
10923577 | Cavity structures under shallow trench isolation regions | GLOBALFOUNDRIES U.S. INC. |
10923578 | Semiconductor device comprising a barrier region | INFINEON TECHNOLOGIES AUSTRIA AG |
10923579 | Semiconductor device with interconnect to source/drain | GLOBALFOUNDRIES U.S. INC. |
10923580 | Semiconductor device and method for fabricating the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923581 | Method for forming semiconductor structure | -- |
10923582 | Trench-type insulated gate semiconductor device including an emitter trench and an overlapped floating region | ROHM CO., LTD. |
10923583 | IGBT device with MOS controllable hole path | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10923584 | Graded channels for high frequency III-N transistors | INTEL CORPORATION |
10923585 | High electron mobility transistors having improved contact spacing and/or improved contact vias | CREE, INC. |
10923586 | High electron mobility transistor (HEMT) | -- |
10923587 | Power MOSFET and method for producing a power MOSFET | ROBERT BOSCH GMBH |
10923588 | SGT MOSFET with adjustable CRSS and CISS | HUNTECK SEMICONDUCTOR (SHANGHAI) CO. LTD |
10923589 | High voltage device and manufacturing method thereof | -- |
10923590 | Wrap-around contact for vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923591 | Method for producing a semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10923592 | High voltage switching device | PSEMI CORPORATION |
10923593 | Transistor and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10923594 | Methods to reduce or prevent strain relaxation on PFET devices and corresponding novel IC products | GLOBALFOUNDRIES U.S. INC. |
10923595 | Semiconductor device having a SiGe epitaxial layer containing Ga | -- |
10923596 | Camouflaged FinFET and method for producing same | RAMBUS INC. |
10923597 | Transistor and method for manufacturing the same, display substrate, and display apparatus | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923598 | Gate-all-around structure and methods of forming the same | -- |
10923599 | Semiconductor device | -- |
10923600 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923601 | Charge trapping split gate device and method of fabricating same | CYPRESS SEMICONDUCTOR CORPORATION |
10923602 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10923603 | Semiconductor device comprising Schottky barrier diodes | KEY FOUNDRY CO., LTD. |
10923604 | Termination structure for insulated gate semiconductor device and method | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10923605 | Optoelectronic apparatus | VISHAY SEMICONDUCTOR GMBH |
10923606 | Photoelectric conversion element | KANEKA CORPORATION |
10923607 | Solid state imaging apparatus, production method thereof and electronic device | SONY CORPORATION |
10923608 | Conductive paste for solar cell, solar cell and manufacturing method thereof, and solar cell module | -- |
10923609 | Solar cell module | ZEON CORPORATION |
10923610 | Solar cell and solar cell module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923611 | Method of fabricating a radio frequency transparent photovoltaic cell | RAYTHEON COMPANY |
10923612 | Photodiode having quantum dot light absorption layer | KING ABDULAZIZ UNIVERSITY |
10923613 | Energy harvesting apparatus having light collecting particles | SAMSUNG ELECTRONICS CO., LTD. |
10923614 | Photodiode, photodiode array, and solid-state imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923615 | Light source-integrated light sensing system and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10923616 | Bonds for solar cell metallization | SUNPOWER CORPORATION |
10923617 | Methods for fabricating thin film III-V compound solar cell | MICROLINK DEVICES, INC. |
10923618 | Method for manufacturing a photovoltaic device | NEWSOUTH INNOVATIONS PTY LIMITED |
10923619 | Semiconductor heterostructure with at least one stress control layer | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10923620 | Method of manufacturing of a GaN light emitting diode | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923621 | Method for reduction of interfacial stress accumulation between double side copper-plated layers and aluminum nitride substrate | -- |
10923622 | Micro light-emitting diode (LED) elements and display | INTEL CORPORATION |
10923623 | Semiconductor layer including compositional inhomogeneities | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10923624 | Imaging apparatus and endoscope | SEIKO EPSON CORPORATION |
10923625 | Multi-wavelength light source device, multi-function projector including the same, and electronic device including the multi-function projector | SAMSUNG ELECTRONICS CO., LTD. |
10923626 | LED sidewall processing to mitigate non-radiative recombination | -- |
10923627 | Light emitting diodes and associated methods of manufacturing | MICRON TECHNOLOGY, INC. |
10923628 | Micrometer scale light emitting diode displays on patterned templates and substrates | LUMILEDS LLC |
10923630 | P—GaN-down micro-LED on semi-polar oriented GaN | FACEBOOK TECHNOLOGIES, LLC |
10923631 | Micro light emitting device and display apparatus | -- |
10923632 | Light emitting element and light emitting device | NICHIA CORPORATION |
10923633 | Top-emitting light-emitting diode | -- |
10923634 | Wavelength converter having a polysiloxane material, method of making, and solid state lighting device containing same | OSRAM OPTO SEMICONDUCTORS GMBH |
10923635 | Phosphor deposition system for LEDs | LUMILEDS LLC |
10923636 | Wavelength converting particle, method for manufacturing wavelength converting particle, and light-emitting diode containing wavelength converting particle | POSTECH ACADEMY-INDUSTRY FOUNDATION |
10923637 | Method of manufacturing a plurality of conversion elements, conversion element and optoelectronic component | OSRAM OLED GMBH |
10923638 | Electronic device comprising an optical chip and method of fabrication | STMICROELECTRONICS (GRENOBLE 2) SAS |
10923639 | Method for producing an optical semiconductor device | -- |
10923640 | Optoelectronic component and method of producing same | OSRAM OLED GMBH |
10923641 | Light-emitting device and manufacturing method thereof | -- |
10923642 | Light emitting diode and light emitting device having the same | SEOUL VIOSYS CO., LTD. |
10923643 | Package, light emitting device, and method of manufacturing the package | NICHIA CORPORATION |
10923644 | Embedded electrode substrate for transparent light emitting device display and method for manufacturing thereof | LG CHEM, LTD. |
10923645 | Light source device having package including first electrode and second electrode and substrate including wiring members facing first electrode and second electrode | NICHIA CORPORATION |
10923646 | Superconducting switch having a persistent and a non-persistent state | MICROSOFT TECHNOLOGY LICENSING, LLC |
10923647 | Co-extrusion printing of filaments for superconducting wire | PALO ALTO RESEARCH CENTER INCORPORATED |
10923648 | Memory cell, memory array, method of forming and operating memory cell | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10923649 | Spin current magnetization rotation magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
10923650 | Magneto-resistive chip package including shielding structure | SAMSUNG ELECTRONICS CO., LTD. |
10923651 | Spin orbit materials for efficient spin current generation | NATIONAL UNIVERSITY OF SINGAPORE |
10923652 | Top buffer layer for magnetic tunnel junction application | APPLIED MATERIALS, INC. |
10923653 | Phase change memory with gradual resistance change | SAMSUNG ELECTRONICS CO., LTD. |
10923654 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
10923655 | Variable resistance memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10923656 | Switching atomic transistor and method for operating same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10923657 | Methods of forming memory cells and memory devices | MICRON TECHNOLOGY, INC. |
10923658 | Memory cells and methods of forming memory cells | MICRON TECHNOLOGY, INC. |
10923659 | Wafers for use in aligning nanotubes and methods of making and using the same | -- |
10923660 | Liquid formulation and a method for making electronic devices by solution process | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
10923661 | Organic light emitting diode and fabricating method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923662 | Fluoroalkylfluorene derivatives | LOMOX LIMITED |
10923663 | Monoamine compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
10923664 | Composition, thin film, and organic light emitting device including composition and thin film | SAMSUNG ELECTRONICS CO., LTD. |
10923665 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
10923666 | Hole transporting material, manufacturing method thereof, and organic photodiode thereof | -- |
10923667 | Organometallic compound, composition containing the organometallic compound, and organic light-emitting device including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10923668 | Electroluminescent device, and display device comprising thereof | SAMSUNG ELECTRONICS CO., LTD. |
10923669 | Display device including a bending area and a panel-sheet coupling layer | SAMSUNG DISPLAY CO., LTD. |
10923670 | Method of fabricating rigid island pattern on stretchable layer with low Young's modulus and stretchable electronic device platform using the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10923671 | Nanofilm, thin film transistor, and manufacture methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10923672 | Quantum dot organic light emitting diode display panel | -- |
10923673 | Organic light emitting panel, manufacturing method thereof, and organic light emitting device | BOE TECHNOLOGY GROUP CO., LTD. |
10923674 | Color film substrate and preparation method therefor, and display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923675 | OLED display panel and preparation method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923676 | Encapsulating structure of organic light emitting diode display panel having concave-convex structure in encapsulating portion | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923677 | Film structure, display device and method for fabricating the film structure | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923678 | Display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
10923679 | Light-emitting element display device | JAPAN DISPLAY INC. |
10923680 | Multifunctional composite panels and methods for the same | THE BOEING COMPANY |
10923681 | Packaging method of OLED display device using sacrificial layer in bonding region | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923682 | Display apparatus having protective layer and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10923683 | Substrate, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923684 | Organic light-emitting display device including microlenses and method of fabricating the same | LG DISPLAY CO., LTD. |
10923685 | Display and method of fabricating the display | BOE TECHNOLOGY GROUP CO., LTD. |
10923686 | Heat dissipating structure of a flexible display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923687 | Manufacturing method of display panel and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923688 | Quantum-dot light-emitting diode and method for producing quantum-dot light-emitting diode | UNIST (ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) |
10923689 | Method for mask-free OLED deposition and manufacture | OLEDWORKS LLC |
10923878 | Method and system for providing directional light sources with broad spectrum | SORAA LASER DIODE, INC. |
10924011 | Chip embedded power converters | FARADAY SEMI, INC. |
10924071 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10924081 | Substrate for a temperature-compensated surface acoustic wave device or volume acoustic wave device | SOITEC |
10924082 | Acoustic wave device and manufacturing method for same | MURATA MANUFACTURING CO., LTD. |
10924086 | Surface acoustic wave (SAW) device with antireflective structure | QORVO US, INC. |
10924093 | Integrated circuit with finFETs having dummy structures | NXP USA, INC. |
10924453 | Method for assigning controllable luminaire devices to control groups | IDEAL INDUSTRIES, INC. |
10924595 | Light-emitting device having a bent substrate | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10924704 | Display apparatus | LG DISPLAY CO., LTD. |
10924860 | Display device | SAMSUNG DISPLAY CO., LTD. |
10925132 | Multipixel led component and method of operating a multipixel led component | OSRAM OLED GMBH |
10925133 | Carbon dot light emitting diodes | BOARD OF SUPERVISORS OF LOUISIANA STATE UNIVERSITY |
10925169 | Method for manufacturing transparent light emitting device by using UV imprinting technology and transparent light emitting device manufactured thereby | SEOUL |
10925571 | Intra-oral imaging sensor with operation based on output of a multi-dimensional sensor | DENTAL IMAGING TECHNOLOGIES CORPORATION |
10925628 | Tissue engagement apparatus for theapeutic ultrasound apparatus and method | NOVUSON SURGICAL, INC. |
10925629 | Transducer for therapeutic ultrasound apparatus and method | NOVUSON SURGICAL, INC. |
10926324 | Production of silicon nano-particles and uses thereof | EPRO DEVELOPMENT LIMITED |
10926999 | Microelectromechanical transducer | INFINEON TECHNOLOGIES AG |
10927002 | Membrane components and method for forming a membrane component | INFINEON TECHNOLOGIES AG |
10927013 | Double perovskite | OXFORD UNIVERSITY INNOVATION LIMITED |
10927103 | Organic electroluminescent compound and organic electroluminescent device comprising the same | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
10927214 | Method of making a pyrrolo bisthiazole homopolymer | QATAR FOUNDATION FOR EDUCATION, SCIENCE AND COMMUNITY DEVELOPMENT |
10927220 | Silazane-siloxane random copolymers, their production and use | AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L. |
10927263 | Polymerizable composition and optically anisotropic body produced using the same | DIC CORPORATION |
10927278 | Curable organopolysiloxane composition and semiconductor device | DUPONT TORAY SPECIALTY MATERIALS KABUSHIKI KAISHA |
10927295 | Air-stable surface-passivated perovskite quantum dots (QDS), methods of making these QDS, and methods of using these QDS | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10927298 | Nitride fluorescent material, method for producing the same, and light emitting device | NICHIA CORPORATION |
10927443 | Vapor deposition mask, method for manufacturing vapor deposition mask, vapor deposition method, and method for manufacturing organic el display device | -- |
10927444 | Mask carrier and evaporation system | BOE TECHNOLOGY GROUP CO., LTD. |
10927453 | TiN-based film and TiN-based film forming method | TOKYO ELECTRON LIMITED |
10927466 | Passivating window and capping layer for photoelectrochemical cells | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10928012 | Light emitting diode filament lamp with V-geometry | LEDVANCE LLC |
10928015 | Light-emitting diode package | LG INNOTEK CO., LTD. |
10928037 | Light emitting device | NICHIA CORPORATION |
10928050 | Light source structure and lighting device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928059 | Smart candle platform and system | LUDELA TECHNOLOGIES LLC |
10928100 | Balanced solar tracker clamp | NEXTRACKER INC. |
10928241 | Ultrasound sensor | VITESCO TECHNOLOGIES GMBH |
10928248 | Light filter and spectrometer including the same | SAMSUNG ELECTRONICS CO., LTD. |
10928255 | Device for measuring thermoelectric performance | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10928257 | Sensor and method for measuring a pressure | TDK ELECTRONICS AG |
10928263 | Sensor device having adhesive between sensor portion and casing portion | FUJI ELECTRIC CO., LTD. |
10928334 | Methods of preparing single-walled carbon nanotube networks | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10928356 | Ion sensitive field effect transistor (FET) with back-gate coupled reference electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928370 | Actuating and sensing module | -- |
10928438 | Embedded photodetector as device health monitor for hot carrier injection (HCI) in power semiconductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10928492 | Management of histogram memory for a single-photon avalanche diode detector | APPLE INC. |
10928565 | Color film substrate, fabricating method therefor, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10928567 | Light-emitting device | PIONEER CORPORATION |
10928625 | Actuator device and method for operating an actuator device | ETO MAGNETIC GMBH |
10928626 | Method and device for correcting deformations of a surface and mirror using said method and/or said device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10928661 | Semiconductor device having bent portion | JAPAN DISPLAY INC. |
10928674 | Light source module of display device and manufacturing method thereof | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10928685 | Manufacturing method for ITO common electrode on CF substrate side | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10929633 | Fingerprint identification device, touch display panel, and method for driving fingerprint identification device | BOE TECHNOLOGY GROUP CO., LTD. |
10929635 | Display device including a fingerprint scanner | LG DISPLAY CO., LTD. |
10929769 | Electronic circuit for control or coupling of single charges or spins and methods therefor | SOCPRA SCIENCES ET GÉNIE S.E.C. |
10930213 | Light-emitting device, pixel circuit, method for controlling the pixel circuit, array substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930221 | Light emitting unit, driving method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930233 | Display panel, display device and compensation method for display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10930325 | Two-stage gated-diode sense amplifier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930333 | Embedded ferroelectric memory cell | -- |
10930334 | Feedback field-effect electronic device using feedback loop operation and array circuit using feedback field-effect electronic device | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10930342 | Method and apparatus for vitamin D enhancement in mushrooms | OAKSHIRE HOLDINGS, INC. |
10930343 | Symmetric bipolar switching in memristors for artificial intelligence hardware | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10930351 | Compact non-volatile memory device | STMICROELECTRONICS (ROUSSET) SAS |
10930442 | Light-transmitting electrode having carbon nanotube film, solar cell, method for producing light-transmitting electrode having carbon nanotube film, and method for manufacturing solar cell | UNIVERSITY OF TOKYO |
10930443 | Photoelectric conversion element | RICOH COMPANY, LTD. |
10930490 | Arrays of high-aspect-ratio germanium nanostructures with nanoscale pitch and methods for the fabrication thereof | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10930495 | Integrated circuits with doped gate dielectrics | -- |
10930496 | Method for fabricating heteroepitaxial semiconductor material on a mica sheet | -- |
10930497 | Semiconductor substrate and method for producing a semiconductor substrate | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
10930498 | Methods for producing nanowire stack GAA device with inner spacer | -- |
10930500 | Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon CMOS-compatible semiconductor devices | INTEL CORPORATION |
10930502 | Blocking structures on isolation structures | -- |
10930506 | Gallidation assisted impurity doping | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10930507 | Reduce well dopant loss in FinFETs through co-implantation | -- |
10930508 | Replacement metal gate formation of PMOS ultra-low voltage devices using a thermal implant | APPLIED MATERIALS, INC. |
10930509 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930510 | Semiconductor device with improved contact resistance and via connectivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930515 | Method for selective etching of nanostructures | ALIXLABS AB |
10930517 | Method of forming fin-shaped structure | -- |
10930518 | OLED substrate and manufacturing method thereof, display device and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10930519 | Wet etching of samarium selenium for piezoelectric processing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930522 | Semiconductor layer, oscillation element, and semiconductor layer manufacturing method | UACJ CORPORATION |
10930524 | Semiconductor component and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930529 | Formation of elastomeric layer on selective regions of light emitting device | FACEBOOK TECHNOLOGIES, LLC |
10930545 | Method for forming semiconductor structure | NEXCHIP SEMICONDUCTOR CORPORATION |
10930549 | Cap structure | GLOBALFOUNDRIES U.S. INC. |
10930551 | Methods for fabricating a low-resistance interconnect | -- |
10930554 | Semiconductor device and method for manufacturing the same | -- |
10930555 | Contact over active gate structure | APPLIED MATERIALS, INC. |
10930556 | Contact over active gate structure | APPLIED MATERIALS, INC. |
10930557 | Self-aligned contacts | INTEL CORPORATION |
10930561 | SiC substrate processing method | DISCO CORPORATION |
10930563 | Formation of stacked nanosheet semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930564 | Metal gate structure cutting process | -- |
10930565 | III-V CMOS co-integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930566 | Complementary metal oxide semiconductor replacement gate high-k metal gate devices with work function adjustments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930567 | Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930568 | Method and structure to improve overlay margin of non-self-aligned contact in metallization layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930570 | Methods for manufacturing a display device | -- |
10930576 | Gallium-nitride based devices implementing an engineered substrate structure | QROMIS, INC. |
10930583 | Capacitor embedded with nanocrystals | -- |
10930584 | Electronic component and three-terminal capacitor | MURATA MANUFACTURING CO., LTD. |
10930588 | Reduction of ohmic losses in monolithic chip inductors and transformers of radio frequency integrated circuits | INTEL CORPORATION |
10930591 | Trench MOSFET with self-aligned body contact with spacer | VISHAY-SILICONIX, LLC |
10930592 | Wafer level fan-out application specific integrated circuit bridge memory stack | GOOGLE LLC |
10930594 | Multilayer wiring substrate, display unit, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930595 | Standard cells having via rail and deep via structures | -- |
10930605 | Contact pad for semiconductor device | -- |
10930623 | Micro-transfer printable electronic component | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10930624 | Light-emitting module | NICHIA CORPORATION |
10930626 | Display apparatus including a micro light-emitting diode | SAMSUNG DISPLAY CO., LTD. |
10930627 | Semiconductor package device and method of manufacturing the same | -- |
10930629 | Pixel, display device including the same, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10930636 | Transient voltage suppression device | -- |
10930637 | Transient voltage suppressor | -- |
10930638 | Semiconductor device having overlapping resistance element and capacitor | LAPIS SEMICONDUCTOR CO., LTD. |
10930640 | Intelligent diode structures | -- |
10930641 | Series connected ESD protection circuit | TEXAS INSTRUMENTS INCORPORATED |
10930644 | Bi-directional snapback ESD protection circuit | MONOLITHIC POWER SYSTEMS, INC. |
10930645 | Semiconductor devices with package-level configurability | MICRON TECHNOLOGY, INC. |
10930646 | Circuit and method of forming the same | ZERO-ERROR SYSTEMS PTE LTD |
10930647 | Semiconductor device including trenches formed in transistor or diode portions | FUJI ELECTRIC CO., LTD. |
10930648 | Semiconductor devices having multi-level metallization structures | SAMSUNG ELECTRONICS CO., LTD. |
10930649 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
10930650 | Latch-up immunization techniques for integrated circuits | STMICROELECTRONICS INTERNATIONAL N.V. |
10930651 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930653 | Apparatuses comprising memory cells, and apparatuses comprising memory arrays | MICRON TECHNOLOGY, INC. |
10930654 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10930656 | Memory device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10930657 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10930668 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930669 | Three dimensional memory device and method for fabricating the same | -- |
10930671 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10930674 | Three-dimensional flat NAND memory device having curved memory elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
10930676 | Metal oxide thin film semiconductor device monolithically integrated with dissimilar device on the same wafer | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10930677 | Alternative designs for addressing contacts that enhance bend ability of TFT backplanes | PALO ALTO RESEARCH CENTER INCORPORATED |
10930679 | Thin film transistors with a crystalline oxide semiconductor source/drain | INTEL CORPORATION |
10930680 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10930681 | Display panel with fingerprint recognition and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930685 | Image sensor including a shield structure | SAMSUNG ELECTRONICS CO., LTD. |
10930694 | Semiconductor image sensor module, method for manufacturing the same as well as camera and method for manufacturing the same | SONY CORPORATION |
10930697 | Semiconductor device, solid-state imaging device with tantalum oxide layer formed by diffusing a material of an electrode of necessity or a counter electrode | SONY CORPORATION |
10930699 | Method and apparatus for image sensor packaging | -- |
10930700 | Semiconductor light detection element | HAMAMATSU PHOTONICS K.K. |
10930701 | Light-emitting element having a plurality of light-emitting structures | -- |
10930702 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10930703 | High density MRAM integration | SPIN MEMORY, INC. |
10930704 | Magnetic memory cell | -- |
10930705 | Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930706 | Reducing RRAM relaxation in crossbar arrays for low current applications | -- |
10930707 | Memory device with a split pillar architecture | MICRON TECHNOLOGY, INC. |
10930708 | OLED display substrate and method for manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930709 | Stacked transparent pixel structures for image sensors | LOCKHEED MARTIN CORPORATION |
10930710 | Display with nanostructure angle-of-view adjustment structures | APPLE INC. |
10930711 | Array substrate and method for manufacturing the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930712 | Irregularly-shaped flat-panel display having irregularly-shaped pixels | LG DISPLAY CO., LTD. |
10930713 | Display panel and display apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
10930714 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930715 | Flexible display device and method for manufacturing the device | LG DISPLAY CO., LTD. |
10930717 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930718 | Organic light emitting diode display having barrier layer on auxiliary electrode | LG DISPLAY CO., LTD. |
10930719 | Array substrate, method of making array substrate and display device having sub-pixels with transparent etching layer | BOE TECHNOLOGY GROUP CO., LTD. |
10930720 | Display device and manufacturing method thereof | JAPAN DISPLAY INC. |
10930721 | Organic light emitting display device and method of manufacturing organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10930722 | Display device | LG DISPLAY CO., LTD. |
10930723 | Display device | LG DISPLAY CO., LTD. |
10930726 | Display substrate and preparation method thereof, display panel, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930728 | Organic light-emitting diode display and method of manufacturing the same | LG DISPLAY CO., LTD. |
10930729 | Fin-based thin film resistor | INTEL CORPORATION |
10930730 | Enhanced active and passive devices for radio frequency (RF) process and design technology | QUALCOMM INCORPORATED |
10930731 | Integrated circuit device | MEDIATEK SINGAPORE PTE. LTD. |
10930732 | Semiconductor device including a silicon carbide layer | KABUSHIKI KAISHA TOSHIBA |
10930733 | Semiconductor device and method for producing semiconductor device | FUJI ELECTRIC CO., LTD. |
10930734 | Nanosheet FET bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930735 | Gate all around device and method of formation using angled ions | APPLIED MATERIALS, INC. |
10930736 | Semiconductor apparatus | MITSUBISHI ELECTRIC CORPORATION |
10930737 | Transistor cell | VISIC TECHNOLOGIES LTD. |
10930738 | Sub-fin leakage control in semicondcutor devices | INTEL CORPORATION |
10930739 | Three-dimensional semiconductor memory devices and methods for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930740 | Multi-direction channel transistor and semiconductor device including the multi-direction channel transistor | SAMSUNG ELECTRONICS CO., LTD. |
10930741 | Silicon carbide semiconductor device and method of manufacturing a silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10930742 | Wafer structure with mode suppression | RAYTHEON COMPANY |
10930743 | Layered structure, semiconductor device including layered structure, and semiconductor system including semiconductor device | FLOSFIA INC. |
10930744 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10930745 | Semiconductor structure | -- |
10930746 | Differential type sensing circuit with differential input and output terminal pair | -- |
10930747 | Semiconductor device with an encircled electrode | NXP B.V. |
10930748 | Semiconductor device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10930749 | Semiconductor devices having a gate isolation layer and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930750 | Method for forming a qubit device | IMEC VZW |
10930751 | Ferroelectric assemblies | MICRON TECHNOLOGY, INC. |
10930752 | Contact plugs and methods forming same | -- |
10930753 | Trench isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10930754 | Replacement metal gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930755 | Self-aligned inner spacer on gate-all-around structure and methods of forming the same | -- |
10930756 | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930757 | Method of manufacturing MOS transistor spacers | STMICROELECTRONICS (ROUSSET) SAS |
10930758 | Space deposition between source/drain and sacrificial layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930759 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930760 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930761 | Pillar-shaped semiconductor device and method for producing the same | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10930762 | Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930763 | Method and device for forming metal gate electrodes for transistors | -- |
10930764 | Extension region for a semiconductor device | TOKYO ELECTRON LIMITED |
10930765 | Method of manufacturing FinFET device with non-recessed STI | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10930766 | Ge NANO wire transistor with GAAS as the sacrificial layer | INTEL CORPORATION |
10930767 | Fin-like field effect transistor patterning methods for achieving fin width uniformity | -- |
10930768 | Low current leakage finFET and methods of making the same | SAMSUNG ELECTRONICS CO., LTD. |
10930769 | Semiconductor device and manufacturing method thereof | -- |
10930770 | RC-IGBT | INFINEON TECHNOLOGIES AG |
10930771 | Semiconductor device having an insulated gate bipolar transistor and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10930772 | IGBT having a barrier region | INFINEON TECHNOLOGIES AG |
10930773 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10930774 | Shielded gate trench MOSFETs with floating trenched gates and channel stop trenched gates in termination | -- |
10930775 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10930776 | High voltage LDMOS transistor and methods for manufacturing the same | -- |
10930777 | Laterally double diffused metal oxide semiconductor (LDMOS) device on fully depleted silicon on insulator (FDSOI) enabling high input voltage | GLOBALFOUNDRIES U.S. INC. |
10930778 | Vertical transistor devices with composite high-K and low-K spacers with a controlled top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930779 | Method of forming a vertical transistor pass gate device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930780 | Low parasitic capacitance and resistance finFET device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930781 | P-type strained channel in a fin field effect transistor (FinFET) device | -- |
10930782 | Method for forming a semiconductor device including a stacked wire structure | -- |
10930783 | Semiconductor devices, FinFET devices with optimized strained source-drain recess profiles and methods of forming the same | -- |
10930784 | FETs and methods for forming the same | -- |
10930785 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10930786 | Thin film transistor, manufacturing method, array substrate, display panel, and device | BOE TECHNOLOGY GROUP CO., LTD. |
10930787 | Method for driving semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930788 | Display panel and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930789 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930790 | Thin film transistor, gate driver including the same, and display device including the gate driver | LG DISPLAY CO., LTD. |
10930791 | Systems, methods, and apparatuses for implementing bi-layer semiconducting oxides in source and drain for low access and contact resistance of thin film transistors | INTEL CORPORATION |
10930792 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930793 | Bottom channel isolation in nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930794 | Self-aligned spacers for multi-gate devices and method of fabrication thereof | -- |
10930795 | Nanowire stack GAA device with inner spacer and methods for producing the same | -- |
10930796 | Merged p-intrinsic-N (PIN) Schottky diode | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930797 | Schottky barrier diode and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
10930799 | Semiconductor die with buried capacitor, and method of manufacturing the semiconductor die | STMICROELECTRONICS S.R.L. |
10930800 | Modular photovoltaic system | -- |
10930801 | Transaction card for transferring solar power | CAPITAL ONE SERVICES, LLC |
10930802 | Semiconductor device package and method of manufacturing the same | -- |
10930803 | Solar cell reflector / back electrode structure | -- |
10930804 | Metallization of solar cells using metal foils | SUNPOWER CORPORATION |
10930805 | Photoelectric conversion module | SOLAR FRONTIER K.K. |
10930806 | Thermal management system for controlling the temperature of a reflective surface having a solar concentrator array | THE BOEING COMPANY |
10930807 | Solar cell module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10930808 | Hybrid MOCVD/MBE epitaxial growth of high-efficiency lattice-matched multijunction solar cells | ARRAY PHOTONICS, INC. |
10930809 | Photovoltaic devices with increased efficiency and methods for making the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930810 | Solar cell and method of manufacturing solar cell | PANASONIC CORPORATION |
10930811 | Optoelectronic apparatus having a coding element | VISHAY SEMICONDUCTOR GMBH |
10930812 | Method for fabricating a photovoltaic device using computer-controlled system | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10930813 | Semiconductor light-emitting array and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930814 | Method of manufacturing multi-color light emitting pixel unit | JADE BIRD DISPLAY (SHANGHAI) LIMITED |
10930815 | Light emitting device | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930816 | Ultra-wideband light emitting diode and optical detector comprising aluminum indium gallium nitride and method of fabricating the same | LUMEOVA, INC. |
10930817 | Light-emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10930818 | Light emitting device | -- |
10930819 | Light emitting device and fluidic manufacture thereof | ELUX INC. |
10930820 | Light emitting device | NICHIA CORPORATION |
10930821 | Wavelength conversion member and light emitting device | NIPPON ELECTRIC GLASS CO., LTD. |
10930822 | Method of manufacturing light emitting device | NICHIA CORPORATION |
10930823 | Light-emitting device, method for designing light-emitting device, method for driving light-emitting device, illumination method, and method for manufacturing light-emitting device | CITIZEN ELECTRONICS CO., LTD. |
10930824 | Light emitting device | SEOUL SEMICONDUCTOR CO., LTD. |
10930825 | Two step phosphor deposition to make a matrix array | LUMILEDS LLC |
10930827 | Fluororesin interfacial agent for LED packaging, and methods for preparing and using same | -- |
10930828 | Non-rotationally symmetric lens for non-rotationally symmetric light source resulting in rotationally symmetric beam pattern | LUMILEDS LLC |
10930829 | Method of producing side-emitting components and side-emitting component | OSRAM OLED GMBH |
10930831 | Light emitting chip and fabrication method thereof | -- |
10930832 | Method for manufacturing semiconductor light emitting device | SEMICON LIGHT CO., LTD. |
10930833 | Thermoelectric element unit, thermoelectric module including the same, and method for manufacturing the same | HYUNDAI MOTOR COMPANY |
10930834 | Synthesis of N-type thermoelectric materials, including Mg—Sn—Ge materials, and methods for fabrication thereof | UNIVERSITY OF HOUSTON SYSTEM |
10930835 | Thermoelectric module sheet and thermoelectric module assembly including the same | HYUNDAI MOTOR COMPANY |
10930836 | Reducing surface loss and stray coupling in quantum devices using dielectric thinning | GOOGLE LLC |
10930837 | HTS magnet sections | TOKAMAK ENERGY LTD |
10930838 | Magnetostrictive actuator with center bias | THE UNITES STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10930839 | Magnetoresistive random access memory having a ring of magnetic tunneling junction region surrounding an array region | -- |
10930840 | Exchange-coupled composites | SEAGATE TECHNOLOGY LLC |
10930841 | Magnetic tunnel junction with perpendicular shape anisotropy and minimised variability, memory point and logic element including the magnetic tunnel junction, method for manufacturing the magnetic tunnel junction | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930842 | Multiferroic magnetic tunnel junction devices | MICRON TECHNOLOGY, INC. |
10930843 | Process for manufacturing scalable spin-orbit torque (SOT) magnetic memory | SPIN MEMORY, INC. |
10930844 | Three-terminal oxygen intercalation neuromorphic devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930845 | Memory device and method of manufacturing the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930846 | Methods of forming silicon-containing dielectric materials and methods of forming a semiconductor device comprising nitrogen radicals and oxygen-containing, silicon-containing, or carbon-containing precursors | MICRON TECHNOLOGY, INC. |
10930847 | Memory device | TOSHIBA MEMORY CORPORATION |
10930848 | Variable resistance memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930849 | Techniques for forming memory structures | MICRON TECHNOLOGY, INC. |
10930851 | Manufacturing method for carbon nanotube composite film, carbon nanotube TFT and manufacturing method for the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930852 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930853 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10930854 | Monoamine material for organic electroluminescent element, and organic electroluminescent element using same | SAMSUNG DISPLAY CO., LTD. |
10930855 | Light-emitting element, light-emitting device, electronic device, lighting device, lighting system, and guidance system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930856 | Organic compound, light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930857 | Heterocyclic compound and organic light emitting device using same | LT MATERIALS CO., LTD. |
10930858 | Nitrogen-containing compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
10930859 | Phenanthroquinazoline-core compounds | DOW GLOBAL TECHNOLOGIES LLC |
10930860 | Organic electroluminescent element | IDEMITSU KOSAN CO., LTD. |
10930861 | Radiation detector and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10930862 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10930863 | Organometallic compound, organic light-emitting device including organometallic compound, and diagnostic composition including organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10930864 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10930865 | Tetradentate platinum (II) and palladium (II) complexes, devices, and uses thereof | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10930866 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10930867 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930868 | Flexible organic light emitting diode display preventing current leakage between organic light emitting units | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930869 | Flexible substrate and manufacture method thereof, and flexible organic light-emitting diode display substrate | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930870 | Separation method, display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930871 | Light emitting device and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930872 | Light-emitting electrochemical cell, composition for forming light-emitting layer of light-emitting electrochemical cell, and ionic compound for light-emitting layer of light-emitting electrochemical cell | NIPPON CHEMICAL INDUSTRIAL CO., LTD. |
10930873 | Light-emitting element, display module, lighting module, light-emitting device, display device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10930874 | Organic light emitting display device | -- |
10930875 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930876 | Light-emitting device | PIONEER CORPORATION |
10930877 | Display apparatus including organic electroluminescence devices | JOLED, INC. |
10930878 | Organic light-emitting diode (OLED) package structure, and OLED display panel and a method for manufacturing the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930879 | Display device | LG DISPLAY CO., LTD. |
10930880 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930881 | Foldable display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10930882 | Organic light emitting display device having a seal pattern corresponding to a concave part | LG DISPLAY CO., LTD. |
10930883 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
10930884 | Organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930885 | Display device | SAMSUNG DISPLAY CO., LTD. |
10930886 | Method for manufacturing OLED display screen and OLED display screen | BOE TECHNOLOGY GROUP CO., LTD. |
10930887 | Flexible organic light emitting display device having a dam in a folding region | LG DISPLAY CO., LTD. |
10930888 | High-efficiency QLED structures | SHARP KABUSHIKI KAISHA |
10930889 | Light-emitting device, display apparatus, and illumination apparatus | SONY CORPORATION |
10930890 | Organic optoelectronic component | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
10930891 | Organic device, display apparatus, image capturing apparatus, illumination apparatus, and moving body | CANON KABUSHIKI KAISHA |
10930892 | OLED display panel having phase-compensated liquid crystal layer and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930893 | Organic light-emitting diode device, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930894 | Roll to roll fabricating system having turning unit | LG DISPLAY CO., LTD. |
10930895 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10930896 | Package method of OLED element and OLED package structure | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10931006 | Chip antenna | KABUSHIKI KAISHA TOSHIBA |
10931119 | Photovoltaic module | SOLAREDGE TECHNOLOGIES LTD. |
10931199 | Driver for a circuit with a capacitive load | PRESIDENT AND FELLOWS OF HARVARD COLLEGE |
10931226 | Photovoltaic modular system | HALL LABS LLC |
10931227 | Light-heat energy gathering solar energy device | SHANGHAI |
10931228 | Arc detection and prevention in a power generation system | SOLAREDGE TECHNOLOGIES FTD. |
10931229 | Solar cell testing system and testing method thereof | -- |
10931244 | Common gate amplifier with high isolation from output to input | EFFICIENT POWER CONVERSION CORPORATION |
10931262 | Tunable resonator element, filter circuit and method | INFINEON TECHNOLOGIES AG |
10931276 | Combined IGBT and superjunction MOSFET device with tuned switching speed | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10931284 | Resonators and devices with pixel based electrodes operating across a gap | FOX ENTERPRISES, INC. |
10932362 | Circuit board | LG INNOTEK CO., LTD. |
10932375 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932376 | Display device | SAMSUNG DISPLAY CO., LTD. |
10932384 | Cover window and display apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10932684 | Microelectronic sensor for air quality monitoring | EPITRONIC HOLDINGS PTE LTD. |
10932755 | Ultrasonic probe | SAMSUNG MEDISON CO., LTD. |
10933611 | Method for producing a composite pane with a functional element | SAINT-GOBAIN GLASS FRANCE |
10933616 | Roll press method and roll press system | SINTOKOGIO, LTD. |
10933631 | Ink-jet head driving circuit and ink-jet printer with ink-jet head driving circuit | BROTHER KOGYO KABUSHIKI KAISHA |
10933753 | Solar vehicle carport with LED sensor light | ZHEJIANG YOTRIO GROUP CO., LTD. |
10933958 | Electric power generation and storage buoy | OCEAN POWER TECHNOLOGIES, INC. |
10934027 | Energy supplying device for spacecraft | AIRBUS DEFENCE AND SPACE GMBH |
10934163 | Classic-quantum injection interface device | EQUAL1.LABS INC. |
10934219 | Method of increasing the uniformity of chemical vapor deposition on fibrous material through the imposition of pressure waves | RAYTHEON TECHNOLOGIES CORPORATION |
10934248 | Light-emitting material, organic light-emitting device, and compound | KYULUX, INC. |
10934262 | Heterocyclic bridged biphenyls | UDC IRELAND LIMITED |
10934268 | Compound and organic electronic device comprising same | LG CHEM, LTD. |
10934290 | Donor-acceptor nanohoop compounds and methods of making and using the same | UNIVERSITY OF OREGON |
10934292 | Hexamethylindanes | MERCK PATENT GMBH |
10934293 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10934308 | Compound for organic electronic element, organic electronic element using same, and electronic device comprising same | DUK SAN NEOLUX CO., LTD. |
10934319 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10934320 | Carbene precursor compound and use thereof | INSTITUTE FOR BASIC SCIENCE |
10934383 | Composite compositions and modification of inorganic particles for use in composite compositions | CARNEGIE MELLON UNIVERSITY |
10934482 | Color conversion composition, color conversion sheet, and light-emitting body, lighting device, backlight unit, and display each including same | TORAY INDUSTRIES, INC |
10934483 | Fine fluorescent-material particles, process for producing fine fluorescent-material particles, thin fluorescent-material film, wavelength conversion film, wavelength conversion device, and solar cell | NGK INSULATORS, LTD. |
10934484 | Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device | VERSUM MATERIALS US, LLC |
10934485 | Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device | VERSUM MATERIALS US, LLC |
10934613 | Mask plate, mask plate assembly including mask plate and method for manufacturing same | BOE TECHNOLOGY GROUP CO., LTD. |
10934614 | Vapor deposition mask, vapor deposition mask production method, and organic semiconductor element production method | -- |
10934617 | Metal coating of objects using plasma polymerisation pretreatment | CUPTRONIC TECHNOLOGY LTD. |
10934634 | Polycrystalline SiC substrate and method for manufacturing same | SICOXS CORPORATION |
10935010 | Voltage conversion apparatus and method | -- |
10935019 | Film transducer, pump and method for operating a pump | BUERKERT WERKE GMBH & CO. KG |
10935190 | Light emitting diode tube lamp including glass lamp tube with self diffusive tube glass and method of forming self diffusive glass using chemical etching | LEDVANCE LLC |
10935214 | Light-emitting device and electronic apparatus | JOLED INC. |
10935215 | Polarization selective optic for glare control of illumination lighting | ABL IP HOLDING LLC |
10935282 | Single-walled connecting key framesets | SUNPOWER CORPORATION |
10935419 | Light detecting device | HAMAMATSU PHOTONICS K.K. |
10935437 | Coaxial high temperature thermocouple background | ROSEMOUNT AEROSPACE INC |
10935492 | Metrology for OLED manufacturing using photoluminescence spectroscopy | APPLIED MATERIALS, INC. |
10935502 | Directed energy and sensing for detection of inconsistencies in laminates | VIRTEK VISION INTERNATIONAL, ULC |
10935515 | Stacked nanofluidics structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10935527 | Use of an acoustic wave in a chromatography system | DIONEX SOFTRON GMBH |
10935639 | Optical sensor with transimpedance amplifier connection | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10935679 | Coupling evaluation geophone and method for eliminating ground-geophone coupling effect | -- |
10935694 | Light conversion material with high conversion efficiency | -- |
10935700 | Optical film and image display device | DAI NIPPON PRINTING CO., LTD. |
10935702 | Reflection and diffraction control with slanted semiconductor metamaterials | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10935707 | Optical coating for spectral conversion | SUNDENSITY, INC. |
10935708 | Retardation film and a display apparatus including the same | SAMSING DISPLAY CO., LTD |
10935710 | Optical film, polarizing plate, image display device, method for producing optical film, and method for producing polarizing plate | FUJIFILM CORPORATION |
10935721 | Integrated photodiode with unique waveguide drift layer | UNIVERSITY OF VIRGINIA PATENT FOUNDATION |
10935826 | Method of manufacturing image display device | DEXERIALS CORPORATION |
10935829 | Backplane substrate including in-cell type touch panel, liquid crystal display device using the same, and method of manufacturing the same | LG DISPLAY CO., LTD. |
10935836 | Organic electroluminescent display device, phase difference film, and circularly polarizing plate | FUJIFILM CORPORATION |
10935876 | Light source device, projection display device, and method of cooling semiconductor light-emitting element | NEC DISPLAY SOLUTIONS, LTD. |
10935877 | Projection apparatus and heat dissipation control method | -- |
10936012 | Display device including a cushion layer having members with different moduli | SAMSUNG DISPLAY CO., LTD. |
10936127 | Touch sensor and display device with touch sensor | JAPAN DISPLAY INC. |
10936845 | OLED display panel and under-screen optical fingerprint recognition method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10936944 | Three-terminal neuromorphic vertical sensing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937355 | Display substrate with photoelectric sensor having regions connected with each other, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937361 | Sub-pixel for a display with controllable viewing angle | FACEBOOK TECHNOLOGIES, LLC |
10937383 | Display device | SAMSUNG DISPLAY CO., LTD. |
10937387 | Information terminal | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937451 | Magnetoresistive effect element with nonmagnetic spacer layer including an aluminum alloy | TDK CORPORATION |
10937478 | Systems and methods utilizing serial and parallel configurations of magnetic memory devices | SPIN MEMORY, INC. |
10937479 | Integration of epitaxially grown channel selector with MRAM device | SPIN MEMORY, INC. |
10937480 | Spin current magnetization rotational element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
10937482 | Memory cells and arrays of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
10937561 | Methods and compositions for fabrication of superconducting wire | THE TEXAS A&M UNIVERSITY SYSTEM |
10937574 | Vertically-constructed, temperature-sensing resistors and methods of making the same | TEXAS INSTRUMENTS INCORPORATED |
10937586 | Electromagnetic device having layered magnetic material components and methods for making same | TELEDYNE SCIENTIFIC & IMAGING, LLC |
10937620 | Vacuum channel transistor structures with sub-10 nanometer nanogaps and layered metal electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937647 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
10937648 | Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937649 | Epitaxial growth of cubic and hexagonal InN films and their alloys with AlN and GaN | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10937650 | Semiconductor device having in situ formed horizontal nanowire structure | HRL LABORATORIES, LLC |
10937655 | Memory device with various pass voltages | SK HYNIX INC. |
10937656 | Self-protective layer formed on high-k dielectric layer | -- |
10937664 | Surface modification process | TOKYO ELECTRON LIMITED |
10937666 | Method for manufacturing lead frame including electrode and hanger lead, method for manufacturing package having lead frame, and method for manufacturing light-emitting device having package | NICHIA CORPORATION |
10937674 | Method for transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10937679 | High-precision printed structures | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10937693 | Methods, apparatus and system for a local interconnect feature over an active region in a finFET device | GLOBALFOUNDRIES INC. |
10937695 | FinFET switch | -- |
10937696 | Capacitor and method for producing the same | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10937699 | Method of forming a fin under a gate structure | -- |
10937700 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10937703 | Field-effect transistor having dual channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937718 | Package structures and method of forming the same | -- |
10937720 | Semiconductor device with copper structure | INFINEON TECHNOLOGIES AUSTRIA AG |
10937723 | Package carrier structure having integrated circuit design and manufacturing method thereof | -- |
10937730 | Metal-on-metal capacitors | APPLE INC. |
10937731 | Semiconductor module and method for manufacturing semiconductor module | HITACHI, LTD. |
10937764 | Three-dimensional microelectronic package with embedded cooling channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937765 | Semiconductor device with laminated semiconductor chips | ULTRAMEMORY INC. |
10937768 | Method of manufacturing display device | SEOUL SEMICONDUCTOR CO., LTD. |
10937769 | In-vehicle display device using semiconductor light-emitting device | ZKW GROUP GMBH |
10937770 | Light-emitting device | STANLEY ELECTRIC CO., LTD. |
10937771 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
10937772 | Semiconductor package and method for manufacturing the same | -- |
10937774 | Micro LED display panel, method for fabricating the same and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937775 | Display device | -- |
10937776 | Solid state transducers with state detection, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10937777 | Opto-electronic device with light-emitting diodes | ALEDIA |
10937780 | Low capacitance bidirectional transient voltage suppressor | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10937781 | Electronic device including a protection circuit | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10937782 | Electrostatic discharge protection structure | NXP B.V. |
10937783 | Semiconductor device and manufacturing method thereof | -- |
10937784 | Method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
10937786 | Gate cut structures | GLOBALFOUNDRIES U.S. INC. |
10937787 | Semiconductor devices having different numbers of stacked channels in different regions and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937789 | Nanosheet eDRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937790 | Semiconductor device with air gap structure and method for preparing the same | -- |
10937792 | Dense vertical field effect transistor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937794 | Split gate non-volatile memory cells with FinFET structure and HKMG memory and logic gates, and method of making same | SILICON STORAGE TECHNOLOGY, INC. |
10937795 | Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology | -- |
10937797 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10937799 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10937800 | Three-dimensional memory device with on-axis self-aligned drain-select-level isolation structure and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
10937802 | Void formation for charge trap structures | MICRON TECHNOLOGY, INC. |
10937806 | Through array contact (TAC) for three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937807 | Ferroelectric field-effect transistor devices having a top gate and a bottom gate | INTEL CORPORATION |
10937808 | Vertical memory device and method of fabricating the same | SK HYNIX INC. |
10937810 | Sub-fin removal for SOI like isolation with uniform active fin height | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937811 | Integrated circuit devices and fabrication techniques | STMICROELECTRONICS, INC. |
10937813 | Active matrix substrate, liquid crystal display device, organic electroluminescent display device and method for producing active matrix substrate | SHARP KABUSHIKI KAISHA |
10937815 | Light emitting diode display device | LG DISPLAY CO., LTD. |
10937816 | Switching element, manufacturing method thereof, array substrate and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937817 | Array substrate comprising curved capacitors | BOE TECHNOLOGY GROUP CO., LTD. |
10937820 | Solid-state imaging element, sensor apparatus, and electronic device | SONY CORPORATION |
10937826 | Micro semiconductor structure | -- |
10937827 | Pseudo-substrate for optoelectronic device and its manufacturing method | ALEDIA |
10937828 | Fabricating embedded magnetoresistive random access memory device with v-shaped magnetic tunnel junction profile | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937829 | Three dimensional memory arrays | MICRON TECHNOLOGY, INC. |
10937830 | Method of fabricating integrated circuit | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
10937831 | Correlated electron switch structures and applications | CERFE LABS, INC. |
10937832 | 3D memory with confined cell | -- |
10937833 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
10937834 | Shared three-dimensional vertical memory | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
10937835 | Low-noise integrated post-processed photodiode | BAE SYSTEMS IMAGING SOLUTIONS INC. |
10937838 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10937839 | Display apparatus having level compensation layers and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10937840 | Display device | SAMSUNG DISPLAY CO., LTD. |
10937841 | Flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10937842 | Flexible organic light emitting display device including a connection structure disposed in a pad region | SAMSUNG DISPLAY CO., LTD. |
10937843 | Display panel and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937844 | Electroluminescent display device | LG DISPLAY CO., LTD. |
10937845 | Display substrate and method for manufacturing the same, display panel and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937847 | Display device, electronic apparatus, and method of fabricating the display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937848 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10937850 | OLED display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937854 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10937855 | Display apparatus and fan-out portion | SAMSUNG DISPLAY CO., LTD. |
10937856 | Method of manufacturing a semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10937857 | Single crystal material and method of forming the same and stacked structure and ceramic electronic component and device | SAMSUNG ELECTRONICS CO., LTD. |
10937858 | Method for manufacturing semiconductor and structure thereof | -- |
10937859 | Method for manufacturing power device | HANGZHOU SILAN MICROELECTRONICS CO., LTD. |
10937860 | Nanosheet transistor bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937861 | Semiconductor structures including middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack | TESSERA, INC. |
10937862 | Nanosheet substrate isolated source/drain epitaxy via airgap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937863 | Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937864 | Leakage-free implantation-free ETSOI transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937865 | Semiconductor device having transistors in which source/drain regions are shared | MICRON TECHNOLOGY, INC. |
10937866 | Method and structure for forming silicon germanium FinFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937867 | Conformal doping for punch through stopper in fin field effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937868 | Method for making semiconductor devices with hyper-abrupt junction region including spaced-apart superlattices | ATOMERA INCORPORATED |
10937869 | Systems and methods of masking during high-energy implantation when fabricating wide band gap semiconductor devices | GENERAL ELECTRIC COMPANY |
10937870 | Electric field shielding in silicon carbide metal-oxide-semiconductor (MOS) device cells using body region extensions | GENERAL ELECTRIC COMPANY |
10937871 | III-V transistor device with self-aligned doped bottom barrier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937872 | Semiconductor structures | -- |
10937873 | High electron mobility transistors having improved drain current drift and/or leakage current performance | CREE, INC. |
10937874 | Semiconductor device | NISSAN MOTOR CO., LTD. |
10937875 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10937876 | Source/drain feature to contact interfaces | -- |
10937877 | Methods for forming recesses in source/drain regions and devices formed thereof | -- |
10937878 | Method of implanting dopants into a group III-nitride structure and device formed | -- |
10937879 | Semiconductor device and manufacturing method thereof | -- |
10937880 | Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
10937881 | Gas sensor and method for making same | -- |
10937882 | Semiconductor device including a field effect transistor | SAMSUNG ELECTRONICS CO., LTD. |
10937883 | Vertical transport FETs having a gradient threshold voltage | ELPIS TECHNOLOGIES INC. |
10937884 | Gate spacer with air gap for semiconductor device structure and method for forming the same | -- |
10937885 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937886 | Semiconductor device with negative capacitance material in buried channel | -- |
10937887 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10937888 | Method for making a varactor with a hyper-abrupt junction region including spaced-apart superlattices | ATOMERA INCORPORATED |
10937889 | Forming thermally stable salicide for salicide first contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937890 | Vertical field-effect transistor late gate recess process with improved inter-layer dielectric protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937891 | Spacer structure and manufacturing method thereof | -- |
10937892 | Nano multilayer carbon-rich low-k spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937893 | Semiconductor device and manufacturing method thereof | -- |
10937894 | Structure of a fin field effect transistor (FinFET) | -- |
10937895 | Epitaxial features confined by dielectric fins and spacers | -- |
10937896 | Device for compound semiconductor Fin structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10937897 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937898 | Lateral bipolar junction transistor with dual base region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937899 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10937900 | Semiconductor structure and manufacturing method thereof | -- |
10937901 | Insulated gate semiconductor device with injuction supression structure and method of manufacturing same | FUJI ELECTRIC CO., LTD. |
10937902 | Method for producing a semiconductor device having a fin-shaped semiconductor layer | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10937903 | Twin gate field effect diode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937904 | Programmable charge-storage transistor, an array of elevationally-extending strings of memory cells, and a method of forming an array of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
10937905 | Transistor having double isolation with one floating isolation | TEXAS INSTRUMENTS INCORPORATED |
10937906 | Semiconductor device including fin structures and manufacturing method thereof | -- |
10937907 | Method for fabricating transistor with thinned channel | INTEL CORPORATION |
10937908 | Thin-sheet FinFET device | -- |
10937909 | FinFET device including an dielectric region and method for fabricating same | -- |
10937910 | Semiconductor structure with source/drain multi-layer structure and method for forming the same | -- |
10937911 | Method of forming a transistor | MICRON TECHNOLOGY, INC. |
10937912 | Capacitor structure having vertical diffusion plates | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937913 | Imaging element, solid state imaging device, and electronic device | SONY CORPORATION |
10937914 | Thermal detectors using graphene and oxides of graphene and methods of making the same | MAGNOLIA OPTICAL TECHNOLOGIES, INC. |
10937915 | Obscuring, color matching, and camouflaging solar panels | TESLA, INC. |
10937916 | Photovoltaic apparatus and assembly | FLISOM AG |
10937917 | Solar cell panel and method for manufacturing the same | LG ELECTRONICS INC. |
10937918 | Flexible printed circuit, and concentrator photovoltaic module and concentrator photovoltaic panel using same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10937919 | Light receiving element, optical communication device, and method for manufacturing a light receiving element | SONY CORPORATION |
10937920 | Optical sensor | HAMAMATSU PHOTONICS K.K. |
10937921 | Method for manufacturing a sensor chip for the direct conversion of X-rays, a sensor for the direct conversion of X-rays and the dental radiology apparatus for using such a sensor | TROPHY |
10937922 | Method for the self-adjusted exposure of side surfaces of a semiconductor body | OSRAM OLED GMBH |
10937923 | Semiconductor device and semiconductor device package including the same | LG INNOTEK CO., LTD. |
10937924 | Display device and electronics apparatus | GOERTEK. INC |
10937925 | Light emitting diode chip having distributed Bragg reflector | SEOUL VIOSYS CO., LTD. |
10937926 | Light-emitting diodes with buffer layers | XIAMEN CHANGELIGHT CO., LTD. |
10937927 | Group III nitride light-emitting element and method for producing the light-emitting element | STANLEY ELECTRIC CO., LTD |
10937928 | Nitride semiconductor element, nitride semiconductor light emitting element, ultraviolet light emitting element | ASAHI KASEI KABUSHIKI KAISHA |
10937929 | Semiconductor unit, semiconductor device, light-emitting apparatus, display apparatus, and method of manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10937930 | Light emitting device, an optical spectrometer, and a down-converting film for a light emitting device | FUNDACIÓ INSTITUT DE CIÈNCIES FOTÒNIQUES |
10937931 | Light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10937932 | Optoelectronic component and method of producing an optoelectronic component | OSRAM OLED GMBH |
10937933 | Light-emitting component and method of producing a light-emitting component | OSRAM OLED GMBH |
10937934 | Light emitting device package and lighting source device | LG INNOTEK CO., LTD. |
10937935 | Flip chip type light emitting diode chip and light emitting device including the same | SEOUL VIOSYS CO., LTD. |
10937936 | LED display unit group and display panel | FOSHAN NATIONSTAR OPTOELECTRONICS CO., LTD. |
10937937 | Optical semiconductor element | STANLEY ELECTRIC CO., LTD. |
10937938 | Light emitting device | SEOUL VIOSYS CO., LTD. |
10937939 | Thermoelectric conversion material and thermoelectric conversion element | NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY |
10937940 | Carbon metal interfaces for electrical connections, electronic and micro circuitry | -- |
10937941 | Mechanically tunable superconducting qubit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937942 | Piezoelectric element and piezoelectric element-applied device | SEIKO EPSON CORPORATION |
10937943 | Piezoelectric composition and piezoelectric device | TDK CORPORATION |
10937944 | Piezoelectric element including mesoporous piezoelectric thin film | SAMSUNG DISPLAY CO., LTD. |
10937945 | Structured pedestal for MTJ containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937946 | Semiconductor structure and method for forming the same | -- |
10937947 | Magnetic memory device with a nonmagnet between two ferromagnets of a magnetoresistive effect element | TOSHIBA MEMORY CORPORATION |
10937948 | Magnetic memory using spin-orbit torque | EVERSPIN TECHNOLOGIES, INC. |
10937949 | Method of forming doughnut-shaped skyrmion | KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE |
10937950 | Semiconductor structure | -- |
10937951 | Magnetoresistance effect element | TDK CORPORATION |
10937952 | Semiconductor devices including stress-inducing layers and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10937953 | Tunable tetragonal ferrimagnetic heusler compound with PMA and high TMR | SAMSUNG ELECTRONICS CO., LTD. |
10937954 | Magnetoresistive effect element | TDK CORPORATION |
10937955 | Memory element and memory device | SONY CORPORATION |
10937956 | Magnetoresistive random access memory structure and method of forming the same | -- |
10937957 | Manufacturing techniques and corresponding devices for magnetic tunnel junction devices | -- |
10937958 | Magnetoresistive element having a novel cap multilayer | -- |
10937959 | Multiple silicon atom quantum dot and devices inclusive thereof | QUANTUM SILICON INC. |
10937960 | Concurrent fabrication of and structure for capacitive terminals and ohmic terminals in a phase-change material (PCM) radio frequency (RF) switch | NEWPORT FAB, LLC |
10937961 | Structure and method to form bi-layer composite phase-change-memory cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937962 | Method for manufacturing conductive polymer thin film having semiconductor characteristic and thin-film transistor comprising conductive polymer thin film having semiconductor characteristic | CHUNGANG UNIVERSITY INDUSTRY ACADEMIC COOPERATION FOUNDATION |
10937963 | Organic photovoltaic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937964 | Organic semiconductor material | TOKYO INSTITUTE OF TECHNOLOGY |
10937965 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937966 | Method for preparing an organic semiconductor layer and an organic electronic device | NOVALED GMBH |
10937967 | Amine-based compound and organic light-emitting device including the amine-based compound | SAMSUNG DISPLAY CO., LTD. |
10937968 | Compound and organic electronic device using the same | SHANGHAI NICHEM FINE CHEMICAL CO., LTD. |
10937969 | Material for organic electroluminescent device and organic electroluminescent device using the same | SAMSUNG DISPLAY CO., LTD. |
10937970 | Compound and photoelectric device, image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10937971 | Organic monomolecular white light material, manufacturing method for the same, and OLED element | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937972 | Complex and perovskite material, and perovskite-type solar cell using complex or perovskite material | KYOTO UNIVERSITY |
10937973 | Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10937974 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10937975 | Organometallic compound, organic light-emitting device including organometallic compound, and diagnostic composition including organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10937976 | Tetradentate platinum and palladium complex emitters containing phenyl-pyrazole and its analogues | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10937977 | Top emission type light emitting element | PIONEER CORPORATION |
10937978 | Methods for forming a perovskite solar cell | UNIVERSITY OF LOUISVILLE RESEARCH FOUNDATION, INC. |
10937979 | Organic el element, and display device, imaging apparatus, lighting device, and movable body including the same | CANON KABUSHIKI KAISHA |
10937980 | Package structure of display component and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937981 | Light-emitting element, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937982 | Organic electroluminescent device emitting blue light | CYNORA GMBH |
10937983 | Organic electroluminescent device and display panel | -- |
10937984 | Organic compound and light emitting diode and organic light emitting diode display device using the same | LG DISPLAY CO., LTD. |
10937985 | Organic EL light-emitting element and manufacturing method thereof | SAKAI DISPLAY PRODUCTS CORPORATION |
10937986 | Display device | JAPAN DISPLAY INC. |
10937987 | Electronic devices having displays with tilted anodes | APPLE INC. |
10937988 | Organic EL display panel and method of manufacturing organic EL display panel | JOLED INC. |
10937989 | Display panel and preparation method thereof, method for determining failure of encapsulation part, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937990 | Encapsulation film | LG CHEM, LTD. |
10937991 | Display panel and method of packaging the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10937992 | Light emitting device, manufacturing method thereof and display device using the same | -- |
10937993 | Organic light-emitting diode display panel having under-the-screen structure and display device thereof | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY CO., LTD. |
10937994 | Organic electroluminescence display device having a circular polarization plate and a high refractive index layer | FUJIFILM CORPORATION |
10937995 | OLED display and OLED display device with plurality of light-absorbing bodies in planarization layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10937996 | Display apparatus and method of manufacturing the same | CANON KABUSHIKI KAISHA |
10937997 | Display device including electroluminescence element | MIKUNI ELECTRON CORPORATION |
10937998 | Display panel and method for preparing the same, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10938128 | Superconducting interconnects with ultra-low thermal conductivity | BROOKHAVEN TECHNOLOGY GROUP, INC. |
10938165 | Electric plug-in connector having a plugging cycle counter | SIEMENS AKTIENGESELLSCHAFT |
10938275 | Energy harvesting airport | THE BOEING COMPANY |
10938325 | Piezoelectric actuator drive circuit | NEW JAPAN RADIO CO., LTD. |
10938328 | Harvesting energy from composite aircraft engine components | GENERAL ELECTRIC COMPANY |
10938336 | Wind generator | -- |
10938340 | Integrated CZT(S,Se) photovoltaic device and battery | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10938346 | Frequency multiplexed resonator input and/or output for a superconducting device | D-WAVE SYSTEMS INC. |
10938367 | Solidly mounted layer thin film device with grounding layer | QORVO US, INC. |
10938371 | Acoustic wave resonator, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
10938372 | Acoustic wave resonator, acoustic wave device, and filter | TAIYO YUDEN CO., LTD. |
10938388 | Control circuit, semiconductor device, and electrical circuit device | KABUSHIKI KAISHA TOSHIBA |
10938788 | Static routes for policy-based VPN | VMWARE, INC. |
10938916 | Methods circuits devices systems and functionally associated computer executable code for facilitating edge computing on a mobile data communication network | -- |
10939514 | Electroluminescence display and method for driving the same | LG DISPLAY CO., LTD. |
10939527 | Light engine configured to be between a power source and another light engine | ARKALUMEN INC. |
10939553 | Vertical-side solder method and package for power GaN devices | -- |
10940627 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10941035 | Method for producing structured surfaces | LEIBNIZ-INSTITUT FüR NEUE MATERIALIEN GEMEINNüTZIGE GMBH |
10941055 | Photoelectrochemical cell for wastewater treatment and method of fabricating the photoelectrochemical cell | NATIONAL UNIVERSITY OF SINGAPORE |
10941108 | Organic compound and organic electroluminescent element comprising same | MATERIAL SCIENCE CO., LTD. |
10941144 | Organic electroluminescence device and electronic device | IDEMITSU KOSAN CO., LTD. |
10941165 | Perovskite nanocrystals and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10941168 | Phosphepine matrix compound for a semiconducting material | NOVALED GMBH |
10941170 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10941171 | Organometallic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10941301 | Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate | TOKYO OHKA KOGYO CO., LTD. |
10941338 | Highly stable quantum DOT-containing polymer films | NANOCO TECHNOLOGIES LTD. |
10941339 | Fluorescent fluoride, light-emitting device, and process for producing florescent fluoride | DENKA COMPANY LIMITED |
10941487 | Synthesis and use of precursors for ALD of group VA element containing thin films | ASM IP HOLDING B.V. |
10941498 | Panel to be plated, electroplating process using the same, and chip manufactured from the same | -- |
10941612 | Photovoltaic cells arranged in a pattern | LUTRON TECHNOLOGY COMPANY LLC |
10942072 | Nanoscale magnetic tunnel junction arrays for sub-micrometer resolution pressure sensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10942228 | Temperature compensation circuit, corresponding device and method | STMICROELECTRONICS S.R.L. |
10942255 | Apparatus and method for integrating self-test oscillator with injection locked buffer | GLOBALFOUNDRIES U.S. INC. |
10942284 | Radiation detector panel assembly structure | DETECTION TECHNOLOGY OY |
10942315 | Reducing back reflection in a photodiode | ELENION TECHNOLOGIES, LLC |
10942344 | Optoelectronic component | OSRAM OLED GMBH |
10942363 | Liquid crystal display device | TOPPAN PRINTING CO., LTD. |
10942385 | Display device | SAMSUNG DISPLAY CO., LTD. |
10942389 | Color conversion element and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10942406 | Thin film transistor substrate and display panel | PANASONIC LIQUID CRYSTAL DISPLAY CO., LTD. |
10942408 | Composite oxide semiconductor, semiconductor device using the composite oxide semiconductor, and display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10942450 | Inorganic nanoparticle structure, film, optical member, light-emitting device, and liquid crystal display apparatus having the same | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10942451 | Coloring photosensitive composition, cured film, pattern forming method, infrared cut filter with light-shielding film, solid-state imaging device, image display device, and infrared sensor | FUJIFILM CORPORATION |
10942454 | Method for making microstructures and photolithography mask plate | TSINGHUA UNIVERSITY |
10943082 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943084 | Multifunctional, multimodal, under-display sensor | QUALCOMM INCORPORATED |
10943180 | Capacitively-shunted asymmetric DC-SQUID for qubit readout and reset | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943526 | Display device, backlight module and electronic device | -- |
10943529 | Electronic devices with flexible displays | APPLE INC. |
10943535 | Organic light emitting display device and method for determining gamma reference voltage thereof | SAMSUNG DISPLAY CO., LTD. |
10943564 | Stretchable display with fixed pixel density | INTEL CORPORATION |
10943631 | Spin current magnetization reversing element, magnetoresistance effect element, magnetic memory, and magnetic device | TDK CORPORATION |
10943632 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10943642 | Integrated memory assemblies comprising multiple memory array decks | MICRON TECHNOLOGY, INC. |
10943646 | Memory device, driving method thereof, semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10943661 | Current compensation block and method for programming analog neural memory in deep learning artificial neural network | SILICON STORAGE TECHNOLOGY, INC. |
10943712 | Superconducting cables and methods of making the same | ADVANCED CONDUCTOR TECHNOLOGIES LLC |
10943729 | Entangled inductor structures | -- |
10943733 | Ceramic dielectric and method of manufacturing the same and ceramic electronic component and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10943778 | Method for manufacturing a substrate | SOITEC |
10943781 | Manufacturing method for light emitting device, light emitting device, and hybrid light emitting device | NAJING TECHNOLOGY CORPORATION LIMITED |
10943785 | Laser irradiation apparatus and method for manufacturing semiconductor device | THE JAPAN STEEL WORKS, LTD. |
10943786 | Semiconductor device with self-aligned carbon nanotube gate | ELPIS TECHNOLOGIES INC. |
10943787 | Confined work function material for gate-all around transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943790 | Semiconductor devices and methods for manufacturing the same | -- |
10943792 | 3D stacked-in-recess system in package | INTEL CORPORATION |
10943795 | Apparatus and methods for creating a thermal interface bond between a semiconductor die and a passive heat exchanger | INDIUM CORPORATION |
10943796 | Semiconductor device assembly having a thermal interface bond between a semiconductor die and a passive heat exchanger | INDIUM CORPORATION |
10943813 | Radio frequency silicon on insulator wafer platform with superior performance, stability, and manufacturability | -- |
10943814 | Etch stop member in buried insulator of SOI substrate to reduce contact edge punch through | GLOBALFOUNDRIES U.S. INC. |
10943815 | Structure for radiofrequency applications | SOITEC |
10943817 | Etch-stop layer topography for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10943818 | Semiconductor device and method | -- |
10943819 | Semiconductor structure having a plurality of capped protrusions | -- |
10943820 | Gap-fill method having improved gap-fill capability | -- |
10943822 | Forming gate line-end of semiconductor structures | -- |
10943826 | Method for arranging a plurality of seed substrates on a carrier element and carrier element having seed substrates | NEXWAFE GMBH |
10943827 | Semiconductor device with fin structures | -- |
10943829 | Slot contacts and method forming same | -- |
10943830 | Self-aligned structure for semiconductor devices | -- |
10943831 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943832 | Semiconductor device and manufacturing method thereof | -- |
10943833 | Silicon and silicon germanium nanowire formation | -- |
10943834 | Replacement contact process | APPLIED MATERIALS, INC. |
10943835 | Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943836 | Gallium nitride NMOS on Si (111) co-integrated with a silicon PMOS | INTEL CORPORATION |
10943837 | Device having overlapping semiconductor fins oriented in different directions | STMICROELECTRONICS, INC. |
10943840 | Display device including an adhesive layer | SAMSUNG DISPLAY CO., LTD. |
10943847 | Semiconductor chip and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10943850 | Piezoelectric MEMS-based active cooling for heat dissipation in compute devices | FRORE SYSTEMS INC. |
10943856 | System in package device including inductor | TEXAS INSTRUMENTS INCORPORATED |
10943860 | Semiconductor device with flexible circuit for enabling non-destructive attaching and detaching of device to system board | MICRON TECHNOLOGY, INC. |
10943862 | Integrated filler capacitor cell device and corresponding manufacturing method | STMICROELECTRONICS (ROUSSET) SAS |
10943873 | Semiconductor device structure comprising a plurality of metal oxide fibers and method for forming the same | -- |
10943892 | Light-emitting semiconductor chip, light-emitting component and method for producing a light-emitting component | OSRAM OLED GMBH |
10943893 | Stretchable display device | LG DISPLAY CO., LTD. |
10943894 | Optical device having lens block having recessed portion covering photoelectric conversion block | ASAHI KASEI MICRODEVICES CORPORATION |
10943895 | Method of fabricating a plurality of linear arrays with submicron y-axis alignment | XEROX CORPORATION |
10943896 | Power MOS device having an integrated current sensor and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
10943897 | Method of forming three-dimensional integrated circuit having ESD protection circuit | -- |
10943898 | High switching frequency, low loss and small form factor fully integrated power stage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943900 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10943901 | Semiconductor device and method | -- |
10943902 | Forming strained channels for CMOS device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943903 | Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943904 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
10943908 | Method of forming semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10943911 | Vertical transport devices with greater density through modified well shapes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943912 | Method for fabricating semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10943913 | Strap-cell architecture for embedded memory | -- |
10943920 | Methods of fabricating integrated structures | MICRON TECHNOLOGY, INC. |
10943921 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10943924 | Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943925 | Method of forming FinFET channel and structures thereof | -- |
10943926 | Thin-film transistor, array substrate, display panel and display device and fabrication method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10943927 | Array substrate, fabrication method thereof, driving transistor and display panel | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10943928 | Display substrate, method for manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10943931 | Wafers with etchable sacrificial patterns, anchors, tethers, and printable devices | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10943932 | Light-receiving element, method of manufacturing light-receiving element, imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10943934 | Multilevel semiconductor device and structure | MONOLITHIC 3D INC. |
10943936 | Method of producing an optical sensor at wafer-level and optical sensor | AMS AG |
10943938 | Image sensor and manufacturing method thereof | -- |
10943939 | Via structures including etch-delay structures and semiconductor devices having via plugs | SAMSUNG ELECTRONICS CO., LTD. |
10943941 | Pixel structure, image sensor, image capturing apparatus, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10943942 | Image sensor device and method of forming the same | -- |
10943947 | Display device and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10943948 | Magnetic tunnel junction (MTJ) device and forming method thereof | -- |
10943949 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10943950 | Magnetic memory devices with enhanced tunnel magnetoresistance ratio (TMR) and methods of fabrication | INTEL CORPORATION |
10943951 | Spin orbit torque magnetic random access memory structures and methods for fabrication | NATIONAL UNIVERSITY OF SINGAPORE |
10943952 | Threshold switch for memory | SANDISK TECHNOLOGIES LLC |
10943953 | Semiconductor devices, hybrid transistors, and related methods | MICRON TECHNOLOGY, INC. |
10943954 | Panel, display device, and vehicle-mounted display device | LG DISPLAY CO., LTD. |
10943958 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10943960 | Optical filter for anti-reflection and organic light-emitting device | LG CHEM, LTD. |
10943961 | Display device having a reinforcing layer | SAMSUNG DISPLAY CO., LTD. |
10943962 | Electroluminescence display apparatus | LG DISPLAY CO., LTD. |
10943963 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943964 | Display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10943966 | Display device | JAPAN DISPLAY INC. |
10943968 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10943971 | Display device | SAMSUNG DISPLAY CO., LTD. |
10943972 | Precision BEOL resistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943973 | Integrated circuit comprising low voltage capacitive elements | STMICROELECTRONICS (ROUSSET) SAS |
10943974 | Method for producing a semiconductor component having a channel stopper region | INFINEON TECHNOLOGIES AG |
10943975 | Method of manufacturing a semiconductor device having side-diffused trench plug | LITTELFUSE, INC. |
10943976 | Metal-oxide semiconductor (MOS) device structure based on a poly-filled trench isolation region | ALLEGRO MICROSYSTEMS, LLC |
10943977 | GAA FET with U-shaped channel | -- |
10943978 | High voltage device and manufacturing method thereof | -- |
10943979 | Semiconductor device having a semiconductor body composed of silicon carbide | INFINEON TECHNOLOGIES AG |
10943981 | Semiconductor device | FLOSFIA INC. |
10943982 | Nanoporous semiconductor materials | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10943983 | Integrated circuits having protruding interconnect conductors | -- |
10943984 | Thin film transistor and manufacturing method thereof, display substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10943985 | Cover member for a robot used in a painting process having absorptive properties | TD INDUSTRIAL COVERINGS, INC. |
10943986 | Transistors, memory cells and semiconductor constructions comprising ferroelectric gate dielectric | MICRON TECHNOLOGY, INC. |
10943987 | Latch-up resistant transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
10943988 | Thermally stable salicide formation for salicide first contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943989 | Gate to source/drain leakage reduction in nanosheet transistors via inner spacer optimization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943990 | Gate contact over active enabled by alternative spacer scheme and claw-shaped cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943991 | Semiconductor device and method for fabricating the same | -- |
10943992 | Transistor having straight bottom spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943993 | Semiconductor device and method for fabricating the same | -- |
10943994 | Manufacturing method for shielded gate trench device | SHANHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10943995 | Self-aligned passivation of active regions | -- |
10943996 | Method of manufacturing semiconductor device including non-volatile memories and logic devices | -- |
10943997 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10943998 | Digital alloy based back barrier for P-channel nitride transistors | HRL LABORATORIES, LLC |
10943999 | Field effect transistor and process of forming the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10944000 | Contacts for semiconductor devices | CYPRESS SEMICONDUCTOR CORPORATION |
10944001 | Deep trench and junction hybrid isolation | NXP USA, INC. |
10944002 | Integrated assemblies having a portion of a transistor gate extending into a recessed region of a semiconductor base, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10944003 | Vertical field effect transistor and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944004 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10944005 | Interfacial layer between fin and source/drain region | -- |
10944006 | Geometry tuning of fin based transistor | INTEL CORPORATION |
10944007 | Silicon on insulator semiconductor device with mixed doped regions | -- |
10944008 | Low noise amplifier transistors with decreased noise figure and leakage in silicon-on-insulator technology | SKYWORKS SOLUTIONS, INC. |
10944009 | Methods of fabricating a FinFET device with wrap-around silicide source/drain structure | -- |
10944010 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10944011 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10944012 | Area-efficient inverter using stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944013 | Self-aligned source/drain contact for vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944014 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10944015 | Semiconductor device | FLOSFIA INC. |
10944016 | Optical detection unit, optical detection device, and method for manufacturing optical detection unit | HAMAMATSU PHOTONICS K.K. |
10944017 | Stacked photoelectric conversion device and method for producing same | KANEKA CORPORATION |
10944018 | Semiconductor film and semiconductor element | ASAHI KASEI KABUSHIKI KAISHA |
10944019 | Photovoltaic battery | X DEVELOPMENT LLC |
10944021 | Virtual negative bevel and methods of isolating adjacent devices | LIGHTSPIN TECHNOLOGIES INC. |
10944022 | Solar cell with delta doping layer | THE BOEING COMPANY |
10944023 | Micro-LED transfer method and manufacturing method | GOERTEK. INC |
10944024 | Method for manufacturing micro light-emitting diode chips | -- |
10944025 | Light-emitting diode with multiple quantum wells and asymmetric p-n junction | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10944026 | Semiconductor light emitting device and method of manufacturing semiconductor light emitting device | NIKKISO CO., LTD. |
10944027 | Pixel modules with controllers and light emitters | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10944028 | LED light bulb having filament with conductive section providing with rivet structure | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10944029 | Light emitting device having a dam surrounding a light emitting region | SAMSUNG DISPLAY CO., LTD. |
10944030 | Light emitting device | NICHIA CORPORATION |
10944031 | Solid state lighting component package with conformal reflective coating | CREE, INC. |
10944032 | Light emitting diode assembly structure | -- |
10944033 | Heat transmissive optoelectronic component and module | OSRAM OLED GMBH |
10944034 | Light emitting diode structure | -- |
10944035 | Resin package and light-emitting device | NICHIA CORPORATION |
10944036 | Semiconductor light-emitting device | ROHM CO., LTD. |
10944037 | Thermoelectric material, thermoelectric element, optical sensor, and method for manufacturing thermoelectric material | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10944038 | Photodetector with superconductor nanowire transistor based on interlayer heat transfer | PSIQUANTUM CORP. |
10944039 | Fabricating transmon qubit flip-chip structures for quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944040 | Piezoelectric thin film-stacked body, piezoelectric thin film substrate, piezoelectric thin film device, piezoelectric actuator, piezoelectric sensor, head assembly, head stack assembly, hard disk drive, printer head, and ink-jet printer device | TDK CORPORATION |
10944041 | Hybrid ultrasonic transducer and method of forming the same | -- |
10944042 | Piezoelectric actuator and method for manufacturing piezoelectric actuator | BROTHER KOGYO KABUSHIKI KAISHA |
10944043 | Magnetoresistance effect element and method for manufacturing the same | TDK CORPORATION |
10944044 | MRAM structure with T-shaped bottom electrode to overcome galvanic effect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944045 | Magnetic memory | TDK CORPORATION |
10944046 | Semiconductor device | ROHM CO., LTD. |
10944047 | Thermally activated memristors, fabricating methods and applications of same | NORTHWESTERN UNIVERSITY |
10944048 | Semiconductor device with magnetic tunnel junction | -- |
10944049 | MTJ device performance by controlling device shape | -- |
10944050 | Magnetic tunnel junction structures and methods of manufacture thereof | APPLIED MATERIALS, INC. |
10944051 | Method of cleaning a substrate processing apparatus and the substrate processing apparatus performing the method | TOKYO ELECTRON LIMITED |
10944052 | Phase-change material (PCM) radio frequency (RF) switch using a chemically protective and thermally conductive layer | NEWPORT FAB, LLC |
10944053 | Systems and methods for gated-insulator reconfigurable non-volatile memory devices | UNIVERSITY OF CINCINNATI |
10944054 | Method for manufacturing organic device, and film forming device | SUMITOMO CHEMICAL COMPANY, LIMITED |
10944055 | Compound and organic photoelectric device, image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944056 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
10944057 | Compound, material for organic electroluminescence devices, organic electroluminescence device, and electronic equipment | IDEMITSU KOSAN CO., LTD. |
10944058 | Compounds and organic electronic devices | MERCK PATENT GMBH |
10944059 | Compound for organic optoelectronic device, organic optoelectronic device, and display device | SAMSUNG SDI CO., LTD. |
10944060 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10944061 | Organic electroluminescent element and compound | UDC IRELAND LIMITED |
10944062 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10944063 | Organometallic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944064 | Tetradentate metal complexes with carbon group bridging ligands | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10944065 | Mid and far-infrared nanocrystals based photodetectors with enhanced performances | NEXDOT |
10944066 | Photoresistor with improved sensitivity | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10944067 | Quantum dot light emitting diode and quantum dot light emitting display device including the same | LG DISPLAY CO., LTD. |
10944068 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10944069 | Organic electroluminescence device, method for manufacturing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10944070 | Display device having curved shape | SAMSUNG DISPLAY CO., LTD. |
10944071 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10944072 | Stretchable electroluminescent devices | CORNELL UNIVERSITY |
10944073 | Display panel having a crack barrier layer and display apparatus thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10944074 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
10944075 | Display panels, methods of manufacturing the same, and display terminals | -- |
10944076 | Organic electroluminescent device having thin film encapsulation structure and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10944077 | Process for manufacturing a pixel of an OLED micro-display | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10944078 | Organic light emitting diode and method of manufacturing the same | LG DISPLAY CO., LTD. |
10944079 | Flexible display panel and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10944080 | Display apparatus | LG DISPLAY CO., LTD. |
10944081 | Component module having a radiation-emitting curved component | OSRAM OLED GMBH |
10944082 | Vapor deposition apparatus | SAMSUNG DISPLAY CO., LTD. |
10944083 | Array substrate, method for manufacturing array substrate, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10944257 | Integrated silicon controlled rectifier (SCR) and a low leakage SCR supply clamp for electrostatic discharge (ESP) protection | STMICROELECTRONICS INTERNATIONAL N.V. |
10944258 | RC circuit triggered electrostatic discharge circuit | -- |
10944270 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10944340 | Power generation element, method for manufacturing power generation element, and actuator | NATIONAL UNIVERSITY CORPORATION KANAZAWA UNIVERSITY |
10944353 | Pneumatic actuation circuit system and method | SUNFOLDING, INC. |
10944357 | Management system, management method, control apparatus, and photovoltaic cell apparatus | KYOCERA CORPORATION |
10944362 | Coupling surface acoustic wave resonators to a Josephson ring modulator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944394 | Methods and apparatus to reduce leakage current | TEXAS INSTRUMENTS INCORPORATED |
10944396 | Semiconductor device and method for driving the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10944398 | Systems and methods for ultrafast plasmonic response in doped, colloidal nanostructures | UCHICAGO ARGONNE, LLC |
10944399 | Multi-level spin logic | INTEL CORPORATION |
10944404 | Low power ferroelectric based majority logic gate adder | KEPLER COMPUTING, INC. |
10945343 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
10945499 | Decorative composite body having a transparent, electrically conductive layer and a solar cell | D. SWAROVSKI KG |
10945643 | Microelectronic sensor for biometric authentication | EPITRONIC HOLDINGS PTE. LTD. |
10946430 | Screen stretcher device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10947107 | Device and method of fabricating such a device | THE UNIVERSITY OF MANCHESTER |
10947109 | Semiconductor component and method for producing same | INFINEON TECHNOLOGIES AG |
10947111 | Method for frequency trimming a microelectromechanical resonator | GEORGIA TECH RESEARCH CORPORATION |
10947119 | Metal halide crystals having a nanotube structure and methods | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10947126 | Dielectric, capacitor and semiconductor device including the same, and method of preparing the dielectric | SAMSUNG ELECTRONICS CO., LTD. |
10947152 | Electrostatic doping of a layer of a conductive or non-conductive material | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIC |
10947212 | Photoelectric conversion element, two-dimensional sensor, image sensor, and image pickup device | CANON KABUSHIKI KAISHA |
10947326 | Adhesive composition, cured article, semiconductor device, and production method for same | SHOWA DENKO MATERIALS CO., LTD. |
10947384 | Curable resin composition, cured product thereof, and semiconductor device | DAICEL CORPORATION |
10947449 | Organic light-emitting diode with high efficiency and long lifetime | SFC CO., LTD. |
10947451 | Nitride fluorescent material, method of producing nitride fluorescent material and light emitting device | NICHIA CORPORATION |
10947616 | Method for forming vapor deposition pattern, pressing-plate-integrated type pressing member, vapor deposition apparatus, and method for producing organic semiconductor element | DAI NIPPON PRINTING CO., LTD. |
10947641 | Group III nitride semiconductor substrate and method of manufacturing group III nitride semiconductor substrate | FURUKAWA CO., LTD. |
10948137 | Color conversion panel and display device including color conversion panel | SAMSUNG DISPLAY CO., LTD. |
10948163 | Backlight unit | SEOUL SEMICONDUCTOR CO., LTD. |
10948360 | Switching element having temperature monitoring and method for temperature monitoring | ROBERT BOSCH GMBH |
10948447 | Ultra-compact, passive, wireless sensor using quantum capacitance effect in graphene | REGENTS OF THE UNIVERSITY OF MINNESOTA |
10948554 | Magnetoresistive sensor package with encapsulated initialization coil | MULTIDIMENSION TECHNOLOGY CO., LTD. |
10948555 | Magnetic field sensor and magnetoresistance element structure having at least two magnetoresistance elements disposed in a proximate arrangement | ALLEGRO MICROSYSTEMS, LLC |
10948627 | Infrared subwavelength focusing in silicon and energy harvesting devices | THE AMERICAN UNIVERSITY IN CAIRO |
10948643 | Optical film | -- |
10948734 | Light control film with varied viewing angle | 3M INNOVATIVE PROPERTIES COMPANY |
10948753 | Flexible substrate and flexible display device including the same | LG DISPLAY CO., LTD. |
10948770 | Light source, back light unit, and display device | SAMSUNG ELECTRONICS CO., LTD. |
10948784 | Light emitting apparatus and window | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10948793 | Display device | JAPAN DISPLAY INC. |
10948794 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10948854 | Bias circuit, image formation device and image forming apparatus | RICOH COMPANY, LTD. |
10949018 | Display module and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10949026 | Optoelectronic device and methods of use | DOW GLOBAL TECHNOLOGIES LLC |
10949031 | Touch display substrate and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
10949038 | Organic light-emitting display panel and organic light-emitting display device having built-in touchscreen | LG DISPLAY CO., LTD. |
10949551 | Policy aware unified file system | HUAWEI INTERNATIONAL PTE. LTD. |
10949601 | Reducing chemoepitaxy directed self-assembled defects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10949638 | Manufacturing process of a pixel array of a thermal pattern sensor and associated sensor | IDEMIA IDENTITY & SECURITY FRANCE |
10949769 | Co-planar waveguide flux qubits | GOOGLE LLC |
10950173 | Organic light-emitting display panel and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10950175 | Pixel arrangement and reflector structure of LED display and method of forming same | -- |
10950177 | Display device including a sub-gate electrode | SAMSUNG DISPLAY CO., LTD. |
10950193 | Display apparatus with touch sensor | LG DISPLAY CO., LTD. |
10950260 | Magnetoresistive sensor with improved magnetic properties and magnetostriction control | WESTERN DIGITAL TECHNOLOGIES, INC. |
10950297 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950299 | System and method for cryogenic hybrid technology computing and memory | SEEQC, INC. |
10950301 | Two transistor, one resistor non-volatile gain cell memory and storage element | INTEL CORPORATION |
10950302 | Resistive memory device | -- |
10950381 | Surface-mounted LC device | MURATA MANUFACTURING CO., LTD. |
10950384 | Method used in forming an electronic device comprising conductive material and ferroelectric material | MICRON TECHNOLOGY, INC. |
10950391 | Photoelectric conversion device and manufacturing method and apparatus thereof | KABUSHIKI KAISHA TOSHIBA |
10950408 | Apparatuses, systems, and methods for ion traps | HONEYWELL INTERNATIONAL INC. |
10950427 | Quantum dots and production method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10950431 | Low-k feature formation processes and structures formed thereby | -- |
10950434 | Methods of reducing gate spacer loss during semiconductor manufacturing | -- |
10950435 | SiC epitaxial wafer, method for manufacturing SiC epitaxial wafer, SiC device, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10950436 | Array substrate manufacturing using fluorine and hydrogenation processes | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950437 | Laser annealing method, laser annealing apparatus, and thin film transistor substrate | V TECHNOLOGY CO., LTD. |
10950446 | Manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10950447 | Semiconductor device having hydrogen in a dielectric layer | -- |
10950450 | Silicide films through selective deposition | APPLIED MATERIALS, INC. |
10950453 | Advanced etching technologies for straight, tall and uniform fins across multiple fin pitch structures | INTEL CORPORATION |
10950456 | High-density semiconductor device | -- |
10950461 | Method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950479 | Method for manufacturing light emitting device | -- |
10950487 | Method for forming an alignment mark | INFINEON TECHNOLOGIES AUSTRIA AG |
10950488 | Integration of finFET device | TEXAS INSTRUMENTS INCORPORATED |
10950489 | Devices and methods for radiation hardening integrated circuits using shallow trench isolation | TALLANNQUEST LLC |
10950490 | Semiconductor device having isolation structures with different thicknesses | -- |
10950492 | Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950493 | Interconnects having air gap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950505 | Multiple finFET formation with epitaxy separation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950506 | Forming single and double diffusion breaks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950509 | Semiconductor device with integrated shunt resistor | INFINEON TECHNOLOGIES AG |
10950511 | Die carrier package and method of forming same | MEDTRONIC, INC. |
10950515 | Semiconductor device, manufacturing method of semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950524 | Heterojunction semiconductor device for reducing parasitic capacitance | -- |
10950533 | Through electrode substrate and semiconductor device | DAI NIPPON PRINTING CO., LTD. |
10950534 | Through-substrate via structure and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950537 | Land side and die side cavities to reduce package z-height | INTEL CORPORATION |
10950540 | Enhancing integrated circuit density with active atomic reservoir | -- |
10950543 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950549 | ILD gap fill for memory device stack array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950555 | Ultra-low profile package shielding technique using magnetic and conductive layers for integrated switching voltage regulator | INTEL CORPORATION |
10950566 | Semiconductor device and method for manufacturing the semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950577 | Redistribution layers in semiconductor packages and methods of forming same | -- |
10950583 | Transfer head and transfer system for semiconductor light-emitting device and method for transferring semiconductor light-emitting device | LG ELECTRONICS INC. |
10950584 | White light emitting devices having high luminous efficiency and improved color rendering that include pass-through violet emissions | IDEAL INDUSTRIES LIGHTING LLC |
10950585 | Tunable LED-filaments and tunable LED-filament lamps | INTEMATIX CORPORATION |
10950587 | Printed circuit board and package structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950588 | Chip package structure and manufacturing method thereof | -- |
10950589 | Optoelectronic apparatus, carrier device, optoelectronic transmitter and optoelectronic receiver | VISHAY SEMICONDUCTOR GMBH |
10950590 | Light emitting device | NICHIA CORPORATION |
10950591 | Display with embedded pixel driver chips | APPLE INC. |
10950594 | Integrated circuit and method of fabricating the same | -- |
10950596 | Diode with current sensor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950600 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950601 | Current source using emitter region as base region isolation structure | NEXCHIP SEMICONDUCTOR CORPORATION |
10950602 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10950603 | Semiconductor device and method | -- |
10950604 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950605 | Semiconductor device | -- |
10950606 | Dual fin endcap for self-aligned gate edge (SAGE) architectures | INTEL CORPORATION |
10950608 | Semiconductor device having junctionless vertical gate transistor and method of manufacturing the same | SK HYNIX INC. |
10950609 | Gate-all-around (GAA) and fin field-effect transistor (FinFet) hybrid static random-access memory (SRAM) | QUALCOMM INCORPORATED |
10950610 | Asymmetric gate cut isolation for SRAM | GLOBALFOUNDRIES U.S. INC. |
10950611 | Semiconductor device and manufacturing method thereof | -- |
10950612 | Three dimensional semiconductor memory with residual memory layer | SAMSUNG ELECTRONICS CO., LTD. |
10950614 | Single poly non-volatile memory device, method of manufacturing the same and single poly non-volatile memory device array | KEY FOUNDRY CO., LTD. |
10950616 | 3-dimensional NOR strings with segmented shared source regions | SUNRISE MEMORY CORPORATION |
10950617 | Memory device with multiple layers | TOSHIBA MEMORY CORPORATION |
10950619 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10950620 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
10950621 | Semiconductor substrate and semiconductor device | TOSHIBA MEMORY CORPORATION |
10950622 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10950623 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10950624 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10950625 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
10950626 | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes | SANDISK TECHNOLOGIES LLC |
10950628 | Vertical memory device and method of fabrication the same | SAMSUNG ELECTRONICS CO., LTD. |
10950633 | Semiconductor device, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950634 | Metal oxide and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950635 | Orthogonal transistor layouts | SKYWORKS SOLUTIONS, INC. |
10950636 | Array substrate with openings in insulation layer for auxiliary electrode and method for fabricating thereof | -- |
10950646 | Solid-state imaging device, method of manufacturing the same, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950647 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10950649 | Backside illuminated image sensor and method of manufacturing the same | DB HITEK CO., LTD. |
10950652 | Light-emitting structure having a plurality of light-emitting structure units | -- |
10950655 | Transducer and inspection device | KABUSHIKI KAISHA TOSHIBA |
10950656 | Semiconductor memory device and method for fabricating the same | -- |
10950657 | Apparatus and methods for integrating magnetoresistive devices | EVERSPIN TECHNOLOGIES. INC. |
10950659 | Multilayered seed for perpendicular magnetic structure | AVALANCHE TECHNOLOGY, INC. |
10950660 | Perpendicular STTM free layer including protective cap | INTEL CORPORATION |
10950661 | Integrated circuits with resistive non-volatile memory cells and methods for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10950662 | Resistive memory device with meshed electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950663 | Cross-point memory array and related fabrication techniques | MICRON TECHNOLOGY, INC. |
10950664 | Semiconductor memory device including phase change material layers and method for manufacturing thereof | -- |
10950665 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10950666 | Pixel structure, OLED display screen and evaporation mask | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10950667 | Display panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950668 | Display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10950669 | Display device | SAMSUNG DISPLAY CO., LTD. |
10950670 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950671 | Flexible touch panel, method for manufacturing the same and flexible touch device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950672 | Flexible display device with hardened layer, display apparatus, and method for manufacturing the flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950673 | Display device structure for reducing defects | SAMSUNG DISPLAY CO., LTD. |
10950674 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950675 | Signal control unit for an organic light emitting diode display device, method of manufacturing the same, and organic light emitting diode display device including the same | DB HITEK CO., LTD. |
10950677 | Array substrate, manufacturing method thereof, and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950678 | Thin film transistor substrate and organic light-emitting display using the same | SAMSUNG DISPLAY CO., LTD. |
10950680 | Light-emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10950681 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950682 | Method for manufacturing organic electroluminescent device | SAKAI DISPLAY PRODUCTS CORPORATION |
10950683 | Organic light-emitting diode display and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10950684 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10950685 | Tiled electronic device | -- |
10950686 | Semiconductor device including a chip capacitor mounted on a wiring substrate | RENESAS ELECTRONICS CORPORATION |
10950687 | Manufacturing method of substrate structure | -- |
10950688 | Packages for power modules with integrated passives | KEMET ELECTRONICS CORPORATION |
10950689 | Semiconductor device with a through-substrate via hole having therein a capacitor and a through-substrate via conductor | NANYANG TECHNOLOGICAL UNIVERSITY |
10950690 | Power electronic arrangement | INFINEON TECHNOLOGIES AG |
10950691 | Power converter circuit having a controller for generating a drive signal for driving an electronic switch with high avalanche robustness | INFINEON TECHNOLOGIES AUSTRIA AG |
10950692 | Methods of forming air gaps between source/drain contacts and the resulting devices | GLOBALFOUNDRIES U.S. INC. |
10950693 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
10950694 | Doping for semiconductor device with conductive feature | -- |
10950695 | Silicon carbide planar MOSFET with wave-shaped channel regions | SEMIQ INCORPORATED |
10950696 | Silicon carbide semiconductor component | INFINEON TECHNOLOGIES AG |
10950699 | Termination for vertical trench shielded devices | VISHAY-SILICONIX, LLC |
10950700 | Semiconductor device and manufacturing method of semiconductor device | SK HYNIX INC. |
10950703 | Semiconductor structure for memory device and method for forming the same | -- |
10950704 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10950705 | Active matrix substrate | SHARP KABUSHIKI KAISHA |
10950706 | Nano-scale energy conversion device | BIRMINGHAM TECHNOLOGIES, INC. |
10950707 | Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
10950708 | Dishing prevention dummy structures for semiconductor devices | -- |
10950709 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950710 | Fin-type field effect transistor | -- |
10950711 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950712 | Semiconductor device and method for fabricating the same | -- |
10950713 | Method and device for forming cut-metal-gate feature | -- |
10950714 | Semiconductor device and manufacturing method thereof | -- |
10950715 | Method of manufacturing semiconductor device including non-volatile memories and logic devices | -- |
10950716 | Metal oxide TFT, manufacturing method thereof, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950717 | Semiconductor device having semiconductor regions with an impurity concentration distribution which decreases from a respective peak toward different semiconductor layers | FUJI ELECTRIC CO., LTD. |
10950718 | IGBT with fully depletable n- and p-channel regions | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10950719 | Seminconductor device with spreading layer | CREE, INC. |
10950720 | Electrostatic discharge guard ring with complementary drain extended devices | TEXAS INSTRUMENTS INCORPORATED |
10950721 | Self-aligned high voltage transistor | QUALCOMM INCORPORATED |
10950722 | Vertical gate all-around transistor | STMICROELECTRONICS, INC. |
10950723 | Semiconductor device and circuit having the same | DENSO CORPORATION |
10950724 | Method of fabricating a semiconductor device including vertical-type field effect transistors | SAMSUNG ELECTRONICS CO., LTD. |
10950725 | Epitaxial source/drain structure and method of forming same | -- |
10950726 | Semiconductor device, CMOS circuit, and electronic apparatus with stress in channel region | SONY CORPORATION |
10950727 | Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer | ACORN SEMI, LLC |
10950728 | Fin field effect transistor (FinFET) device structure with isolation layer and method for forming the same | -- |
10950729 | Contact structure with insulating cap | -- |
10950730 | Merged source/drain features | -- |
10950731 | Inner spacers for gate-all-around semiconductor devices | -- |
10950732 | Semiconductor device and method of manufacturing the same | -- |
10950733 | Deep gate-all-around semiconductor device having germanium or group III-V active layer | GOOGLE LLC |
10950734 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950735 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10950736 | Substrates and transistors with 2D material channels on 3D geometries | SYNOPSYS, INC. |
10950737 | Semiconductor structures and manufacturing the same | ELFYS OY |
10950738 | Chip package and method for forming the same | -- |
10950739 | Photodiode with improved power absorption | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10950740 | Solar cells having differentiated P-type and N-type architectures | SUNPOWER CORPORATION |
10950741 | Semiconductor nanocrystal, and method of preparing the same | SAMSUNG ELECTRONICS CO., LTD. |
10950742 | Method for preparing a compound-based film for use in a solar cell by photo-electrodeposition | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10950743 | Time of flight (TOF) sensor with transmit optic providing for reduced parallax effect | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10950744 | Light receiving element and method of manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10950745 | Manufacturing systems and methods including inline cutting | 3M INNOVATIVE PROPERTIES COMPANY |
10950746 | Method for producing a plurality of optoelectronic components, and optoelectronic component | OSRAM OLED GMBH |
10950747 | Heterostructure for an optoelectronic device | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10950748 | Method for preventing crack extensions during lift-off process | MIKRO MESA TECHNOLOGY CO., LTD. |
10950749 | Light emission device including output coupler and optical apparatus adopting the same | SAMSUNG ELECTRONICS CO., LTD. |
10950750 | Heterostructure and light-emitting device employing the same | BOLB INC. |
10950751 | Deep ultraviolet LED and method for manufacturing the same | MARUBUN CORPORATION |
10950752 | Method of producing a radiation-emitting semiconductor chip and radiation-emitting semiconductor chip | OSRAM OLED GMBH |
10950753 | Lighting assembly | FORD GLOBAL TECHNOLOGIES, LLC |
10950754 | Semiconductor device increasing light output | LG INNOTEK CO., LTD. |
10950755 | Light emitting diode, method of fabricating the same and LED module having the same | SEOUL VIOSYS CO., LTD. |
10950756 | Light emitting device including a passivation layer on a light emitting structure | LG INNOTEK CO., LTD. |
10950757 | Flip chip type light emitting diode chip | SEOUL VIOSYS CO., LTD. |
10950758 | Light-emitting device with reflective layer | -- |
10950759 | LED module | ROHM CO., LTD. |
10950760 | Two component glass body for tape casting phosphor in glass LED converters | OSRAM OPTO SEMICONDUCTORS GMBH |
10950761 | Matrix-incorporated organic-inorganic metal halide perovskite nano-particles as luminescent material | CAMBRIDGE ENTERPRISE LIMITED |
10950762 | Round chip scale package and manufacturing method therefor | LIGHTIZER CO., LTD |
10950763 | Method of manufacturing light emitting module | NICHIA CORPORATION |
10950764 | Light-emitting device | NICHIA CORPORATION |
10950765 | Method for producing at least one optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
10950767 | Light-emitting device and method of preparing same, optical semiconductor element mounting package, and optical semiconductor device using the same | SHENZHEN JUFEI OPTOELECTRONICS CO., LTD. |
10950768 | Circuit board and light-emitting device provided with same | KYOCERA CORPORATION |
10950769 | Light emitting diode (LED) components including multiple LED dies that are attached to lead frames | CREE, INC. |
10950770 | Method for producing an electronic device | NICHIA CORPORATION |
10950771 | Light-emitting device | -- |
10950772 | Light emitting device | NICHIA CORPORATION |
10950773 | Light emitting diode devices | -- |
10950774 | Thermoelectric materials and devices comprising graphene | THE UNIVERSITY OF MANCHESTER |
10950775 | Conversion material | LEIPZIG |
10950776 | Thermoelectric power generation device | YANMAR POWER TECHNOLOGY CO., LTD. |
10950777 | Conversion of heat to electricity using phase transformations in ferroelectric oxide capacitors | REGENTS OF THE UNIVERSITY OF MINNESOTA |
10950778 | Superconducting bump bond electrical characterization | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10950779 | Piezoelectric element and device using same | TEIJIN LIMITED |
10950780 | Manufacturing method for electrode of high-temperature piezoelectric element | XIAMEN NIELL ELECTRONICS CO., LTD. |
10950781 | Method of manufacturing piezoelectric thin film and piezoelectric sensor manufactured using piezoelectric thin film | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10950782 | Nitride diffusion barrier structure for spintronic applications | HEADWAY TECHNOLOGIES, INC. |
10950783 | Magnetoresistive element and magnetic sensor | TDK CORPORATION |
10950784 | RRAM with a barrier layer | -- |
10950786 | Layer cost scalable 3D phase change cross-point memory | -- |
10950787 | Method having resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950788 | Resistive memory device having an oxide barrier layer | 4DS MEMORY, LIMITED |
10950789 | Resisitive random access memory structure and method for forming the same | -- |
10950790 | Two-terminal electronic charge resistance switching device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10950791 | Apparatuses including electrodes having a conductive barrier material and methods of forming same | MICRON TECHNOLOGY, INC. |
10950792 | Formulation of an organic functional material | MERCK PATENT GMBH |
10950793 | Display panel having cathode connected to auxiliary electrode through conductive spacers and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950794 | Methods for forming a perovskite solar cell | UNIVERSITY OF LOUISVILLE RESEARCH FOUNDATION, INC. |
10950795 | Fullerene derivative blends, methods of making and uses thereof | NANO-C, INC. |
10950796 | Light-emitting element and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10950797 | Organic electroluminescent device | HODOGAYA CHEMICAL CO., LTD. |
10950798 | Organic electronic devices comprising a layer of a pyridine compound and a 8-hydroxypquinolinolato earth alkaline metal, or alkali metal complex | UDC IRELAND LIMITED |
10950799 | Organic electroluminescent element, display device, lighting device, PI-conjugated compound, and light-emitting thin film | MERCK PATENT GMBH |
10950800 | Compounds for infrared light sensing devices, infrared light sensing devices, image sensors, and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950801 | Organic light-emitting device | LG CHEM, LTD. |
10950802 | Organic light-emitting diode with high efficiency | SFC CO., LTD. |
10950803 | Compounds and uses in devices | UNIVERSAL DISPLAY CORPORATION |
10950804 | Light-emitting layer and preparation method, organic light emitting diode device and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10950805 | Electronic device, light-emitting device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950806 | Organic electroluminescent compound and organic electroluminescent device comprising the same | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
10950807 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10950808 | Method of preparing organic electronic device | LG DISPLAY CO., LTD. |
10950809 | Flexible display panel having a photoresist supporting element | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950810 | Photoelectric conversion element, and method and apparatus for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10950811 | Optoelectronic component | HELIATEK GMBH |
10950812 | Organic light emitting display device (OLED) having p-type charge generation layer (CGL) formed between emissions stack | LG DISPLAY CO., LTD. |
10950813 | Optical modifier and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10950814 | Organic light-emitting diode having long lifespan property | SFC CO., LTD. |
10950815 | Light-emitting element comprising stacked light-emitting layers, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950816 | Display device for suppressing light emission in adjacent pixel due to current leakage | JAPAN DISPLAY INC. |
10950817 | Lighting apparatus using organic light emitting diode having conductive layer with high resistance on substrate | LG DISPLAY CO., LTD. |
10950818 | Apparatus and method for manufacturing display assembly | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950819 | Electroluminescence display device | LG DISPLAY CO., LTD. |
10950821 | Method of encapsulating an environmentally sensitive device | SAMSUNG DISPLAY CO., LTD. |
10950822 | Display device capable of improving light extraction efficiency | LG DISPLAY CO., LTD. |
10950823 | Light emitting device and display apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950824 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10950825 | Method for manufacturing organic electronic device | SUMITOMO CHEMICAL COMPANY, LIMITED |
10950826 | Techniques for print ink droplet measurement and control to deposit fluids within precise tolerances | KATEEVA, INC. |
10950827 | Coating-type organic electroluminescent device, and a display device and lighting device including the same | LG DISPLAY CO., LTD. |
10951008 | Creating arbitrary patterns on a 2-d uniform grid VCSEL array | APPLE INC. |
10951034 | Protection for an HVDC network | SUPERGRID INSTITUTE |
10951136 | Circuit for producing electrical energy | CALAGEN, INC. |
10951159 | Solar tracker control system and method | SUNFOLDING, INC. |
10951160 | Apparatus for increasing energy yield in bifacial photovoltaic modules | SAUDI ARABIAN OIL COMPANY |
10951162 | Dual-position mount for power electronic devices | ENPHASE ENERGY, INC. |
10951167 | Semiconductor device | CANON KABUSHIKI KAISHA |
10951213 | Majority logic gate fabrication | KEPLER COMPUTING, INC. |
10952324 | Spacer for surface mountable electronic components | FACEBOOK TECHNOLOGIES, LLC |
10952342 | Window panel, display device including the window panel, and manufacturing method of the window panel | SAMSUNG DISPLAY CO., LTD. |
10952382 | Light emitting device and plant cultivation method | NICHIA CORPORATION |
10952642 | Strain sensor unit and skin sensor module comprising the same | AMOREPACIFIC CORPORATION |
10952759 | Tissue loading of a surgical instrument | ETHICON LLC |
10953319 | Spin transfer MRAM element having a voltage bias control | -- |
10953436 | Acoustophoretic device with piezoelectric transducer array | FLODESIGN SONICS, INC. |
10953560 | Conversion and application of material strips | 3M INNOVATIVE PROPERTIES COMPANY |
10953635 | Laminated glazing with coloured reflection and high solar transmittance suitable for solar energy systems | SWISSINSO SA |
10953793 | Haptic function leather component and method of making the same | HONDA MOTOR CO., LTD. |
10953956 | Method and system for a towed vessel suitable for transporting liquids | -- |
10954118 | Device with a suspended membrane having an increased amplitude of displacement | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10954132 | Electronically abrupt borophene/organic lateral heterostructures and preparation thereof | NORTHWESTERN UNIVERSITY |
10954218 | Carbazole derivative and organic light emitting device using same | LG CHEM, LTD. |
10954227 | Nitrogen-containing cyclic compound and color conversion film comprising same | LG CHEM, LTD. |
10954245 | Thermal active delay fluorescent material, method for manufacturing same, and organic light-emitting diode device | WUHAN CHINA STAR OPTOELECTRONICS |
10954254 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10954403 | Ink composition of an organic functional material | MERCK PATENT GMBH |
10954436 | Polynitrogen compounds and uses thereof as fluorescent chromophores | UNIVERSITE D'ORLEANS |
10954438 | Process for improved halide materials | CURRENT LIGHTING SOLUTIONS, LLC |
10954439 | Semiconductor nanoparticles, method of producing the semiconductor nanoparticles, and light-emitting device | NAGOYA |
10954440 | Quantum dots and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10954441 | Quantum dots | SAMSUNG ELECTRONICS CO., LTD. |
10954607 | High-efficiency transmission-mode diamond scintillator for quantitative characterization of X-ray beams | EUCLID TECHLABS, LLC |
10954608 | UV-transparent aluminum nitride single crystal having a diameter of 35 mm to 150 mm and a predefined UV transparency metric at a wavelength of 265 nm | CRYSTAL IS, INC. |
10955109 | Portable lighting device | -- |
10955114 | Phosphor and light-emitting apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10955123 | Micro-LED module and method for fabricating the same | LUMENS CO., LTD. |
10955174 | Thermoelectric module | -- |
10955234 | Calibration of depth sensing using a sparse array of pulsed beams | APPLE INC. |
10955297 | Systems and methods for monitoring junction temperature of a semiconductor switch | GENERAL ELECTRIC COMPANY |
10955301 | Two-dimensional center of pressure sensor systems, devices, and methods | UNIVERSITY OF MARYLAND, COLLEGE PARK |
10955304 | N-implant electrical shield for piezo-resistor sensor | MELEXIS TECHNOLOGIES NV |
10955343 | Light detection devices with protective liner and methods related to same | ILLUMINA, INC. |
10955384 | Arrangement and method for influencing and/or detecting a dynamic or static property of a support structure | FRAUNHOFER-GESELLSCHAFT ZUR FORDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10955417 | Reagents for direct biomarker signal amplification | SIRIGEN II LIMITED |
10955439 | Electrochemical cleaning of test probes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955568 | X-ray sensitive device to detect an inspection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955601 | Optical film, method for producing optical film, and display device | FUJIFILM CORPORATION |
10955681 | Lighting device with miniature illumination light sources and optical lens sheet | ABL IP HOLDING LLC |
10955700 | Transparent panel provided with light emitting function | STANLEY ELECTRIC CO., LTD. |
10955713 | Display device having an electrostatic protecting component overlapped by a shielding layer | -- |
10955719 | Transparent photovoltaic coating for an electro-chromic device | GENTEX CORPORATION |
10955735 | Colour projector with two emissive displays | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10955747 | Apparatus and methods of electrically conductive optical semiconductor coating | GOODRICH CORPORATION |
10955952 | Display panel having built-in touchscreen, display device having built-in touch screen, integrated driving circuit, and driving method | LG DISPLAY CO., LTD. |
10955954 | Flexible substrate and flexible display panel, flexible display device thereof and method of using flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
10955976 | Flexible display | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10956623 | Enclosure with tamper respondent sensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10956645 | Adaptive multi-tier power distribution grids for integrated circuits | QUALCOMM INCORPORATED |
10956700 | Display device | BEIJING BOE DISPLAY TCHNOLOGY CO., LTD. |
10956828 | Transmon qubit flip-chip structures for quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957225 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
10957237 | Circuit, semiconductor device, display device, electronic device, and driving method of circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957241 | Display, LED chip therefor, pixel therefor, controlling method therefor, computer program therefor | FACEBOOK TECHNOLOGIES, LLC |
10957249 | Light emitting display device having normal and standby modes and driving method thereof | LG DISPLAY CO., LTD. |
10957252 | Active matrix OLED display with normally-on thin-film transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957253 | Pixel and organic light emitting display device having the pixel | SAMSUNG DISPLAY CO., LTD. |
10957255 | Display device and driving method thereof | SAMSUNG DISPLAY CO., LTD. |
10957351 | Microactuator, head suspension assembly and disk device | KABUSHIKI KAISHA TOSHIBA |
10957362 | Non-interfering micro-positioning system utilizing piezoelectric elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957370 | Integration of epitaxially grown channel selector with two terminal resistive switching memory element | SPIN MEMORY, INC. |
10957373 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10957387 | Multi-level cell (MLC) techniques and circuits for cross-point memory | INTEL CORPORATION |
10957389 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. |
10957392 | 2D and 3D sum-of-products array for neuromorphic computing system | -- |
10957399 | Memory and operation method thereof | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10957412 | Memory device and operating method of the memory device | SK HYNIX INC. |
10957483 | Induction coil assembly and method for manufacturing same | CATERPILLAR INC. |
10957538 | Method of forming and transferring thin film using SOI wafer and heat treatment process | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10957540 | Semiconductor epitaxy bordering isolation structure | -- |
10957544 | Gate cut with high selectivity to preserve interlevel dielectric layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957545 | Method for manufacturing semiconductor device | -- |
10957550 | Semiconductor structure and formation method thereof | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10957551 | Fin-like field effect transistor patterning methods for increasing process margins | -- |
10957574 | Electrostatic chuck and substrate fixing apparatus | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10957578 | Single diffusion break device for FDSOI | GLOBALFOUNDRIES U.S. INC. |
10957585 | Semiconductor device and method of forming the same | -- |
10957586 | FDSOI with on-chip physically unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957589 | Self-aligned contact and method for forming the same | -- |
10957592 | Through electrode substrate, method of manufacturing through electrode substrate, and mounting substrate | DAI NIPPON PRINTING CO., LTD. |
10957598 | Terahertz device | ROHM CO., LTD. |
10957599 | Integrating extra gate VFET with single gate VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957600 | Methods for forming Fin field-effect transistors | -- |
10957601 | Self-aligned fin recesses in nanosheet field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957602 | Method for direct forming stressor, semiconductor device having stressor, and method for forming the same | -- |
10957603 | Vertical FET devices with multiple channel lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957604 | Semiconductor device and method | -- |
10957605 | VFET device design for top contact resistance measurement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957607 | Semiconductor device and method for manufacturing the same | -- |
10957619 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10957639 | Electronic component having a transistor and interdigitated fingers to form at least a portion of a capacitive component within the electronic component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957640 | Method for manufacturing a semiconductor structure | -- |
10957648 | Three-dimensional memory device containing contact via structure extending through source contact layer and dielectric spacer assembly | SANDISK TECHNOLOGIES LLC |
10957649 | Overpass dice stacks and methods of using same | INTEL CORPORATION |
10957653 | Methods for manufacturing semiconductor arrangements using photoresist masks | -- |
10957655 | Integrated circuit with inductors having electrically split scribe seal | TEXAS INSTRUMENTS INCORPORATED |
10957659 | Monolithic integration of III-V cells for powering memory erasure devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957674 | Manufacturing method | -- |
10957675 | Lighting-emitting device filament | SEOUL SEMICONDUCTOR CO., LTD. |
10957676 | LED package | ROHM CO., LTD. |
10957678 | Display module and system applications | APPLE INC. |
10957680 | Semiconductor die stacking using vertical interconnection by through-dielectric via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957681 | Integrated assemblies comprising sense-amplifier-circuitry and wordline-driver-circuitry under memory cells of a memory array | MICRON TECHNOLOGY, INC. |
10957682 | LED display modules and methods for making the same | LUMENS CO., LTD. |
10957685 | Multi-trench semiconductor device and method of manufacture thereof | NEXPERIA B.V. |
10957686 | Semiconductor device including an integrated resistor and method of producing thereof | INFINEON TECHNOLOGIES AG |
10957688 | Monolithic single chip integrated radio frequency front end module configured with single crystal acoustic filter devices | AKOUSTIS, INC. |
10957689 | Semiconductor apparatus and module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10957690 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10957691 | Semiconductor device, semiconductor device manufacturing method, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10957692 | Asymmetric transient voltage suppressor device and methods for formation | LITTELFUSE, INC. |
10957693 | Vertical transistors with different gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957694 | Epitaxial oxide fin segments to prevent strained semiconductor fin end relaxation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957695 | Asymmetric gate pitch | -- |
10957696 | Self-aligned metal gate with poly silicide for vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957697 | Polysilicon structure including protective layer | -- |
10957698 | Reduction of multi-threshold voltage patterning damage in nanosheet device structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957700 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10957703 | Method of reducing charge loss in non-volatile memories | CYPRESS SEMICONDUCTOR CORPORATION |
10957704 | High voltage CMOS with co-planar upper gate surfaces for embedded non-volatile memory | -- |
10957707 | Vertical transistor based radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957708 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10957709 | Systems including memory cells on opposing sides of a pillar | MICRON TECHNOLOGY, INC. |
10957710 | Three dimensional semiconductor memory including pillars having joint portions between columnar sections | TOSHIBA MEMORY CORPORATION |
10957711 | Ferroelectric device with multiple polarization states and method of making the same | SANDISK TECHNOLOGIES LLC |
10957714 | Analog circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957715 | Display device | -- |
10957721 | Manufacturing method for CMOS LTPS TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10957722 | Method of manufacturing flexible device using multidirectional oblique irradiation of an interface between a support substrate and a flexible substrate | JOLED INC. |
10957724 | Single-photon avalanche diode image sensor with photon counting and time-of-flight detection capabilities | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10957725 | Imaging device and camera system, and driving method of imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10957735 | LED display | -- |
10957736 | Light emitting diode (LED) components and methods | CREE, INC. |
10957737 | Symmetrical qubits with reduced far-field radiation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957738 | Magnetic random access memory (MRAM) structure with small bottom electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957739 | Resistance variation element, semiconductor device, and manufacturing method | NEC CORPORATION |
10957740 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957741 | Multitier arrangements of integrated devices, and methods of forming sense/access lines | MICRON TECHNOLOGY, INC. |
10957742 | Resistive random-access memory array with reduced switching resistance variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957743 | Optoelectronic array device having an upper transparent electrode | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957744 | Display device including process key | LG DISPLAY CO., LTD. |
10957747 | Electronic panel and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10957748 | Semiconductor device having detection electrodes | JAPAN DISPLAY INC. |
10957749 | Display device including photo pixel with improved sensing sensitivity | SAMSUNG DISPLAY CO., LTD. |
10957750 | Electroluminescent display device | LG DISPLAY CO., LTD. |
10957751 | Pixel defining layer and manufacturing method thereof, display substrate, display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10957752 | Transparent display device and method of manufacturing a transparent display device | SAMSUNG DISPLAY CO., LTD. |
10957753 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957754 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957755 | Display panel having a gate driving circuit arranged distributively in a display region of the display panel and organic light-emitting diode display device using the same | LG DISPLAY CO., LTD. |
10957758 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10957759 | Systems and methods for termination in silicon carbide charge balance power devices | GENERAL ELECTRIC COMPANY |
10957760 | Semiconductor structure having air gap dielectric and method of preparing the same | -- |
10957761 | Electrical isolation for nanosheet transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957762 | Manufacturing method of semiconductor device including conductive structure | -- |
10957763 | Gate fill utilizing replacement spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957764 | Vertical semiconductor device | INFINEON TECHNOLOGIES AG |
10957765 | Semiconductor devices having power rails | SAMSUNG ELECTRONICS CO., LTD. |
10957766 | Fin-based strap cell structure | -- |
10957767 | Semiconductor device, silicon wafer and method of manufacturing a silicon wafer | INFINEON TECHNOLOGIES AG |
10957768 | Silicon carbide device with an implantation tail compensation region | INFINEON TECHNOLOGIES AG |
10957769 | High-mobility field effect transistors with wide bandgap fin cladding | INTEL CORPORATION |
10957770 | Method for manufacturing compound semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10957771 | Transistor device with a field electrode that includes two layers | INFINEON TECHNOLOGIES AUSTRIA AG |
10957772 | Semiconductor device having multiple wells | -- |
10957773 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10957774 | Laterally diffused metal oxide semiconductor with gate poly contact within source window | TEXAS INSTRUMENTS INCORPORATED |
10957775 | Assemblies having conductive structures with three or more different materials | MICRON TECHNOLOGY, INC. |
10957776 | Method of fabricating MOSFET | NEXCHIP SEMICONDUCTOR CORPORATION |
10957777 | Semiconductor structure and manufacturing method thereof | -- |
10957778 | Formation of air gap spacers for reducing parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957779 | Gate etch back with reduced loading effect | -- |
10957780 | Non-uniform gate dielectric for U-shape MOSFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957781 | Uniform horizontal spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957782 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10957783 | Fin cut etch process for vertical transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957784 | Semiconductor device and manufacturing method thereof | -- |
10957785 | Method for manufacturing semiconductor device | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10957786 | FinFET with reduced extension resistance and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957787 | Sensors based on a heterojunction bipolar transistor construction | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10957788 | Semiconductor devices with superjunction structures | INFINEON TECHNOLOGIES AUSTRIA AG |
10957789 | Method for flexible radio-frequency devices | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10957790 | Semiconductor device with selectively etched surface passivation | NXP USA, INC. |
10957791 | Power device with low gate charge and low figure of merit | INFINEON TECHNOLOGIES AMERICAS CORP. |
10957792 | Semiconductor device with latchup immunity | INFINEON TECHNOLOGIES AG |
10957793 | Method of forming target layer surrounding vertical nanostructure | IMEC VZW |
10957794 | Vertical transistor contact for cross-coupling in a memory cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957795 | Vertical field effect transistor having two-dimensional channel structure | SAMSUNG ELECTRONICS CO., LTD. |
10957796 | Semiconductor device having doped epitaxial region and its methods of fabrication | INTEL CORPORATION |
10957797 | Series connected stacked vertical transistors for high voltage applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957798 | Nanosheet transistors with transverse strained channel regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957799 | Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957801 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957802 | Methods of forming tight pitch stack nanowire isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957803 | Bidirectional Zener diode and method for manufacturing bidirectional Zener diode | ROHM CO., LTD. |
10957804 | Photodetector using resonance and related method | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
10957805 | Integrated photodetector waveguide structure with alignment tolerance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957806 | Monolithically integrated high voltage photovoltaics with textured surface formed during the growth of wide bandgap materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957807 | PLZT thin film capacitors apparatus with enhanced photocurrent and power conversion efficiency and method thereof | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
10957808 | Flexible double-junction solar cell | KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE |
10957809 | Solar cell having an emitter region with wide bandgap semiconductor material | SUNPOWER CORPORATION |
10957810 | Electromagnetic wave detector, electromagnetic wave detector array, and electromagnetic wave detection method | MITSUBISHI ELECTRIC CORPORATION |
10957811 | Ultra-broad spectrum detector integrated with functions of two-dimensional semiconductor and ferroelectric material | SHANGHAI INSTITUTE OF TECHNICAL PHYSICS, CHINESE ACADEMY OF SCIENCES |
10957812 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10957813 | Method for producing optoelectronic semiconductor components and optoelectronic modules, and optoelectronic semiconductor component and optoelectronic module | OSRAM OLED GMBH |
10957814 | Ultraviolet C light-emitting diode having electron blocking layers | -- |
10957815 | Light-emitting device | SHARP KABUSHIKI KAISHA |
10957816 | Thin film wafer transfer and structure for electronic devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957817 | Polarization field assisted heterostructure design for efficient deep ultra-violet light emitting diodes | CORNELL UNIVERSITY |
10957818 | High performance light emitting diode and monolithic multi-color pixel | INTEL CORPORATION |
10957819 | Growth of cubic crystalline phase structure on silicon substrates and devices comprising the cubic crystalline phase structure | UNM RAINFOREST INNOVATIONS |
10957820 | Monolithic, segmented light emitting diode array | LUMILEDS LLC |
10957821 | Wavelength converted semiconductor light emitting device | LUMILEDS LLC |
10957822 | Light emitting device and method of manufacturing same | NICHIA CORPORATION |
10957823 | Light emitting device and light source module having thereof | LG INNOTEK CO., LTD. |
10957824 | High luminance crisp white LED light source | LUMILEDS LLC |
10957825 | Lighting module and lighting apparatus having thereof | LG INNOTEK CO., LTD. |
10957826 | White light source including LED and phosphors | KABUSHIKI KAISHA TOSHIBA |
10957827 | Light emitting diode | -- |
10957828 | Light source apparatus and projector | SEIKO EPSON CORPORATION |
10957829 | Light assembly having collimating TIR lens | NORTH AMERICAN LIGHTING, INC. |
10957830 | High voltage monolithic LED chip with improved reliability | CREE, INC. |
10957831 | Light emitting device and method of manufacturing the same, and display device | NICHIA CORPORATION |
10957832 | Electronics package for light emitting semiconductor devices and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
10957833 | Light emitting diode display device | SAMSUNG ELECTRONICS CO., LTD. |
10957834 | Light-emitting device | NICHIA CORPORATION |
10957835 | Light emitting element and method for fabricating the same | LUMENS CO., LTD. |
10957836 | Printed board and light emitting device | NICHIA CORPORATION |
10957837 | Light source device and display device | LG DISPLAY CO., LTD. |
10957838 | Thermoelectric element material and method for manufacturing the same | RIKEN |
10957839 | Heat flow sensor and method of manufacture thereof | HUKSEFLUX HOLDING B.V. |
10957840 | Apparatus, and process for cold spray deposition of thermoelectric semiconductor and other polycrystalline materials and method for making polycrystalline materials for cold spray deposition | -- |
10957841 | Capping layer for reducing ion mill damage | GOOGLE LLC |
10957842 | Piezoelectric drive device, drive method of piezoelectric drive device, robot, electronic component transport apparatus, printer, and projector | SEIKO EPSON CORPORATION |
10957843 | Piezoelectric devices with obliquely aligned electrodes | TECHNION RESEARCH AND DEVELOPMENT FOUNDATION LIMITED |
10957844 | Magneto-electric spin orbit (MESO) structures having functional oxide vias | INTEL CORPORATION |
10957845 | Magnetic memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10957846 | Magnetoresistive effect element and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10957847 | Multilayered spacer structure for a magnetic tunneling junction and method of manufacturing | -- |
10957848 | Heusler compounds with non-magnetic spacer layer for formation of synthetic anti-ferromagnets (SAF) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957849 | Magnetic tunnel junctions with coupling-pinning layer lattice matching | APPLIED MATERIALS, INC. |
10957850 | Multi-layer encapsulation to enable endpoint-based process control for embedded memory fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957851 | Magnetic layer for magnetic random access memory (MRAM) by moment enhancement | -- |
10957852 | Resistance variable memory structure and method of forming the same | -- |
10957853 | Modifying material parameters of a nanoscale device post-fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957854 | Tunable resistive element | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957855 | Apparatuses including electrodes having a conductive barrier material and methods of forming same | MICRON TECHNOLOGY, INC. |
10957856 | Method and apparatus for manufacturing organic el display panel | JOLED INC. |
10957857 | Multicyclic compound and organic light emitting device including the same | LG CHEM, LTD. |
10957858 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10957859 | Heterocyclic compounds for use in electronic devices | MERCK PATENT GMBH |
10957860 | Materials for electronic devices | MERCK PATENT GMBH |
10957861 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10957862 | Condensed cyclic compound for organic light-emitting device, and organic light-emitting device including the compound | SAMSUNG ELECTRONICS CO., LTD. |
10957863 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10957864 | Materials for organic light-emitting devices | MERCK PATENT GMBH |
10957865 | Organic light emitting compound and organic light emitting diode including the same | SFC CO., LTD. |
10957866 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10957867 | Display device | SAMSUNG DISPLAY CO., LTD. |
10957868 | Electron injection based vertical light emitting transistors and methods of making | ATOM H2O, LLC |
10957869 | Organic luminescent materials containing cycloalkyl ancillary ligands | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
10957870 | Organic light emitting device | UNIVERSAL DISPLAY CORPORATION |
10957871 | Organic light emitting device | LG DISPLAY CO., LTD. |
10957872 | Electrode and organic electroluminescent device using same | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO. LTD. |
10957874 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
10957875 | Display apparatus | LG DISPLAY CO., LTD. |
10957876 | Light-emitting device and electronic apparatus | SEIKO EPSON CORPORATION |
10957877 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
10957878 | Structured lamination transfer films and methods | 3M INNOVATIVE PROPERTIES COMPANY |
10957879 | OLED substrate, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10957880 | Electro-optical panel | LG DISPLAY CO., LTD. |
10957881 | Method and apparatus for producing flexible OLED device | SAKAI DISPLAY PRODUCTS CORPORATION |
10957882 | Vapor deposition mask, production method therefor, and production method for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10957937 | Three-terminal copper-driven neuromorphic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957962 | Magnetoresistive effect device | TDK CORPORATION |
10958039 | Optical module | NICHIA CORPORATION |
10958216 | Semiconductor device and operation method thereof | -- |
10958238 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
10958250 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10958266 | Programmable current for correlated electron switch | ARM LIMITED |
10958308 | Methods, systems, and apparatus for the monitoring, controlling, and communicating of electronic devices | CHUS TECHNOLOGY GROUP, LLC |
10959025 | Flexible vibration module and display apparatus including the same | LG DISPLAY CO., LTD. |
10960218 | Stacked piezoelectric composites and methods of making | UNIVERSITY OF KANSAS |
10960513 | Methods and systems for polishing pad control | -- |
10960574 | Combined wafer production method with a receiving layer having holes | SILTECTRA GMBH |
10960663 | Liquid-droplet ejecting apparatus and non-transitory storage medium storing program | BROTHER KOGYO KABUSHIKI KAISHA |
10960666 | Element substrate, liquid discharge head, and printing apparatus | CANON KABUSHIKI KAISHA |
10960667 | Electronic device, liquid discharge head, liquid discharge device, liquid discharge apparatus, and electronic apparatus | RICOH COMPANY, LTD. |
10960670 | Method for electrohydrodynamic jet printing curved piezoelectric ceramics | DALIAN UNIVERSITY OF TECHNOLOGY |
10961113 | Support pillar | -- |
10961120 | Nanoparticle structure and process for manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10961224 | Triazinium cation forms and methods of making thereof | LOYOLA UNIVERSITY NEW ORLEANS |
10961230 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
10961398 | High refractive index silicone nanocomposites | PIXELLIGENT TECHNOLOGIES, LLC |
10961446 | Quantum dots, production methods thereof, and light emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10961447 | Light emitting material, manufacture method thereof and organic light emitting diode using the light emitting material | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10961450 | Metal fluoride red phosphor and light emitting element using same | KOREA RESEARCH INSTITUTE OF CHEMICAL TECHNOLOGY |
10961451 | Fluorescent material and light emitting device using same and method for manufacturing fluorescent material | NICHIA CORPORATION |
10961456 | Dichroic dye compound, polarizing film, and uses thereof | SUMITOMO CHEMICAL COMPANY, LIMITED |
10961616 | Fine mask support frame, fine mask, and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10961621 | CVD reactor chamber with resistive heating and substrate holder | SVAGOS TECHNIK, INC. |
10961639 | Epitaxial growth of crystalline material | -- |
10962177 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
10962178 | Device and method for pressure-molding anti-overheating CSP fluorescent membrane | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
10962182 | Light string and light string circuits | BLOOMING INTERNATIONAL LIMITED |
10962411 | Photoelectric conversion element and photoelectric conversion device | KANEKA CORPORATION |
10962434 | Sensor device utilizing adhesives and manufacturing method thereof | FUJI ELECTRIC CO., LTD. |
10962456 | Flexible display auxiliary device and foldable mobile terminal | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10962501 | Floating gate based sensor apparatus and related floating gate based sensor applications | CORNELL UNIVERSITY |
10962546 | Reagents for directed biomarker signal amplification | SIRIGEN II LIMITED |
10962586 | Light emitting diode (LED) test apparatus and method of manufacture | APPLE INC. |
10962627 | Ultra-small vertical cavity surface emitting laser (VCSEL) and arrays incorporating the same | SENSE PHOTONICS, INC. |
10962696 | Coatable grey polarizer | LIGHT POLYMERS HOLDING |
10962728 | Co-packaged optics and transceiver | ROCKLEY PHOTONICS LIMITED |
10962814 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10962815 | Manufacturing method for liquid crystal display device | JAPAN DISPLAY INC. |
10962832 | Display device and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10962835 | Inorganic composite luminescent material, light-emitting film, light-emitting diode package, light emitting diode and light-emitting device including the same | LG DISPLAY CO., LTD. |
10962852 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
10962997 | Apparatus and method for driving a load and device | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10963057 | Haptic feedback button | CHUNGANG UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
10963076 | Display device | SAMSUNG DISPLAY CO., LTD. |
10963083 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10963086 | Display device including a touch sensor and a method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10963105 | In-cell touch display panel, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10963155 | User interface for a charging column | DR. ING. H.C. F. PORSCHE AKTIENGESELLSCHAFT |
10964246 | Foldable display device and method of driving the same | LG DISPLAY CO., LTD. |
10964252 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964341 | Magnetoresistive effect element, magnetic head, sensor, high-frequency filter, and oscillator | TDK CORPORATION |
10964366 | Magnetic memory, recording method of magnetic memory, and reading method of magnetic memory | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964369 | Memristor circuit, memristor control system, analog product-sum operator, and neuromorphic device | TDK CORPORATION |
10964388 | Selector device for two-terminal memory | CROSSBAR, INC. |
10964391 | Programming circuit and programming method of flash memory and flash memory | -- |
10964453 | Superconducting stabilization material, superconducting wire, and superconducting coil | MITSUBISHI MATERIALS CORPORATION |
10964468 | Magnetic memory structures using electric-field controlled interlayer exchange coupling (IEC) for magnetization switching | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10964474 | Capacitor and capacitor module | KABUSHIKI KAISHA TOSHIBA |
10964475 | Formation of a capacitor using a sacrificial layer | MICRON TECHNOLOGY, INC. |
10964481 | Multilayer ceramic capacitor and manufacturing method thereof | TAIYO YUDEN CO., LTD. |
10964486 | Dye-sensitized solar cell unit and a photovoltaic charger including the solar cell unit | EXEGER OPERATIONS AB |
10964488 | Electrochemical and capacitative energy storage device and method of manufacture | INNOCELL APS |
10964535 | Polycrystalline ceramic substrate and method of manufacture | QROMIS, INC. |
10964542 | Selective high-K formation in gate-last process | -- |
10964543 | Passivator for gate dielectric | -- |
10964544 | Contact integration and selective silicide formation methods | APPLIED MATERIALS, INC. |
10964548 | Fin field-effect transistor device and method | -- |
10964581 | Self-aligned adhesive layer formation in light-emitting structure fabrication | FACEBOOK TECHNOLOGIES, LLC |
10964582 | Transfer substrate utilizing selectable surface adhesion transfer elements | PALO ALTO RESEARCH CENTER INCORPORATED |
10964583 | Micro-transfer-printable flip-chip structures and methods | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10964585 | Method for forming a fin-based semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964586 | Semiconductor structure including isolations | -- |
10964590 | Contact metallization process | -- |
10964593 | Method for forming a semiconductor structure with a gate contact plug | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964598 | Methods of forming source/drain regions of a FinFET device and the resulting structures | GLOBALFOUNDRIES U.S. INC. |
10964599 | Multi-step insulator formation in trenches to avoid seams in insulators | GLOBALFOUNDRIES U.S. INC. |
10964600 | Semiconductor structures | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10964601 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964602 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964603 | Hybrid gate stack integration for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964604 | Magnetic storage element, magnetic storage device, electronic device, and method of manufacturing magnetic storage element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964614 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10964615 | Chip-scale sensor package structure | -- |
10964630 | Semiconductor device having a conductor plate and semiconductor elements | DENSO CORPORATION |
10964637 | Package and light emitting device | NICHIA CORPORATION |
10964638 | Vertical memory device including common source line structure | SAMSUNG ELECTRONICS CO., LTD. |
10964640 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10964662 | Method of transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10964665 | Method for forming complex electronic circuits by interconnecting groups of printed devices | NTHDEGREE TECHNOLOGIES WORLDWIDE, INC. |
10964674 | Micro-LED display panel | LUMENS CO., LTD. |
10964675 | Display device and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964678 | LED screen or illumination means with a flexible film structure | LEDFOIL FINLAND OY |
10964679 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10964680 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964684 | Multiple fin height integrated circuit | -- |
10964686 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10964687 | FinFET ESD device with Schottky diode | GLOBALFOUNDRIES U.S. INC. |
10964688 | Semiconductor device | ROHM CO., LTD. |
10964689 | Semiconductor structure | -- |
10964690 | Resistor between gates in self-aligned gate edge architecture | INTEL CORPORATION |
10964691 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10964693 | Semiconductor device having a plurality of bipolar transistors with different heights between their respective emitter layers and emitter electrodes | MURATA MANUFACTURING CO., LTD. |
10964694 | Multi-transistor device including first and second LDMOS transistors having respective drift regions separated in a thickness direction by a shared RESURF layer | MAXIM INTEGRATED PRODUCTS, INC. |
10964695 | Semiconductor structure | -- |
10964696 | Semiconductor device and manufacturing method thereof | -- |
10964697 | Non-planar semiconductor device having doped sub-fin region and method to fabricate same | INTEL CORPORATION |
10964698 | Field effect transistor with decoupled channel and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964699 | Multi-layer horizontal thyristor random access memory and peripheral circuitry | TCLAB, INC. |
10964700 | Semiconductor device and memory device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964701 | Vertical shared gate thin-film transistor-based charge storage memory | INTEL CORPORATION |
10964703 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
10964704 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964706 | Three-dimensional semiconductor device including integrated circuit, transistors and transistor components and method of fabrication | TOKYO ELECTRON LIMITED |
10964707 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10964709 | Stacked FinFET EEPROM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964710 | Non-volatile memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10964716 | Semiconductor device and method of manufacturing same | TOSHIBA MEMORY CORPORATION |
10964718 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10964719 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10964721 | Semiconductor devices including ferroelectric layer and methods of fabricating the same | SK HYNIX INC. |
10964722 | Micro LED display substrate, method for manufacturing the same, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10964723 | Flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10964724 | Display device | JAPAN DISPLAY INC. |
10964725 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964727 | Flexible array substrate, with protection layer, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964728 | Display device | JAPAN DISPLAY INC. |
10964730 | Non-contact measurement of a stress in a film on a substrate | APPLEJACK 199 L.P. |
10964732 | Fabrication of thin-film electronic devices with non-destructive wafer reuse | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10964733 | Opto-electronic HEMT | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10964736 | Image sensing device and method for forming the same | SK HYNIX INC. |
10964737 | Photoelectric conversion device and imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964742 | Germanium-silicon light sensing apparatus II | ARTILUX, INC. |
10964743 | Imaging device comprising current mirror circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964746 | Deep trench isolation shrinkage method for enhanced device performance | -- |
10964747 | High resolution display device | SAMSUNG ELECTRONICS CO., LTD. |
10964748 | Electric field controllable spin filter tunnel junction magnetoresistive memory devices and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10964749 | GaN-based threshold switching device and memory diode | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10964750 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964751 | Semiconductor device having plural dummy memory cells | SAMSUNG ELECTRONICS CO., LTD. |
10964752 | Three-dimensional memory device including laterally constricted current paths and methods of manufacturing the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10964753 | Optoelectronic device including a metal layer pattern including a metal having a negative dielectric constant and image sensor and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10964754 | Solid-state image pickup element and manufacturing method thereof, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964755 | Organic light emitting diode panel including light emitting units and color filter layer, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964756 | Pixel structure, display panel and fabricating method thereof, and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964757 | Energy efficient OLED TV | UNIVERSAL DISPLAY CORPORATION |
10964758 | Pixel unit, display panel, display device and method of manufacturing pixel unit | BOE TECHNOLOGY GROUP CO., LTD. |
10964759 | Display device comprising buffer layer and encapsulation layer | SAMSUNG DISPLAY CO., LTD. |
10964760 | Electroluminescent display panel with anti-ultraviolet light material, method for manufacturing the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964761 | Display device | LG DISPLAY CO., LTD. |
10964763 | Display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964764 | Display panel and method of manufacturing thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964765 | Organic light emitting display device, head mounted display including the same and method of fabricating the same | LG DISPLAY CO., LTD. |
10964766 | One-way transparent display | UNIVERSAL DISPLAY CORPORATION |
10964768 | Display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964769 | Stretchable display device with insulation layer disposed on stretchable substrate | SAMSUNG DISPLAY CO., LTD. |
10964770 | Flexible display | SAMSUNG DISPLAY CO., LTD. |
10964772 | OLED plate, display panel and display device using the same | BOE TECHNOLOGY GROUP CO., LTD. |
10964774 | Backplane for organic light emitting display device and method for fabricating the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964776 | Pixel defining structure, display panel, method of manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964777 | Enhanced inductors suitable for integrated multi-channel receivers | CREDO TECHNOLOGY GROUP LTD. |
10964778 | Precision capacitor | TEXAS INSTRUMENTS INCORPORATED |
10964779 | Vertical plate capacitors exhibiting high capacitance manufactured with directed self-assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964780 | Semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
10964781 | High voltage resistor device | -- |
10964782 | Semiconductor device including isolation regions | SAMSUNG ELECTRONICS CO., LTD. |
10964783 | Semiconductor device | HYUNDAI MOTOR COMPANY |
10964784 | Integrated circuit device and manufacturing method thereof | -- |
10964785 | SiC epitaxial wafer and manufacturing method of the same | MITSUBISHI ELECTRIC CORPORATION |
10964786 | Group III-V compound semiconductor substrate and group III-V compound semiconductor substrate with epitaxial layer | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10964787 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964788 | Semiconductor device and operating method thereof | -- |
10964789 | Method of fabricating a semiconductor structure having at least one recess | -- |
10964790 | TFT substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964791 | Semiconductor device having silicides and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964792 | Dual metal capped via contact structures for semiconductor devices | -- |
10964793 | Assemblies which include ruthenium-containing conductive gates | MICRON TECHNOLOGY, INC. |
10964794 | Cryogenic semiconductor device having buried channel array transistor | SK HYNIX INC. |
10964795 | Air spacers in transistors and methods forming same | -- |
10964796 | Heterojunction bipolar transistors with stress material for improved mobility | GLOBALFOUNDRIES U.S. INC. |
10964797 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964798 | Semiconductor device and method of manufacturing the same | -- |
10964799 | FinFETs and methods for forming the same | -- |
10964800 | Semiconductor device having fin-end stress-inducing features | INTEL CORPORATION |
10964801 | Semiconductor device and manufacturing method thereof | -- |
10964802 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10964803 | Gallium nitride transistor with a doped region | TEXAS INSTRUMENTS INCORPORATED |
10964804 | Semiconductor structure, HEMT structure and method of forming the same | -- |
10964805 | Compound semiconductor device | FUJITSU LIMITED |
10964806 | Gallium nitride transistor | CAMBRIDGE ENTERPRISE LIMITED |
10964807 | 3D semiconductor device with memory | MONOLITHIC 3D INC. |
10964808 | Silicon carbide semiconductor device with trench gate structure and vertical PN junction between body region and drift structure | INFINEON TECHNOLOGIES AG |
10964809 | Semiconductor device and manufacturing process therefor | DENSO CORPORATION |
10964810 | Methodology and structure for field plate design | -- |
10964811 | Transistor and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10964812 | Integration of input/output device in vertical field-effect transistor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964813 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964814 | Transistor with asymmetric source and drain regions | -- |
10964815 | CMOS finFET with doped spacers and method for forming the same | -- |
10964816 | Method and device for boosting performance of FinFETs via strained spacer | -- |
10964817 | (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor device | -- |
10964818 | Semiconductor device doped from a diffused layer | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964819 | Fin field effect transistor (FinFET) device and method for forming the same | -- |
10964820 | Vertical transistor devices and techniques | INTEL CORPORATION |
10964821 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964822 | Single material electronic device and method of producing such an electronic device | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10964823 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964824 | Two-dimensional electrostrictive field effect transistor (2D-EFET) | THE PENN STATE RESEARCH FOUNDATION |
10964825 | Semiconductor device | ROHM CO., LTD. |
10964826 | Solar cell and production method therefor, and solar cell module | KANEKA CORPORATION |
10964827 | Solar cell and method for manufacturing the same | LG ELECTRONICS INC. |
10964828 | Bifacial P-type PERC solar cell and module, system, and preparation method thereof | GUANGDONG AIKO SOLAR ENERGY TECHNOLOGY CO., LTD. |
10964829 | InGaN-based resonant cavity enhanced detector chip based on porous DBR | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES |
10964830 | Surface plasmon-semiconductor heterojunction resonant optoelectronic device and preparation method therefor | SOUTHEAST UNIVERSITY |
10964831 | Solar cell module and method of manufacturing solar cell module | KYOCERA CORPORATION |
10964832 | Capacitors in grooves | POWER ROLL LIMITED |
10964833 | Photoelectric conversion material containing organic semiconductor material, and photoelectric conversion element | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10964834 | Photodetector and light detection and ranging | KABUSHIKI KAISHA TOSHIBA |
10964835 | Universal broadband photodetector design and fabrication process | THE BOEING COMPANY |
10964836 | Photon counting-type radiation detector and radiological inspection device using same | KABUSHIKI KAISHA TOSHIBA |
10964837 | Photo detector systems and methods of operating same | ACTLIGHT SA |
10964838 | Display substrate and manufacturing method thereof, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964839 | Manufacturing method of sensor chip package structure | -- |
10964840 | Photodiode structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964841 | Method for manufacturing light-emitting element | NICHIA CORPORATION |
10964842 | Electrode assembly comprising micro-LED elements and method for manufacturing same | SAMSUNG DISPLAY CO., LTD. |
10964843 | Patterned Si substrate-based LED epitaxial wafer and preparation method therefor | ENKRIS SEMICONDUCTOR, INC |
10964844 | High-efficiency micro-LEDs | FACEBOOK TECHNOLOGIES, LLC |
10964845 | Micro light emitting devices | LUMILEDS LLC |
10964846 | Semiconductor light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10964847 | Light-emitting element | -- |
10964849 | Micro light emitting diode apparatus and method of fabricating micro light emitting diode apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964850 | Lighting device | LUMILEDS LLC |
10964851 | Single light emitting diode (LED) structure | -- |
10964852 | LED module and LED lamp including the same | SAMSUNG ELECTRONICS CO., LTD. |
10964853 | Stable red ceramic phosphors and technologies including the same | OSRAM OLED GMBH |
10964854 | Semiconductor light-emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10964855 | Method for manufacturing micro light-emitting diode array substrate | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964856 | Method of preparing white light-emitting material | KNU-INDUSTRY COOPERATION FOUNDATION |
10964857 | Planar aligned nanorods and liquid crystal assemblies | UNIVERSITES GENT |
10964858 | Light emitting diodes, components and related methods | CREE, INC. |
10964859 | Light-emitting device and method of manufacturing the same | NICHIA CORPORATION |
10964860 | Method of packaging semiconductor illumination module | -- |
10964861 | Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device | OSRAM OLED GMBH |
10964862 | Semiconductor heterostructure with multiple active regions | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10964863 | Method of producing an optoelectronic element | OSRAM OLED GMBH |
10964864 | Micro LED mixing cup | -- |
10964865 | Printed board, light emitting device, and method for manufacturing same | NICHIA CORPORATION |
10964866 | LED device, system, and method with adaptive patterns | CREE, INC. |
10964867 | Using underfill or flux to promote placing and parallel bonding of light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
10964868 | LED display module | TETOS CO., LTD. |
10964869 | Transparent light emitting element display | LG CHEM, LTD. |
10964870 | LED package | ROHM CO., LTD. |
10964871 | Micro LED structure and method of manufacturing same | POINT ENGINEERING CO., LTD. |
10964872 | Compositions and methods for thermoelectric ceramics | WEST VIRGINIA UNIVERSITY |
10964873 | Systems and methods for forming thin bulk junction thermoelectric devices in package | APPLE INC. |
10964874 | Thermoelectric generator using in-situ passive cooling | -- |
10964876 | Integrated flexible thermoelectric device and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
10964877 | Piezoelectric element, piezoelectric actuator, ultrasonic probe, ultrasonic apparatus, electronic apparatus, liquid jet head, and liquid jet apparatus | SEIKO EPSON CORPORATION |
10964878 | Piezoelectric composition and piezoelectric device | TDK CORPORATION |
10964879 | Method of manufacturing a dielectric device | TDK CORPORATION |
10964880 | Piezoelectric MEMS microphone | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10964881 | Piezoelectronic device with novel force amplification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964882 | Bonding method | NGK INSULATORS, LTD. |
10964883 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10964884 | Magnetic memory device having an incline side surface | TOSHIBA MEMORY CORPORATION |
10964885 | Magnetoresistive effect element, magnetic memory, magnetization rotation method, and spin current magnetization rotational element | TDK CORPORATION |
10964886 | Spin transfer torque memory devices having heusler magnetic tunnel junctions | INTEL CORPORATION |
10964887 | Highly physical ion resistive spacer to define chemical damage free sub 60nm MRAM devices | -- |
10964888 | Magnetic tunnel junctions | -- |
10964889 | Deposition mask, deposition apparatus using the same, and method of manufacturing display apparatus using the same | SAMSUNG DISPLAY CO., LTD. |
10964890 | Opto-electrical devices incorporating metal nanowires | CAMBRIOS FILM SOLUTIONS CORPORATION |
10964891 | Pyrene derivative, organic light-emitting medium, and organic electroluminescent element containing pyrene derivative or organic light- emitting medium | IDEMITSU KOSAN CO., LTD. |
10964892 | Heterocyclic compound and organic light-emitting device comprising same | LG CHEM, LTD. |
10964893 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10964894 | Carbazole derivatives | MERCK PATENT GMBH |
10964895 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10964896 | Perovskite light-emitting device | POSTECH ACADEMY-INDUSTRY FOUNDATION |
10964897 | Tridentate cyclometalated metal complexes with six-membered coordination rings | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10964898 | Protection sheet, display, and electronic apparatus | JOLED INC. |
10964899 | Hybrid junction solar light sensitive device | KING ABDULAZIZ UNIVERSITY |
10964900 | Light emitting diode display with redundancy scheme | APPLE INC. |
10964901 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
10964902 | Film production method | SUMITOMO CHEMICAL COMPANY, LIMITED |
10964904 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10964905 | Organic light emitting diode cell comprising a set of right circular hollow cylinders | INTERDIGITAL CE PATENT HOLDINGS |
10964906 | Organic light-emitting display panel and organic light-emitting display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
10964907 | Display panel, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10964908 | Display module, display panel, and method for manufacturing the display panel | SAMSUNG DISPLAY CO., LTD. |
10964909 | Organic light emitting diode display device having a dam for controlling flow of encapsulant | LG DISPLAY CO., LTD. |
10964910 | Display device including hole having protruded portions and depressed portion and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10964911 | Thin film encapsulation structure of AMOLED and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964912 | Protective structure and electronic device with the same | -- |
10964913 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964914 | Organic light emitting diodes displays including a polarization film and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10964915 | Lighting apparatus using organic light emitting diode | LG DISPLAY CO., LTD. |
10964916 | Fabrication of multi-level graded photonic super-crystals | UNIVERSITY OF NORTH TEXAS |
10964917 | Display module and method for preparing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964918 | Display screen and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
10964919 | Organic electroluminescent transistor | FLEXTERRA INC. |
10964920 | Method for producing organic electronic device | SUMITOMO CHEMICAL COMPANY, LIMITED |
10965097 | Light emitting device | NICHIA CORPORATION |
10965223 | Ultrasonic motor with thermal compensation platform | PHYSIK INSTRUMENTE (PI) GMBH & CO. KG |
10965245 | Photovoltaic module, photovoltaic system and method of operating photovoltaic system | LG ELECTRONICS INC. |
10965269 | Electronic devices formed in a cavity between substrates and including a via | SKYWORKS SOLUTIONS, INC. |
10965274 | System and method for a radio frequency filter | INFINEON TECHNOLOGIES AG |
10965281 | Circuit based on a III/V semiconductor and a method of operating the same | DIALOG SEMICONDUCTOR (UK) LIMITED |
10965321 | Transformation of binary data to non-binary data for storage in non-volatile memory | WESTERN DIGITAL TECHNOLOGIES, INC. |
10965369 | Normal incidence photodetector with self-test functionality | JUNIPER NETWORKS, INC. |
10965376 | Cover for an electronic circuit package | STMICROELECTRONICS (GRENOBLE 2) SAS |
10965894 | Short wave infrared image sensor with automatic exposure and dynamic range control | FLIR COMMERCIAL SYSTEMS, INC. |
10966300 | Light sources utilizing segmented LEDs to compensate for manufacturing variations in the light output of individual segmented LEDs | BRIDGELUX, INC. |
10966309 | Device for generating a non-thermal atmospheric pressure plasma | TDK ELECTRONICS AG |
10966322 | Semiconductor device and manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10966328 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10966329 | Display device | SAMSUNG DISPLAY CO., LTD. |
10966744 | Ultrasonic surgical instrument with piezoelectric central lumen transducer | ETHICON LLC |
10967456 | Apparatus and method for bonding mask | BOE TECHNOLOGY GROUP CO., LTD. |
10967457 | Laser beam irradiation apparatus and method of manufacturing organic light-emitting display device by using the same | SAMSUNG DISPLAY CO., LTD. |
10967588 | Method of manufacturing light emitting device | NICHIA CORPORATION |
10968208 | Compound for organic electronic element, organic electronic element comprising the same, and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
10968226 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10968229 | Seven-membered ring compounds | IDEMITSU KOSAN CO., LTD. |
10968230 | Spiro-structured compound and organic electronic device comprising same | LG CHEM, LTD. |
10968243 | Organometallic complex and application thereof in electronic devices | GUANGZHOU CHINARAY OPTOELECTRONIC MATERIALS LTD. |
10968387 | Phosphor, method for production same, light emitting device, image display, pigment and ultraviolet light absorber | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
10968388 | Red emitting luminescent material | OSRAM OPTO SEMICONDUCTORS GMBH |
10968481 | Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids | CARDEA BIO, INC. |
10968519 | Sheet material, metal mesh, wiring substrate, display device and manufacturing methods therefor | TDK CORPORATION |
10969144 | Thin multi-channel heat exchanger | -- |
10969252 | System for determining at least one rotation parameter of a rotating member | NTN-SNR ROULEMENTS |
10969254 | Fiber Bragg grating interrogation and sensing system and methods comprising a filter centered at a first wavelength | ANALOG DEVICES, INC. |
10969270 | Imaging devices having piezoelectric transceivers | EXO IMAGING, INC. |
10969298 | Multi-dimensional vibration control method for the model of strut tail-supported aircraft | DALIAN UNIVERSITY OF TECHNOLOGY |
10969335 | Terahertz wave detection device and array sensor | TOKYO INSTITUTE OF TECHNOLOGY |
10969376 | Electrical stimulation and monitoring device | MURATA INTEGRATED PASSIVE SOLUTIONS |
10969444 | Concept for compensating for a mechanical stress of a hall sensor circuit integrated into a semiconductor substrate | INFINEON TECHNOLOGIES AG |
10969505 | Charged particle detector | UNIVERSITY OF YORK |
10969527 | Polarizing plate with phase difference layers, and organic EL display device | NITTO DENKO CORPORATION |
10969574 | Process for creating piezo-electric mirrors in package | INTEL CORPORATION |
10969638 | Display device | JAPAN DISPLAY INC. |
10969868 | Tactile sensation providing apparatus | KYOCERA CORPORATION |
10969889 | Electronic apparatus and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10969891 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10969892 | Display panel | LG DISPLAY CO., LTD. |
10969893 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10969915 | Capacitive sensor | ALPS ALPINE CO., LTD. |
10970624 | Pre-programmed resistive cross-point array for neural network | SAMSUNG ELECTRONICS CO., LTD. |
10971175 | Storage element | SONY CORPORATION |
10971220 | Write assist for a memory device and methods of forming the same | -- |
10971225 | Resistive random access memory device with three-dimensional cross-point structure and method of operating the same | TOSHIBA MEMORY CORPORATION |
10971229 | Method, system and device for integration of volatile and non-volatile memory bitcells | ARM LIMITED |
10971232 | Nonvolatile memory device and program method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10971239 | Memory circuit, system and method for rapid retrieval of data sets | SUNRISE MEMORY CORPORATION |
10971245 | Measurement of MTJ in a compact memory array | SPIN MEMORY, INC. |
10971278 | Superconducting wire and superconducting coil | MITSUBISHI MATERIALS CORPORATION |
10971293 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and spin-orbit-torque magnetization rotational element manufacturing method | TDK CORPORATION |
10971312 | Dye-sensitized solar cell and a method for manufacturing the solar cell | EXEGER OPERATIONS AB |
10971350 | Wafer holding apparatus and wafer processing method using the same | DISCO CORPORATION |
10971355 | Substrates and methods for forming the same | -- |
10971359 | Managed substrate effects for stabilized SOI FETs | PSEMI CORPORATION |
10971360 | Methods of forming a channel region of a transistor and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
10971361 | Laser annealing method, laser annealing apparatus, and thin film transistor substrate | V TECHNOLOGY CO., LTD. |
10971367 | Method for fabricating vertical transistor having a silicided bottom | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10971368 | Techniques for processing substrates using directional reactive ion etching | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971391 | Dielectric gap fill | -- |
10971392 | Amorphous metal thin film nonlinear resistor | AMORPHYX, INC. |
10971399 | Oxygen-free replacement liner for improved transistor performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971402 | Semiconductor device including interface layer and method of fabricating thereof | -- |
10971403 | Structure and method of forming fin device having improved fin liner | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971404 | Semiconductor device | -- |
10971405 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10971406 | Method of forming source/drain regions of transistors | -- |
10971407 | Method of forming a complementary metal oxide semiconductor device having fin field effect transistors with a common metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971408 | Contact air gap formation and structures thereof | -- |
10971410 | Image display device | SHARP KABUSHIKI KAISHA |
10971412 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10971415 | Semiconductor device, manufacturing method for semiconductor device, semiconductor module, and power conversion device | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10971433 | Surface mounted type leadframe and photoelectric device with multi-chips | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
10971435 | Semiconductor device including bonding pad and bond wire or clip | INFINEON TECHNOLOGIES AG |
10971438 | Chip-on film and display device including the same | LG DISPLAY CO., LTD. |
10971449 | Semiconductor device with metallization structure on opposite sides of a semiconductor portion | INFINEON TECHNOLOGIES AG |
10971474 | Package integration for high bandwidth memory | XILINX, INC. |
10971480 | Display panel, manufacturing method thereof and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971481 | Light-emitting device and backlight including light-emitting device | NICHIA CORPORATION |
10971486 | Semiconductor package and method of manufacturing the semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971489 | Compact protection device for protecting an integrated circuit against electrostatic discharge | STMICROELECTRONICS SA |
10971490 | Three-dimensional field effect device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971491 | Method for forming capacitor, semiconductor device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971492 | Package-embedded thin-film capacitors, package-integral magnetic inductors, and methods of assembling same | INTEL CORPORATION |
10971493 | Integrated circuit device with high mobility and system of forming the integrated circuit | -- |
10971494 | Semiconductor device and semiconductor integrated circuit | SOCIONEXT, INC. |
10971495 | Capacitor cell and structure thereof | -- |
10971496 | Semiconductor device having hybrid capacitors | SAMSUNG ELECTRONICS CO., LTD. |
10971497 | Memory cell | -- |
10971500 | Methods used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
10971501 | Memory structure and manufacturing method thereof | -- |
10971503 | Structure and method for FinFET SRAM | -- |
10971505 | Memory devices and methods of manufacturing thereof | -- |
10971508 | Integrated circuit and method of manufacturing the same | -- |
10971515 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971517 | Source contact structure of three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10971519 | Non-volatile memory structure | -- |
10971522 | High mobility complementary metal-oxide-semiconductor (CMOS) devices with fins on insulator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971527 | Thin-film transistor substrate including data line with lower layer data line and upper layer data line, and liquid crystal display device and organic electroluminescent display device including same | SHARP KABUSHIKI KAISHA |
10971528 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971529 | Electronic device and manufacturing method of the same | -- |
10971531 | Pixels | SENSORS UNLIMITED, INC. |
10971538 | PiN diode structure having surface charge suppression | RAYTHEON COMPANY |
10971539 | Solid-state imaging device, method of driving solid-state imaging device, imaging system, and movable object | CANON KABUSHIKI KAISHA |
10971541 | Detector architecture using photodetector arrays on thinned substrates | VAREX IMAGING CORPORATION |
10971542 | Method of forming a semiconductor device | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10971543 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
10971544 | Integration of magneto-resistive random access memory and capacitor | -- |
10971545 | Magnetoresistive stacks and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10971546 | Crosspoint phase change memory with crystallized silicon diode access device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971547 | Switch element, switching method and semiconductor device | NANOBRIDGE SEMICONDUCTOR, INC. |
10971548 | Variable resistance memory device including symmetrical memory cell arrangements and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10971549 | Semiconductor memory device having a vertical active region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971550 | Photodiode arrays | FLEXENABLE LIMITED |
10971551 | Organic light-emitting diode display panel and manufacturing method therefor, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10971554 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10971555 | Pixel structure and display apparatus | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10971557 | Display device having color filter with scattering agent | SAMSUNG DISPLAY CO., LTD. |
10971558 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10971561 | OLED display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971562 | Display apparatus with touch sensor having shield layer between touch sensor line and driving line | LG DISPLAY CO., LTD. |
10971563 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10971564 | Display panel, manufacturing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971565 | Pixel structure | -- |
10971566 | Display device including frame wiring in bending section | SHARP KABUSHIKI KAISHA |
10971567 | Display device | SAMSUNG DISPLAY CO., LTD. |
10971571 | Display device for preventing arcing and method of manufacturing the same | LG DISPLAY CO., LTD. |
10971572 | Flexible OLED panel for lighting device and method of manufacturing same | LG DISPLAY CO., LTD. |
10971574 | Display device | SAMSUNG DISPLAY CO., LTD. |
10971575 | Display device having data lines spaced apart from each other by different distances | SAMSUNG DISPLAY CO., LTD. |
10971576 | High resistivity soft magnetic material for miniaturized power converter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971577 | Adjustable multi-turn magnetic coupling device | -- |
10971578 | Capacitive electronic chip component | STMICROELECTRONICS (ROUSSET) SAS |
10971579 | Semiconductor device and fabrication method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGY CO., LTD. |
10971580 | Silicon carbide schottky diodes with tapered negative charge density | GRIFFITH UNIVERSITY |
10971581 | Semiconductor device | SOCIONEXT INC. |
10971582 | Method for forming a superjunction transistor device | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10971583 | Gate cut isolation including air gap, integrated circuit including same and related method | GLOBALFOUNDRIES U.S. INC. |
10971584 | Low contact resistance nanowire FETs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971585 | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971586 | Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same | -- |
10971587 | GaN lateral vertical JFET with regrown channel and dielectric gate | FREMONT |
10971588 | Semiconductor device including FinFET with self-align contact | -- |
10971589 | Low-k feature formation processes and structures formed thereby | -- |
10971590 | Transistor layout to reduce kink effect | -- |
10971591 | Power semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10971592 | Semiconductor device with gate electrode having side surfaces doped with carbon | TOSHIBA MEMORY CORPORATION |
10971593 | Oxygen reservoir for low threshold voltage P-type MOSFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971594 | Semiconductor device having modified profile metal gate | -- |
10971595 | MOFSET and method of fabricating same | NEXCHIP SEMINCONDUCTOR CORPORATION |
10971596 | Semiconductor device with reduced flicker noise | -- |
10971597 | Self-aligned base and emitter for a bipolar junction transistor | GLOBALFOUNDRIES U.S. INC. |
10971598 | Method of forming heterojunction bipolar transistor (HBT) | KEYSIGHT TECHNOLOGIES, INC. |
10971599 | Power semiconductor device with self-aligned source region | INFINEON TECHNOLOGIES AG |
10971600 | Selective gate spacers for semiconductor devices | INTEL CORPORATION |
10971601 | Replacement metal gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971602 | High-k metal gate process and device | -- |
10971603 | Wavy channel flexible thin-film-transistor on a flexible substrate and method of producing such a thin-film-transistor | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10971604 | Gate all around fin field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971605 | Dummy dielectric fin design for parasitic capacitance reduction | -- |
10971606 | Method for manufacturing semiconductor device | -- |
10971607 | Methods of forming NAND cell units | MICRON TECHNOLOGY, INC. |
10971608 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10971609 | Back end of line nanowire power switch transistors | -- |
10971610 | High electron mobility transistor | -- |
10971611 | Particle detectors | HONEYWELL INTERNATIONAL INC. |
10971612 | High electron mobility transistors and power amplifiers including said transistors having improved performance and reliability | CREE, INC. |
10971613 | Semiconductor devices with doped regions functioning as enhanced resistivity regions or diffusion barriers, and methods of fabrication therefor | NXP USA, INC. |
10971614 | High electron mobility transistor with reverse arrangement of channel layer and barrier layer | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10971615 | High power performance gallium nitride high electron mobility transistor with ledges and field plates | QUALCOMM INCORPORATED |
10971616 | Apparatus and circuits with dual threshold voltage transistors and methods of fabricating the same | -- |
10971617 | Semiconductor device and manufacturing method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGYCO., LTD. |
10971618 | Generating milled structural elements with a flat upper surface | APPLIED MATERIALS ISRAEL LTD. |
10971619 | Semiconductor device and manufacturing method of the same | DENSO CORPORATION |
10971620 | Method for producing a semiconductor arrangement | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10971621 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10971622 | Transistor structures | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10971623 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10971624 | High-voltage transistor devices with two-step field plate structures | -- |
10971625 | Epitaxial structures of a semiconductor device having a wide gate pitch | GLOBALFOUNDRIES U.S. INC. |
10971626 | Interface charge reduction for SiGe surface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971627 | Semiconductor structure and manufacturing method | -- |
10971628 | FinFET device with T-shaped fin | -- |
10971629 | Self-aligned unsymmetrical gate (SAUG) FinFET and methods of forming the same | -- |
10971630 | Semiconductor structure having both gate-all-around devices and planar devices | -- |
10971631 | Thin film transistor and method of fabricating the same, display substrate and method of fabricating the same, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971632 | High voltage diode on SOI substrate with trench-modified current path | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10971633 | Structure and method of forming a semiconductor device | STMICROELECTRONICS (ROUSSET) SAS |
10971634 | Oxide semiconductor device and method of manufacturing oxide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10971635 | Conductive polymer nanowires—graphene hybrids with improved optoelectronic properties | BROOKHAVEN SCIENCE ASSOCIATES, LLC |
10971636 | Photoelectric detection structure, manufacturing method therefor, and photoelectric detector | BOE TECHNOLOGY GROUP CO., LTD. |
10971637 | Airship with a radio frequency transparent photovoltaic cell | RAYTHEON COMPANY |
10971638 | Laser techniques for foil-based metallization of solar cells | SUNPOWER CORPORATION |
10971639 | Method of mounting an electrical component on a base part | SICK AG |
10971640 | Self-assembly patterning for fabricating thin-film devices | FLISOM AG |
10971641 | Flexible optoelectronic devices | FLEXENBLE LIMITED |
10971642 | Opto-electronic unit composed of an opto-photonic platform | SEGTON ADVANCED TECHNOLOGY |
10971643 | Implementation of an optimized avalanche photodiode (APD)/single photon avalanche diode (SPAD) structure | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10971644 | Photodetection device, photodetection system, and moving body | CANON KABUSHIKI KAISHA |
10971645 | Controlling detection time in photodetectors | WAYMO LLC |
10971646 | Chemical vapor deposition equipment for solar cell and deposition method thereof | LG ELECTRONICS INC. |
10971647 | Solar cell via thin film solder bond | AMBERWAVE, INC. |
10971648 | Ultraviolet light-emitting element and light-emitting element package | LG INNOTEK CO., LTD. |
10971649 | Semiconductor device and light emitting device package comprising same | LG INNOTEK CO., LTD. |
10971650 | Light emitting device | -- |
10971651 | Semiconductor device and semiconductor device package including same | LG INNOTEK CO., LTD. |
10971652 | Semiconductor device comprising electron blocking layers | -- |
10971653 | Radiation-emitting semiconductor body and method of producing a semiconductor layer sequence | OSRAM OLED GMBH |
10971654 | Display device using semiconductor light emitting device and method for manufacturing the same | LG ELECTRONICS INC. |
10971655 | Semiconductor device | LG INNOTEK CO., LTD. |
10971656 | Resin molding, surface mounted light emitting apparatus and methods for manufacturing the same | NICHIA CORPORATION |
10971657 | Light emitting module and method of manufacturing the same | NICHIA CORPORATION |
10971658 | Infrared emitting device | LUMILEDS LLC |
10971659 | White light emitting device comprising multiple photoluminescence materials | BRIDGELUX, INC. |
10971660 | White LED light source and method of making same | EYESAFE INC. |
10971661 | Light-emitting device with light scatter tuning to control color shift | LUMILEDS LLC |
10971662 | Light emitting diode package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10971663 | Semiconductor light emitting device | STANLEY ELECTRIC CO., LTD. |
10971664 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10971665 | Photon extraction from nitride ultraviolet light-emitting devices | CRYSTAL IS, INC. |
10971666 | Method for manufacturing an optical module and optical module | MITSUMI ELECTRIC CO., LTD. |
10971667 | Light emitting device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
10971668 | Light-emitting device package including a lead frame | SAMSUNG ELECTRONICS CO., LTD. |
10971669 | ExB drift thermoelectric energy generation device | -- |
10971670 | Thermoelectric conversion device | NIPPON THERMOSTAT CO., LTD. |
10971671 | Thermoelectric conversion module and vehicle including the same | HYUNDAI MOTOR COMPANY |
10971672 | Quantum device with modular quantum building blocks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971673 | Piezoelectric element, piezoelectric device, ultrasonic probe and electronic apparatus | SEIKO EPSON CORPORATION |
10971674 | Method for producing composite wafer having oxide single-crystal film | SHIN-ETSU CHEMICAL CO., LTD. |
10971675 | Dual function magnetic tunnel junction pillar encapsulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971676 | Magnetoresistive random access memory having a ring of magnetic tunneling junction region surrounding an array region | -- |
10971677 | Electrically controlled nanomagnet and spin orbit torque magnetic random access memory including the same | -- |
10971678 | Semiconductor device | ABLIC INC. |
10971679 | Magnetoresistive effect element | TDK CORPORATION |
10971680 | Multi terminal device stack formation methods | SPIN MEMORY, INC. |
10971681 | Method for manufacturing a data recording system utilizing heterogeneous magnetic tunnel junction types in a single chip | SPIN MEMORY, INC. |
10971682 | Method for fabricating memory device | -- |
10971683 | Methods for forming narrow vertical pillars and integrated circuit devices having the same | MICRON TECHNOLOGY, INC. |
10971684 | Intercalated metal/dielectric structure for nonvolatile memory devices | -- |
10971685 | Selective device, memory cell, and storage unit | SONY CORPORATION |
10971686 | Organic semiconductor element, polymer, organic semiconductor composition, and organic semiconductor film | FUJIFILM CORPORATION |
10971687 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10971688 | Organic molecules for use in organic optoelectronic devices | CYNORA GMBH |
10971689 | Triphenylene-based materials for organic electroluminescent devices | MERCK PATENT GMBH |
10971690 | Solar cells, structures including organometallic halide perovskite monocrystalline films, and methods of preparation thereof | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10971691 | Display device and manufacturing method of the same | SAMSUNG DISPLAY CO., LTD. |
10971692 | Quantum dot light-emitting layer, quantum dot light-emitting device and preparing methods therefor | BOE TECHNOLOGY GROUP CO., LTD. |
10971693 | Light emitting devices, methods for preparing the same, and display devices | -- |
10971694 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10971695 | Multilayer reflection electrode film, multilayer reflection electrode pattern, and method of forming multilayer reflection electrode pattern | MITSUBISHI MATERIALS CORPORATION |
10971696 | Display device | LG DISPLAY CO., LTD. |
10971697 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971698 | OLED display panel and manufacturing method for the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971699 | Display panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10971700 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
10971701 | Transparent display devices and methods of manufacturing transparent display devices | SAMSUNG DISPLAY CO., LTD. |
10971702 | Display device having a low refractive index layer and a high refractive index layer | SAMSUNG DISPLAY CO., LTD. |
10971703 | Light-emitting device having intermediate layer located over interconnect | PIONEER CORPORATION |
10971704 | Display panel and display device | HKC CORPORATION LIMITED |
10971734 | Planar structural body containing fibrous carbon nanohorn aggregate | NEC CORPORATION |
10971765 | Current control systems and methods | PALO ALTO RESEARCH CENTER INCORPORATED |
10971790 | Well thermalized microstrip formation for flexible cryogenic microwave lines in quantum applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971926 | Tape lifetime monitor in fault current limiter | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971927 | Current controlling device | ROLLS-ROYCE PLC |
10971986 | Broadband vibrational energy harvesting device combining multiple nonlinearity | UNIVERSITY COLLEGE CORK—NATIONAL UNIVERSITY OF IRELAND, CORK |
10972047 | Photovoltaic module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10972048 | Photovoltaic module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10972068 | Process-invariant delay cell | QUALCOMM INCORPORATED |
10972071 | Resonator device | MURATA MANUFACTURING CO., LTD. |
10972072 | Composite multiplexer | MURATA MANUFACTURING CO., LTD. |
10972097 | Integrated optical switch | STMICROELECTRONICS SA |
10972104 | Superconducting logic components | PSIQUANTUM CORP. |
10972707 | Endoscope and method of manufacturing endoscope | OLYMPUS CORPORATION |
10972820 | Display apparatus | LG DISPLAY CO., LTD. |
10973118 | Flexible conductive film, its manufacturing method, flexible touch screen and flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10973129 | Application of electrical conductors of a solar cell | LUMET TECHNOLOGIES LTD. |
10973494 | Flexible circuit with redundant connection points for ultrasound array | ECHONOUS, INC. |
10974056 | Autonomous cardiac implant of the leadless capsule type, including a piezoelectric beam energy harvester | CAIRDAC |
10974365 | Method for processing semiconductor wafers using a grinding wheel | INFINEON TECHNOLOGIES AG |
10974433 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10974479 | Bending area structure of flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10974487 | Foldable electronic device assemblies and cover elements for the same | CORNING INCORPORATED |
10974492 | Light emitting device and method of manufacturing light emitting device | NICHIA CORPORATION |
10974965 | Silicon-containing structure, method of preparing the same, carbon composite using the same, and electrode, lithium battery, and device each including the same | SAMSUNG ELECTRONICS CO., LTD. |
10975014 | Organic ligand and preparation method thereof, quantum dot structure material, quantum-dot-containing layer, and light emitting diode | BOE TECHNOLOGY GROUP CO., LTD. |
10975113 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10975298 | Quantum dots, a composition or composite including the same, and an electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10975299 | Semiconductor nanocrystal particles and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10975302 | Coated narrow band red phosphor | INTEMATIX CORPORATION |
10975496 | Single crystal silicon plate-shaped body | TOKUYAMA CORPORATION |
10975498 | System based on low-pressure chemical vapor deposition for fabricating perovskite film from organic halide compound and metal halide compound | OKINAWA INSTITUTE OF SCIENCE AND TECHNOLOGY SCHOOL CORPORATION |
10976011 | LED lighting apparatus | ROHM CO., LTD. |
10976082 | Thermoelectric thread for a heating and/or cooling device | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10976184 | Sensor diagnostic device and method | MELEXIS BULGARIA LTD. |
10976281 | Chemical sensing unit, chemical sensor, and chemical sensing device electrically coupled to light emitting diode | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10976414 | Heterogeneous integration of curved mirror structure for passive alignment in chip-scale lidar | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10976453 | Radiation detector with built-in depolarization device | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
10976563 | Display device | SEIKO EPSON CORPORATION |
10976580 | Display device | JAPAN DISPLAY INC. |
10976592 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10976637 | Radio-frequency loss reduction in photonic circuits | AURRION, INC. |
10976671 | Shadow mask area correction for tunnel junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10976849 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10976854 | Force touch display panel, detection method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10976870 | Display device with inorganic film and method of fabricating the same | LG DISPLAY CO., LTD. |
10976879 | Method of manufacturing a touch panel and touch panel | BOE TECHNOLOGY GROUP CO., LTD. |
10977120 | Memory controller determining endurance degradation, memory system including the same, and method of operating the memory controller | SAMSUNG ELECTRONICS CO., LTD. |
10977409 | Apparatus and method of generating a layout for a semiconductor device | -- |
10977990 | Pixel and organic light emitting display device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
10978121 | Voltage control magnetic random storage unit, memory and logic device composed thereby | INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES |
10978125 | Transistor with adjustable rectifying transfer characteristic | NAMLAB GGMBH |
10978129 | Memory cell, memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH |
10978215 | Series and/or parallel connected alpha, beta, and gamma voltaic cell devices | -- |
10978218 | Hydrogel network | OXFORD UNIVERSITY INNOVATION LIMITED |
10978234 | Magnetic stack, multilayer, tunnel junction, memory point and sensor comprising such a stack | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10978255 | Bichromic bipodal triphenylamine-based dyes with high photo-electron conversion at low light intensities | -- |
10978296 | Nitride semiconductor substrate, semiconductor laminate, laminated structure, method for manufacturing nitride semiconductor substrate and method for manufacturing semiconductor laminate | SCIOCS COMPANY LIMITED |
10978297 | Formation of stacked lateral semiconductor devices and the resulting structures | TC LAB, INC. |
10978299 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978301 | Morphology of resist mask prior to etching | -- |
10978305 | Manufacturing method for a film stack of a semiconductor device | -- |
10978306 | Semiconductor recess formation | MICRON TECHNOLOGY, INC. |
10978308 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
10978309 | Heat treatment method and heat treatment apparatus for heating substrate by emitting flashing light | SCREEN HOLDINGS CO., LTD. |
10978311 | Method for thinning solid body layers provided with components | SILTECTRA GMBH |
10978332 | Vacuum suction apparatus | -- |
10978335 | Method for producing a gate cut structure on an array of semiconductor fins | IMEC VZW |
10978340 | Method for forming an electrical contact between a semiconductor film and a bulk handle wafer, and resulting structure | STMICROELECTRONICS (CROLLES 2) SAS |
10978341 | Contact openings and methods forming same | -- |
10978344 | Melting laser anneal of epitaxy regions | -- |
10978350 | Structure and method for metal gates with roughened barrier layer | -- |
10978351 | Etch stop layer between substrate and isolation structure | -- |
10978352 | FinFET device | -- |
10978353 | High mobility transistors | TEXAS INSTRUMENTS INCORPORATED |
10978355 | Multi-gate devices with replaced-channels and methods for forming the same | -- |
10978356 | Tri-layer STI liner for nanosheet leakage control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978357 | Semiconductor arrangement and method of manufacture | -- |
10978359 | SiC substrate evaluation method, SiC epitaxial wafer manufacturing method, and SiC epitaxial wafer | SHOWA DENKO K.K. |
10978367 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10978385 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10978396 | Transient electronics using thermoresponsive materials | VANDERBILT UNIVERSITY |
10978398 | Semiconductor device and method for fabricating the same | -- |
10978402 | Electronic devices with yielding substrates | COOLEDGE LIGHTING INC. |
10978403 | Package structure and method for fabricating the same | -- |
10978409 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10978413 | Circuit system having compact decoupling structure | -- |
10978414 | Semiconductor device and method of manufacturing a semiconductor device | ABLIC INC. |
10978422 | Vertical transistor device and method for fabricating the same | -- |
10978423 | Projecting contacts and method for making the same | INTEL CORPORATION |
10978425 | Reducing loss in stacked quantum devices | GOOGLE LLC |
10978428 | Manufacturing method of semiconductor device | SK HYNIX INC. |
10978429 | Light emitting diode (LED) mass-transfer apparatus and method of manufacture | APPLE INC. |
10978433 | Package-on-package (PoP) device with integrated passive device in a via | -- |
10978435 | Display device using semiconductor light-emitting element | LG ELECTRONICS INC. |
10978436 | Symmetric FET for RF nonlinearity improvement | PSEMI CORPORATION |
10978437 | Analog-mixed signal circuit cells with universal Fin pitch and poly pitch | QUALCOMM INCORPORATED |
10978438 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
10978439 | Method and system of manufacturing conductors and semiconductor device which includes conductors | -- |
10978440 | Circuit layout method | -- |
10978441 | Transient voltage suppressor and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10978445 | Electrostatic discharge protection circuit and semiconductor circuit | -- |
10978447 | Display device | SAMSUNG DISPLAY CO., LTD. |
10978449 | Decoupling capacitor | -- |
10978450 | FinFET isolation structure and method for fabricating the same | -- |
10978452 | Structure and method of latchup robustness with placement of through wafer via within CMOS circuitry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978453 | Integrated circuit devices and methods of fabricating such devices | SAMSUNG ELECTRONICS CO., LTD. |
10978454 | Semiconductor device and method of forming the semiconductor device | ELPIS TECHNOLOGIES INC. |
10978455 | Memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
10978456 | Multi-layer thyristor random access memory with silicon-germanium bases | TC LAB, INC. |
10978459 | Semiconductor device with bit lines at different levels and method for fabricating the same | -- |
10978461 | Antifuse array and method of forming antifuse using anodic oxidation | -- |
10978462 | Method for forming semiconductor device structure | -- |
10978463 | Semiconductor device and manufacturing method thereof | -- |
10978467 | Sonos nonvolatile memory and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10978468 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10978469 | Semiconductor storage device having an amorphous layer | TOSHIBA MEMORY CORPORATION |
10978470 | Semiconductor device including multiple layers of memory cells, method of manufacturing the same, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10978472 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10978476 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10978479 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10978480 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978482 | Ferroelectric memory device with select gate transistor and method of forming the same | SANDISK TECHNOLOGIES LLC |
10978483 | Ferroelectric memory device | SK HYNIX INC. |
10978484 | Methods used in forming an array of memory cells | MICRON TECHNOLOGY, INC. |
10978485 | Vertical-channel ferroelectric flash memory | -- |
10978487 | Inverting circuit | STMICROELECTRONICS (CROLLES 2) SAS |
10978488 | Film layer structure, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978490 | Oxide semiconductor, thin film transistor, and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978494 | Display including plurality of wiring layers in bending region | SAMSUNG ELECTRONICS CO., LTD. |
10978497 | Display device | SEMINCONDUCTOR ENERGY LABORATORY CO., LTD. |
10978498 | Array substrate and display device and method for making the array substrate | -- |
10978500 | Flexible base substrate and fabrication method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10978501 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
10978509 | Display panel and manufacturing method thereof | -- |
10978510 | Memory device with density-controllable dummy fill strategy for near-MRAM periphery and far-outside-MRAM logic regions for embedded MRAM technology | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10978511 | Semiconductor device and memory cell | -- |
10978512 | Electronic device and method for fabricating the same | SK HYNIX INC. |
10978513 | Complementary carbon nanotube field effect transistor and manufacturing method thereof | INCHEON UNIVERSITY INDUSTRY ACADEMIC COOPERATION FOUNDATION |
10978514 | Solid-state imaging device, and method of manufacturing solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10978515 | Organic electroluminescent display panel with improved display brightness and fabrication method thereof | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978517 | Display substrate having a filter conversion layer | BOE TECHNOLOGY GROUP CO., LTD. |
10978518 | Display panel | SAMSUNG DISPLAY CO., LTD. |
10978519 | Display apparatus having dummy contact holes | SAMSUNG DISPLAY CO., LTD. |
10978520 | Display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10978521 | Display device | JAPAN DISPLAY INC. |
10978522 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10978523 | Visible light sensor embedded organic light emitting diode display panels and display devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
10978524 | Electroluminescent display substrate and manufacturing method thereof, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10978525 | Organic light emitting device, display and mobile communication device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10978526 | Display device, display panel and method of fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
10978527 | Display substrate and method of fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978529 | Active matrix substrate and method for manufacturing the same | SHARP KABUSHIKI KAISHA |
10978531 | Transparent display substrate, manufacturing method thereof and transparent display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10978532 | Organic light-emitting diode (OLED) display panel and method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978533 | Array substrate structure and display device | -- |
10978534 | Array substrate having parallel capacitors in compensation circuit | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978536 | Organic light emitting diode display including an anode overlapping a voltage line | SAMSUNG DISPLAY CO., LTD. |
10978537 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10978539 | Array substrate having a recessed and light blocking portion and fabrication method thereof, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978540 | Method of manufacturing organic light-emitting display apparatus including multilayer auxiliary electrode | SAMSUNG DISPLAY CO., LTD. |
10978541 | Organic light emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10978542 | Array substrate, fabricating method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978543 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10978546 | Display device | SAMSUNG DISPLAY CO., LTD. |
10978547 | Integrated inductor | -- |
10978548 | Integrated capacitor with sidewall having reduced roughness | TEXAS INSTRUMENTS INCORPORATED |
10978549 | Semiconductor device and method for fabricating the same | -- |
10978550 | Efficient metal-insulator-metal capacitor | TESSERA, INC. |
10978551 | Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978552 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978553 | Formation of a capacitor using a hard mask | MICRON TECHNOLOGY, INC. |
10978554 | Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10978555 | Semiconductor structure and method of forming the same | -- |
10978556 | Semiconductor device and method for fabricating the same | -- |
10978557 | Method of fabricating semiconductor device with nanowires | -- |
10978558 | Semiconductor device and method for fabricating a semiconductor device | SK HYNIX INC. |
10978559 | MOS transistor with folded channel and folded drift region | TEXAS INSTRUMENTS INCORPORATED |
10978560 | Power semiconductor device with dV/dt controllability and low gate charge | INFINEON TECHNOLOGIES AG |
10978561 | Layer, multilevel element, method for fabricating multilevel element, and method for driving multilevel element | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10978562 | Device isolation using preferential oxidation of the bulk substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978563 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978564 | Semiconductor device and method of manufacturing the same | GPOWER SEMICONDUCTOR, INC. |
10978565 | Power transistor device | -- |
10978566 | Middle of line structures | GLOBALFOUNDRIES U.S. INC. |
10978567 | Gate stack treatment for ferroelectric transistors | -- |
10978568 | Passivation of transistor channel region interfaces | INTEL CORPORATION |
10978569 | Process of forming nitride semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10978570 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978571 | Self-aligned contact with metal-insulator transition materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978572 | Self-aligned contact with metal-insulator transition materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978573 | Spacer-confined epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978574 | Floating gate prevention and capacitance reduction in semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978575 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10978576 | Techniques for vertical FET gate length control | ELPIS TECHNOLOGIES INC. |
10978577 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10978578 | Semiconductor quantum dot device and method for forming a scalable linear array of quantum dots | THE TRUSTEES OF PRINCETON UNIVERSITY |
10978579 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10978580 | Insulated gate bipolar transistor and diode | ROHM CO., LTD. |
10978581 | Guard rings for cascode gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10978582 | Gate patterning for quantum dot devices | INTEL CORPORATION |
10978583 | Semiconductor devices having a plurality of unit cell transistors that have smoothed turn-on behavior and improved linearity | CREE, INC. |
10978584 | Semiconductor structure, semiconductor assembly and power semiconductor device | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY |
10978585 | MOS device with island region | ALPHA AND OMEGA SEMICONDUCTOR LIMITED |
10978586 | Switching device | DENSO CORPORATION |
10978587 | Semiconductor device | KEY FOUNDRY CO., LTD. |
10978588 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10978589 | Semiconductor structure and manufacturing method thereof | -- |
10978590 | Methods and apparatus to remove epitaxial defects in semiconductors | INTEL CORPORATION |
10978591 | Nanowire semiconductor device having high-quality epitaxial layer and method of manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10978592 | Systems and methods for forming finFET analog designs having a modular memory-like layout | MARVELL ASIA PTE., LTD. |
10978593 | Threshold voltage control using channel digital etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978594 | Transistor comprising a channel placed under shear strain and fabrication process | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10978595 | Thin-film transistor-based pressure sensor and method of manufacturing same | CENTER FOR ADVANCED SOFT ELECTRONICS |
10978596 | Power diode and method of manufacturing a power diode | INFINEON TECHNOLOGIES AUSTRIA AG |
10978597 | Sensor | OSRAM OLED GMBH |
10978598 | Electro-optic nanoscale probes | UNIVERSITY OF CONNECTICUT |
10978599 | Method for improving corrosion resistance of gold finger | GUANGZHOU FASTPRINT CIRCUIT TECH CO., LTD. |
10978600 | Systems and methods for active SWIR imaging using germanium receivers | TRIEYE LTD. |
10978601 | Partially translucent photovoltaic modules and methods for manufacturing | IMEC VZW |
10978602 | Solar cell module interconnection of solar cells on conductive layers of a polymide support | SOLAERO TECHNOLOGIES CORP. |
10978603 | Energy storage | POWER ROLL LIMITED |
10978604 | Bandgap grading of CZTS solar cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978605 | Semiconductor photodiode, optical receiver module, optical module, and optical transmission equipment | LUMENTUM JAPAN, INC. |
10978606 | Avalanche diode and method of manufacturing an avalanche diode | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
10978607 | Optical transmission/reception circuit | STMICROELECTRONICS (GRENOBLE 2) SAS |
10978608 | Semiconductor devices with curved-shape silicon germanium structures and optical resonator structures | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10978609 | Display device, method of manufacturing the same and substrate of the same | -- |
10978610 | Nitride semiconductor light-emitting element and method of manufacturing the same | NICHIA CORPORATION |
10978611 | Semiconductor structure with chirp layer | SILANNA UV TECHNOLOGIES PTE LTD |
10978612 | Semiconductor light emitting device | XIAMEN SAN'AN OPTOELECTRONICS CO., LTD |
10978613 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978614 | Light-emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10978615 | Plurality of light emitting devices having opaque insulating layer between them | -- |
10978616 | Micro light emitting element and image display device | SHARP KABUSHIKI KAISHA |
10978617 | Light emitting element | NICHIA CORPORATION |
10978618 | Semiconductor light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
10978619 | Light emitting device | TOYODA GOSEI CO., LTD. |
10978620 | Light emitting device, illumination device and plant cultivation method | NICHIA CORPORATION |
10978621 | Light emitting element, light emitting assembly, display device, and method for making a light emitting assembly | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
10978622 | Nitride phosphor and light emitting device and backlight module employing the nitride phosphor | -- |
10978623 | Light emitting element including adhesive member containing particles | NICHIA CORPORATION |
10978624 | LED light bulb having filament with conductor partly overlapping with LED chip | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
10978625 | Method for forming light-transmissive member, method for producing light emitting device, and light emitting device | NICHIA CORPORATION |
10978626 | Display apparatus and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10978627 | Light-emitting element and light-emitting device | STANLEY ELECTRIC CO., LTD. |
10978628 | Device and connection carrier | OSRAM OLED GMBH |
10978629 | Method of dispersing nanoparticles in different mediums and methods to achieve superior thermoelectric performances in carbon nanotube polymer systems | -- |
10978630 | System for controlling localized heating and cooling | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10978631 | Combined dolan bridge and quantum dot josephson junction in series | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978632 | Fabrication of a device | MICROSOFT TECHNOLOGY LICENSING, LLC |
10978633 | Actuator device having a trace with a base section coupled to terminals | BROTHER KOGYO KABUSHIKI KAISHA |
10978634 | Method for manufacturing piezoelectric actuator | BROTHER KOGYO KABUSHIKI KAISHA |
10978635 | Piezoelectric element, piezoelectric actuator and piezoelectric transformer | NGK SPARK PLUG CO., LTD. |
10978636 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
10978637 | Method for fabricating electronic device | SK HYNIX INC. |
10978638 | Data storage devices and methods for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978639 | Circuits for reducing RF signal interference and for reducing DC power loss in phase-change material (PCM) RF switches | NEWPORT FAB, LLC |
10978640 | Manufacturing of carbon nanotube thin film transistor backplanes and display integration thereof | ATOM H2O, LLC |
10978641 | Vapor deposition mask, frame-equipped vapor deposition mask, vapor deposition mask preparation body, and method for producing organic semiconductor element | DAI NIPPON PRINTING CO., LTD. |
10978642 | Mixture, composition and organic electronic device | GUANGZHOU CHINARAY OPTOELECTRONIC MATERIALS LTD. |
10978643 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10978644 | Organic compound and organic photoelectric conversion element including the same | CANON KABUSHIKI KAISHA |
10978645 | Indolocarbazole tetraphenylene compounds | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
10978646 | Organic molecules for use in optoelectronic devices | CYNORA GMBH |
10978647 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10978648 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10978649 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10978650 | Light-emitting device | SAMSUNG DISPLAY CO., LTD. |
10978651 | Method and apparatus for producing flexible OLED device | SAKAI DISPLAY PRODUCTS CORPORATION |
10978652 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978653 | Chemical sensor | LIFE SCIENCE BIOSENSOR DIAGNOSTICS PTY LTD |
10978654 | Exciton management in organic photovoltaic multi-donor energy cascades | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10978655 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978656 | Organic light emitting display device having layer to control charge transfer | LG DISPLAY CO., LTD. |
10978657 | Quantum dot device and quantum dots | SAMSUNG ELECTRONICS CO., LTD. |
10978658 | Light emitting device and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10978659 | Inorganic illuminant, light-emitting diode and light-emitting device having thereof | LG DISPLAY CO., LTD. |
10978661 | Light-emitting element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10978662 | Quantum dot light-emitting diode and method of fabricating the same | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
10978663 | Electroluminance lighting device | LG DISPLAY CO., LTD. |
10978664 | Display substrate and method for manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10978665 | Display panel and display panel manufacturing method | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978666 | Electroluminescent device having window | SAMSUNG DISPLAY CO., LTD. |
10978667 | Substrate to be encapsulated, encapsulation assembly and display device having the same | BOE TECHNOLOGY GROUP CO., LTD. |
10978668 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10978669 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10978670 | Flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10978671 | Display apparatus having dam members | SAMSUNG DISPLAY CO., LTD. |
10978672 | Display panel and display device including display panel | SAMSUNG DISPLAY CO., LTD. |
10978673 | Display device and organic luminescent display device | SAMSUNG DISPLAY CO., LTD. |
10978674 | Display apparatus with substrate hole | SAMSUNG DISPLAY CO., LTD. |
10978675 | Display device solidified against external impact | SAMSUNG DISPLAY CO., LTD. |
10978676 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
10978677 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10978678 | Display panel and display panel manufacturing method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978679 | Method of manufacturing composite film layer and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10978680 | Apparatus for manufacturing display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10978681 | Method for manufacturing a display apparatus | SAKAI DISPLAY PRODUCTS CORPORTION |
10978869 | USB type-C load switch ESD protection | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10978878 | System and method for managing the power output of a photovoltaic cell | SOLARLYTICS, INC. |
10978966 | Vibration wave actuator, imaging apparatus, and stage apparatus using the same | CANON KABUSHIKI KAISHA |
10978988 | Selective emitter for thermophotovoltaic power generator | NIPPON STEEL CORPORATION |
10978990 | Glass cover with optical-filtering coating for managing color of a solar roof tile | TESLA, INC. |
10978992 | Multiple configurable solar photovoltaic assembly | -- |
10978994 | Photovoltaic module and photovoltaic system | TUBESOLAR AG |
10979013 | Method of manufacturing piezoelectric thin film resonator on non-silicon substrate | SHANDONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10979021 | System and method for a radio frequency filter | INFINEON TECHNOLOGIES AG |
10979022 | 5.2 GHz Wi-Fi acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979023 | 5.9 GHz c-V2X and DSRC acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979025 | 5G band n79 acoustic wave resonator RF filter circuit | AKOUSTIS, INC. |
10979436 | Versatile autoscaling for containers | AMAZON TECHNOLOGIES, INC. |
10980105 | Carrier with a passive cooling function for a semiconductor component | TDK ELECTRONCIS AG |
10980118 | Flexible circuit film including flexible films facing each other with wirings arranged there between | SAMSUNG DISPLAY CO., LTD. |
10980119 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10980130 | Metal foils with ordered crystal structure and method for producing metal foils | THE CURATORS OF THE UNIVERSITY OF MISSOURI |
10980137 | Display apparatus and portable terminal | SAMSUNG DISPLAY CO., LTD. |
10981139 | Semiconductor quantum dot and method of carrying out chemical reaction or photoluminescence reaction by using the same | -- |
10981250 | Wafer producing apparatus | DISCO CORPORATION |
10981304 | Method of nanoscale patterning based on controlled pinhole formation | OKINAWA INSTITUTE OF SCIENCE AND TECHNOLOGY SCHOOL CORPORATION |
10981876 | Spiro compound and organic light emitting element comprising same | LG CHEM, LTD. |
10981880 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
10981930 | Organic molecules for use in optoelectronic devices | CYNORA GMBH |
10981936 | Oligomeric perylene diimide non-fullerene acceptors via direct (hetero)arylation cross-coupling reactions | UTI LIMITED PARTNERSHIP |
10981938 | Polycyclic aromatic compounds and organic electroluminescent devices using the same | SFC CO., LTD. |
10982042 | Polymer and organic solar cell including same | LG CHEM, LTD. |
10982061 | Photosensitive resin composition and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10982064 | Multilayer barrier films | 3M INNOVATIVE PROPERTIES COMPANY |
10982135 | Phosphor-containing film and backlight unit | FUJIFILM CORPORATION |
10982136 | Ligand-sensitized lanthanide nanocrystals as ultraviolet downconverters | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10982139 | Fluoride phosphor and light-emitting device using same | DENKA COMPANY LIMITED |
10982141 | Phosphor composition, light-emitting device package comprising same, and lighting apparatus | LG INNOTEK CO., LTD. |
10982142 | Red phosphor and light emitting device using the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10982143 | Wavelength converting material for a light emitting device | LUMILEDS LLC |
10982229 | Methods and products for transfecting cells | FACTOR BIOSCIENCE INC. |
10982314 | Mask plate assembly capable of preventing wrinkle and assembly method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10982315 | Mask plate for evaporation | BOE TECHNOLOGY GROUP CO., LTD. |
10982316 | Vapor deposition mask, frame-equipped vapor deposition mask, vapor deposition mask preparation body, vapor deposition pattern forming method, method for producing organic semiconductor element, and method for producing organic EL display | DAI NIPPON PRINTING CO., LTD. |
10982317 | Vapor deposition mask, vapor deposition mask preparation body, method for producing vapor deposition mask, and method for producing organic semiconductor element | DAI NIPPON PRINTING CO., LTD. |
10982325 | Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods | PICOSUN OY |
10982390 | Scalable, highly transparent paper with microsized fiber | UNIVERSITY OF MARYLAND, COLLEGE PARK |
10982815 | Lighting device and LED circuit board with a center opening with a protrusion | LG INNOTEK CO., LTD. |
10982816 | LED light bulb having uniform light emmision | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
10982817 | LED light bulb with a flexible LED filament | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
10982818 | High light-emitting efficiency LED light bulb having filament with controlled refractive index differences of multiple interfaces | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
10982823 | Automotive led light source with glass lens over a glass converter plate containing phosphor | BRIDGELUX, INC. |
10983022 | Pressure sensor | DENSO CORPORATION |
10983052 | Electricity measuring type surface plasmon resonance sensor and electricity measuring type surface plasmon resonance sensor chip used in the same | IMRA JAPAN KABUSHIKIKAISHA |
10983101 | Methylbenzene gas sensor using palladium-containing cobalt oxide nanostructures and method for manufacturing the same | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10983181 | Magnetic sensor | TDK CORPORATION |
10983182 | Ultrasensitive magnetic tunneling junction sensor | BROWN UNIVERSITY |
10983202 | Sensor assembly and mounting method therefor | NIFCO INC. |
10983256 | Privacy films for curved displays | APPLE INC. |
10983278 | Adhesion promoter apparatus and method | -- |
10983339 | Solid-state imaging element, pupil correction method for solid-state imaging element, imaging device, and information processing device | SONY CORPORATION |
10983372 | Fast-switching electro-optic modulators and method of making the same | REDLEN TECHNOLOGIES, INC. |
10983388 | Display device | LG DISPLAY CO., LTD. |
10983407 | Display device having an oxide semiconductor transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10983412 | Silicon photonic components fabricated using a bulk substrate | GLOBALFOUNDRIES U.S. INC. |
10983436 | Negative-type photosensitive resin composition, cured film, display device provided with cured film, and production method therefor | TORAY INDUSTRIES, INC. |
10983443 | Control device for actuating an actuator unit of a lithography system, lithography system having a control device, and method for operating the control device | CARL ZEISS SMT GMBH |
10983479 | Piezoelectric element for an automatic frequency control circuit, oscillating mechanical system and device comprising the same, and method for manufacturing the piezoelectric element | THE SWATCH GROUP RESEARCH AND DEVELOPMENT LTD |
10983560 | Method of bending flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10983564 | Flexible display panel and display apparatus including the flexible display panel | SAMSUNG DISPLAY CO., LTD. |
10983615 | Patterning of a composition comprising silver nanowires | HERAEUS DEUTSCHLAND GMBH & CO. KG |
10983626 | Electronic devices with display and touch sensor structures | APPLE INC. |
10983634 | Touch array substrate and touch display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10983639 | Electroluminescent display including touch sensor | LG DISPLAY CO., LTD. |
10983757 | Nanomaterial-based true random number generator | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10984208 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10984211 | Semiconductor device with bioFET and biometric sensors | -- |
10984300 | Dual frequency transceiver device | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10984336 | Superconducting clock conditioning system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10984687 | Flexible display substrate and fabrication method thereof and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10984702 | Display apparatus with array of light emitting diodes and method of manufacturing the same | -- |
10984703 | Semiconductor device, display system which corrects image data, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984705 | Colour ILED display on silicon | FACEBOOK TECHNOLOGIES, LLC |
10984708 | Manufacture LED displays using temporary carriers | FACEBOOK TECHNOLOGIES, LLC |
10984715 | Display device | SAMSUNG DISPLAY CO., LTD. |
10984716 | Active-matrix display device | JOLED INC. |
10984725 | Display panel, method for manufacturing the same, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
10984743 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984857 | Superconductive memory cells and devices | PSIQUANTUM CORP. |
10984859 | Resistive memory devices based on metal coordinated redox active ligands | AZOMETRIX |
10984862 | Three-dimensional memory device with embedded dynamic random-access memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10984937 | Electromagnetic tool for transferring micro devices and methods of fabrication | LUXNOUR TECHNOLOGIES INC. |
10984938 | Magnetoresistance effect device | TDK CORPORATION |
10984959 | Quantum dot-sensitized solar cell and method of making the same | UNITED ARAB EMIRATES UNIVERSITY |
10984976 | Microfabricated ion trap chip with an integrated microwave antenna | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10984985 | RF impedance matching network | RENO TECHNOLOGIES, INC. |
10984995 | Hybrid solar generator | GE AVIATION SYSTEMS LLC |
10985005 | Silicon wafer for an electronic component and method for the production thereof | NEXWAFE GMBH |
10985011 | Structure and formation method of semiconductor device with resistive elements | -- |
10985012 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10985019 | Method of forming a semiconductor device using layered etching and repairing of damaged portions | -- |
10985025 | Fin cut profile using fin base liner | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985033 | Semiconductor package with reduced parasitic coupling effects and process for making the same | QORVO US, INC. |
10985046 | Micro-LED transfer methods using light-based debonding | VEECO INSTRUMENTS INC. |
10985048 | Semiconductor device and method for fabricating the same | -- |
10985050 | Semiconductor chip, semiconductor wafer and method for manufacturing semiconductor wafer | DYNAX SEMICONDUCTOR, INC. |
10985053 | Contact plugs and methods of forming same | -- |
10985059 | Preclean and dielectric deposition methodology for superconductor interconnect fabrication | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985061 | Methods for forming contact plugs with reduced corrosion | -- |
10985062 | Self-aligned contact cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985068 | Organic light emitting diode display device and method of fabricating the same | LG DISPLAY CO., LTD. |
10985069 | Gate stack optimization for wide and narrow nanosheet transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985070 | Method for forming nano sensing chip by selective deposition of sensing materials through device-localized Joule heating and nano sensing chip thereof | -- |
10985072 | Etch profile control of polysilicon structures of semiconductor devices | -- |
10985073 | Vertical field effect transistor replacement metal gate fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985074 | Method of manufacturing a CMOS transistor | KEY FOUNDRY CO., LTD |
10985075 | Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985084 | Integrated III-V device and driver device packages with improved heat removal and methods for fabricating the same | GLOBALFOUNDRIES U.S. INC. |
10985087 | Wiring board | NGK SPARK PLUG CO., LTD. |
10985090 | Methods of manufacturing a thin film resistor with ends overlapped by interconnect pads | -- |
10985098 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
10985102 | Semiconductor device, fabrication method for a semiconductor device and electronic apparatus | SONY CORPORATION |
10985104 | Semiconductor device having electrode pad and electrode layer intervening semiconductor layer inbetween and manufacturing method thereof | KABUSHIKI KAISHA TOSHIBA |
10985105 | Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985119 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10985123 | Semiconductor apparatus | MURATA MANUFACTURING CO., LTD. |
10985125 | Chip package structure | -- |
10985136 | Microelectronic die stack having at least one rotated microelectronic die | INTEL CORPORATION |
10985143 | Micro assembled LED displays and lighting elements | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10985144 | Light emitting apparatus | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10985145 | Light source module | -- |
10985148 | Electronic device | -- |
10985150 | Display devices and methods for forming the same | -- |
10985155 | Embedded N-channel metal oxide semiconductor (nmos) triggered silicon controlled rectification device | -- |
10985157 | Electrostatic discharge protection device and layout design thereof | -- |
10985158 | Semiconductor device with transistor portion having low injection region on the bottom of a substrate | FUJI ELECTRIC CO., LTD. |
10985159 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10985161 | Single diffusion break isolation for gate-all-around field-effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985163 | Semiconductor capacitor structure | -- |
10985167 | Flexible merge scheme for source/drain epitaxy regions | -- |
10985168 | Semiconductor memory device | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
10985171 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
10985172 | Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same | SANDISK TECHNOLOGIES LLC |
10985173 | Non-volatile storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10985174 | Semiconductor device | SK HYNIX INC. |
10985175 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10985177 | Method of manufacturing a semiconductor device having non-overlapping slits at one side of the channel layers of a memory block | SK HYNIX INC. |
10985180 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
10985181 | Semiconductor device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
10985182 | Methods for forming three-dimensional memory device without conductor residual caused by dishing | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10985183 | Butted body contact for SOI transistor | PSEMI CORPORATION |
10985184 | Fins for metal oxide semiconductor device structures | INTEL CORPORATION |
10985185 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10985187 | Display panel and fabrication method, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10985190 | Active device substrate and fabricating method thereof | -- |
10985192 | Display driver semiconductor device and manufacturing method thereof | KEY FOUNDRY., LTD. |
10985193 | Display panel | -- |
10985196 | Thin film transistor substrate with intermediate insulating layer and display using the same | LG DISPLAY CO., LTD. |
10985200 | Method for producing an image sensor, and an image sensor | IMEC VZW |
10985201 | Image sensor including silicon over germanium layer | -- |
10985205 | Display panel and method for manufacturing display panel | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10985206 | Chip-scale package light emitting diode | SEOUL VIOSYS CO., LTD. |
10985209 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION |
10985210 | Nonvolatile storage device | TOSHIBA MEMORY CORPORATION |
10985211 | Embedded MRAM structure and method of fabricating the same | -- |
10985212 | Multi-component cell architectures for a memory device | MICRON TECHNOLOGY, INC. |
10985213 | Nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
10985214 | Flexible display substrate for foldable display apparatus, method of manufacturing flexible display substrate, and foldable display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10985217 | High resolution organic light-emitting diode devices, displays, and related methods | KATEEVA, INC. |
10985218 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10985219 | Display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10985220 | Light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10985221 | Display device | LG DISPLAY CO., LTD. |
10985222 | Electroluminescent display panel, method for manufacturing the same, and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10985223 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10985224 | Display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
10985225 | Organic light-emitting diode display substrate and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10985226 | Ink jet printing organic light emitting diode display panel and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985227 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10985228 | Flexible display panel with reinforced insulating layer, method of manufacturing flexible display panel, and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10985229 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10985230 | Organic light emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10985232 | Display device included a folded-back display panel portion for a driver | JAPAN DISPLAY INC. |
10985236 | Tunable on-chip nanosheet resistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985237 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10985238 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10985239 | Oxidative trim | MICRON TECHNOLOGY, INC. |
10985240 | Semiconductor device having diode devices with different barrier heights and manufacturing method thereof | -- |
10985241 | Semiconductor device and production method thereof | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10985242 | Power semiconductor device having guard ring structure, and method of formation | LITTELFUSE, INC. |
10985243 | Castellated superjunction transistors | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985244 | N-well resistor | GLOBALFOUNDRIES U.S. INC. |
10985245 | Semiconductor device with planar field effect transistor cell | INFINEON TECHNOLOGIES AG |
10985246 | MOSFET with selective dopant deactivation underneath gate | -- |
10985247 | Layer, multilevel element, method for fabricating multilevel element, and method for driving multilevel element | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10985248 | SiC power semiconductor device with integrated Schottky junction | INFINEON TECHNOLOGIES AG |
10985250 | Gate cut device fabrication with extended height gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985251 | Apparatuses including memory cells with gaps comprising low dielectric constant materials | MICRON TECHNOLOGY, INC. |
10985253 | Semiconductor devices with multiple channels and three-dimensional electrodes | ECOLE POLYTECHNIQUE FÉDÉRALE DE LAUSANNE (EPFL) |
10985254 | Semiconductor device and method of manufacturing the same | -- |
10985255 | Semiconductor device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10985256 | Semiconductor device and method for manufacturing the same | -- |
10985257 | Vertical transport fin field effect transistor with asymmetric channel profile | ELPIS TECHNOLOGIES INC. |
10985258 | Method for preparing diamond-based field effect transistor, and corresponding field effect transistor | THE 13TH RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
10985259 | GaN HEMT device structure and method of fabrication | GAN SYSTEMS INC. |
10985260 | Trench silicide contacts with high selectivity process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985261 | Dummy gate structure and methods thereof | -- |
10985262 | Semiconductor structure and manufacturing method thereof | -- |
10985263 | Thin film cap to lower leakage in low band gap material devices | INTEL CORPORATION |
10985264 | Semiconductor device and method for fabricating the same | -- |
10985265 | Method for forming semiconductor device structure | -- |
10985266 | Method of gap filling for semiconductor device | -- |
10985267 | Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10985268 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10985269 | Two-dimensional electron gas (2DEG)-confined devices and methods | STMICROELECTRONICS S.R.L. |
10985270 | Nitride power transistor and manufacturing method thereof | ENKRIS SEMICONDUCTOR, INC. |
10985271 | High electron mobility transistor with improved barrier layer | -- |
10985272 | Integrated circuit devices including vertical field-effect transistors | SAMSUNG ELECTRONICS CO., LTD. |
10985273 | Vertical field-effect transistor including a fin having sidewalls with a tapered bottom profile | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985274 | Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985275 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10985276 | Method and device having low contact resistance | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10985277 | Method for forming semiconductor device structure | -- |
10985278 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985279 | Source and drain epitaxy and isolation for gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985280 | Threshold voltage control using channel digital etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985281 | Transistor, thin film transistor array panel, and related manufacturing method | SAMSUNG DISPLAY CO., LTD. |
10985282 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985283 | Semiconductor device having an oxide layer with a concentration gradient of oxygen and an insulating layer with excess oxygen | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985284 | High-voltage lateral GaN-on-silicon schottky diode with reduced junction leakage current | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
10985285 | Methods for fabricating III-nitride tunnel junction devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10985286 | Power generation module and wiring substrate | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10985287 | Method of manufacturing printed photovoltaic modules | ARMOR |
10985288 | Monolithic multiple solar cells | AZUR SPACE SOLAR POWER GMBH |
10985289 | Solar cell and solar cell module | PANASONIC CORPORATION |
10985290 | Photodetector and optical distance measuring device | KABUSHIKI KAISHA TOSHIBA |
10985291 | Radiation-hard high-speed photodiode device | AMS INTERNATIONAL AG |
10985292 | Method for transferring semiconductor bodies and semiconductor chip | OSRAM OLED GMBH |
10985293 | Highly efficient gallium nitride based light emitting diodes via surface roughening | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10985294 | Contact structures for light emitting diode chips | CREELED, INC. |
10985295 | Light-emitting device | -- |
10985296 | Quantum dot based color conversion layer in display devices | NANOSYS, INC. |
10985297 | Package of photoelectric device | -- |
10985298 | Light emitting device and method of manufacturing same | NICHSA CORPORATION |
10985299 | Light emitting device with phase changing off state white material and methods of manufacture | LUMILEDS LLC |
10985300 | Encapsulation method for flip chip | -- |
10985301 | Light-emitting device | -- |
10985302 | Pick-and-remove system with deformable contact surface | ELUX, INC. |
10985303 | Method of making an LED device | LUMILEDS LLC |
10985304 | Highly reliable light emitting diode | SEOUL VIOSYS CO., LTD. |
10985305 | Light emitting element mounting substrate, light emitting device, and light emitting module | KYOCERA CORPORATION |
10985306 | Optoelectronic semiconductor chip | OSRAM OLED GMBH |
10985307 | Cryogenic transmitter | SK HYNIX INC. |
10985308 | Substrate materials for quantum processors | RIGETTI & CO, INC. |
10985309 | Multilayer ceramic electronic component and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
10985310 | Flexible device and operating methods thereof | SAMSUNG ELECTRONICS CO., LTD. |
10985311 | Semiconductor element, magnetoresistance effect element, magnetic sensor and spin transistor | TDK CORPORATION |
10985312 | Methods of fabricating magneto-resistive random-access memory (MRAM) devices with self-aligned top electrode via and structures formed thereby | -- |
10985313 | Multilayer coatings formed on aligned arrays of carbon nanotubes | GEORGIA TECH RESEARCH CORPORATION |
10985314 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10985315 | Resistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985316 | Bottom electrode structure in memory device | -- |
10985317 | Device for selecting a memory cell | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10985318 | Memristor device and a method of fabrication thereof | ROYAL MELBOURNE INSTITUTE OF TECHNOLOGY |
10985319 | Method of forming an apparatus comprising perovskite | EMBERION OY |
10985320 | Organic transistor and manufacturing method thereof, array substrate, display device | HEFEI BOE DISPLAY TECHNOLOGY CO., LTD. |
10985321 | Semiconducting co-polymers of methylenedihydropyrazines with fused thiophenes | CORNING INCORPORATED |
10985322 | Polymer and organic light-emitting device | CAMBRIDGE DISPLAY TECHNOLOGY, LTD. |
10985323 | Light-emitting device including a plurality of organic electroluminescent elements | CANON KABUSHIKI KAISHA |
10985324 | Nitrogen-containing compound, organic electroluminescent device and photoelectric conversion device | SHAANXI LIGHTE OPTOELECTRONICS MATERIAL CO., LTD. |
10985325 | Aromatic amine derivative, and organic electroluminescent element using same | IDEMITSU KOSAN CO., LTD. |
10985326 | Compounds comprising triazine group, fluorene-group and aryl group | NOVALED GMBH |
10985327 | Microcrystalline organic semiconductor film, organic semiconductor transistor, and method of manufacturing organic semiconductor transistor | FUJIFILM CORPORATION |
10985328 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10985329 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10985330 | Materials for electronic devices | MERCK PATENT GMBH |
10985331 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10985332 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10985333 | Flexible display apparatus and rollable display apparatus comprising the same | LG DISPLAY CO., LTD. |
10985334 | Module structures and flexible display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10985335 | Flexible display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985336 | Oxygen scavenging nanoparticles for air-processed quantum dot light emitting diodes | SHARP KABUSHIKI KAISHA |
10985338 | Organic light emitting display device | LG DISPLAY CO., LTD. |
10985339 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10985340 | Organic light emitting diode display including capping layer having high refractive index | SAMSUNG DISPLAY CO., LTD. |
10985341 | Encapsulating thin film, production method thereof, and method for encapsulating display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10985342 | Display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10985343 | Display panel and manufacturing method of display panel and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985344 | Flexible cover lens films | APPLIED MATERIALS, INC. |
10985345 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
10985346 | OLED display device and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985347 | Display apparatus | LG DISPLAY CO., LTD. |
10985348 | Display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10985349 | Hot-pressing buffer substrate, display device, hot-pressing process and method for reducing x-line bright line | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985677 | Systems and devices powered by autonomous electrical power sources | FACE INTERNATIONAL CORPORATION |
10985688 | Sidelap interconnect for photovoltaic roofing modules | TESLA, INC. |
10985700 | Piezoelectric resonance controlled terahertz wave modulators | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10985741 | Phononic frequency synthesizer | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10985760 | Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells | -- |
10986142 | System and method for processing telephony sessions | TWILIO INC. |
10986711 | Light-emitting apparatus including light-emitting diode | SEOUL SEMICONDUCTOR CO., LTD. |
10986716 | Anti-fouling load arrangement and method of installing multiple anti-fouling load arrangements | KONINKLIJKE PHILIPS N.V. |
10986725 | Light-emitting module and display device | -- |
10986744 | Window substrate and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10986998 | Apparatus and method for enhanced early photon detection in optical projection tomography | ILLINOIS INSTITUTE OF TECHNOLOGY |
10987922 | Printing apparatus and printhead substrate | CANON KABUSHIKI KAISHA |
10988376 | Monolithic integration of piezoelectric micromachined ultrasonic transducers and CMOS and method for producing the same | VANGUARD INTERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD. |
10988400 | Transparent sealing member | NGK INSULATORS, LTD. |
10988447 | Bipyridine derivatives and their uses for organic light emitting diodes | SAMSUNG ELECTRONICS CO., LTD. |
10988495 | Organometallic compound, organic-light emitting device including the same, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
10988598 | High refractive index solvent free silicone nanocomposites | PIXELLIGENT TECHNOLOGIES, LLC |
10988608 | Resin composition, resin film, method of manufacturing resin film, optical filter, solid-state imaging element, image display device, and infrared sensor | FUJIFILM CORPORATION |
10988685 | Quantum dots, a composition or composite including the same, and an electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
10988686 | Luminescent particles comprising encapsulated nanoparticles and uses thereof | NEXDOT |
10988688 | Method for manufacturing quantum dot | STANLEY ELECTRIC CO., LTD. |
10988840 | Crystal oscillation probe structure and evaporation device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10988841 | Film-forming method, manufacturing method of electronic device, and mask holder | THE JAPAN STEEL WORKS, LTD. |
10988844 | Process for producing flexible organic-inorganic laminates | BASF COATINGS GMBH |
10988978 | Device for generating electric energy | TROPIGLAS TECHNOLOGIES LTD |
10989369 | Lighting apparatus using organic light emitting diode and manufacturing method thereof | LG DISPLAY CO., LTD. |
10989376 | Assembling of strip of micro light emitting diodes onto backplane | FACEBOOK TECHNOLOGIES, LLC |
10989394 | Thin OLED lighting module | OLEDWORKS LLC |
10989396 | Illumination device | -- |
10989399 | Electroluminescent lighting device having touch sensor | LG DISPLAY CO., LTD. |
10989402 | Fluorescent glue for LED lighting bar and LED bulb lamp using the LED lighting bar | SHENZHEN FENGGONG CULTURE COMMUNICATION CO., LTD. |
10989590 | Integrated bound-mode spectral/angular sensors | UNM RAINFOREST INNOVATIONS |
10989664 | Optical systems and methods of characterizing high-k dielectrics | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10989731 | Physical quantity sensor | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10989742 | Magnetic current sensor | INFINEON TECHNOLOGIES AUSTRIA AG |
10989755 | Light emitting diode (LED) test apparatus and method of manufacture | APPLE INC. |
10989767 | Magnetic flux source system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10989842 | Anti-reflective film, display panel and display device having the same, and fabricating method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10989853 | Polarizing plate with optical compensation layer and organic EL panel using same | NITTO DENKO CORPORATION |
10989966 | Transparent semiconductor, light control body, and electronic device | TDK CORPORATION |
10989974 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10989979 | Low power semi-reflective display | NOVASOLIX, INC. |
10990206 | Display panel and touch display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10990233 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10990742 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991406 | Method, system and device for magnetic memory | ARM LIMITED |
10991407 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10991408 | Magnetic random access memory structure and manufacturing method of the same | -- |
10991423 | Flying and twisted bit line architecture for dual-port static random-access memory (DP SRAM) | -- |
10991425 | Access line grain modulation in a memory device | MICRON TECHNOLOGY, INC. |
10991435 | Vertical flash memory cell with selector for fast read | INTEL CORPORATION |
10991517 | Molecular photon upconversion using organic-inorganic hybrid interfaces | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
10991518 | Vacuum-capacitor apparatus and method | GRANBLUETECH, L.L.C. |
10991574 | Methods of manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10991576 | Crystalline semiconductor layer formed in BEOL processes | -- |
10991577 | Method for forming a semiconductor structure for a gallium nitride channel device | IMEC VZW |
10991581 | Method for manufacturing semiconductor film | THE JAPAN STEEL WORKS, LTD. |
10991589 | Correlation between conductivity and pH measurements for KOH texturing solutions and additives | NAURA AKRION, INC. |
10991594 | Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces | TOKYO ELECTRON LIMITED |
10991599 | Self-aligned via and plug patterning for back end of line (BEOL) interconnects | INTEL CORPORATION |
10991608 | Substrate coating apparatus for floating substrate and method | TOKYO ELECTRON LIMITED |
10991620 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991626 | Method for controlling transistor delay of nanowire or nanosheet transistor devices | TOKYO ELECTRON LIMITED |
10991627 | Methods for forming fin field-effect transistors | -- |
10991628 | Etch stop layer between substrate and isolation structure | -- |
10991629 | Method of forming protection layer in FinFET device | -- |
10991630 | Semiconductor device and method | -- |
10991631 | High performance SiGe heterojunction bipolar transistors built on thin-film silicon-on-insulator substrates for radio frequency applications | NEWPORT FAB, LLC |
10991632 | Assembly process for circuit carrier and circuit carrier | AB MIKROELEKTRONIK GESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
10991633 | Method and system for manufacturing solar cells and shingled solar cell modules | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
10991634 | Method and system for manufacturing solar cells and shingled solar cell modules | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
10991637 | Wafer-level chip-scale package including power semiconductor and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
10991640 | Semiconductor packages including bridge die | SK HYNIX INC. |
10991644 | Integrated circuit package having a low profile | ALLEGRO MICROSYSTEMS, LLC |
10991652 | Energy storage interposer device with conductive nanostructures | SMOLTEK AB |
10991653 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10991661 | Radio-frequency isolation using backside cavities | SKYWORKS SOLUTIONS, INC. |
10991662 | Isolation cavities in semiconductor devices | SKYWORKS SOLUTIONS, INC. |
10991666 | Location displacement detection method, location displacement detection device, and display device | SHARP KABUSHIKI KAISHA |
10991680 | Common source land grid array package | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN), LTD. |
10991683 | Method of producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
10991686 | Super CMOS devices on a microelectronics system | SCHOTTKY LSI, INC. |
10991687 | FinFET varactor with low threshold voltage and method of making the same | -- |
10991688 | Semiconductor device and manufacturing method thereof | -- |
10991689 | Additional spacer for self-aligned contact for only high voltage FinFETs | GLOBALFOUNDRIES U.S. INC. |
10991690 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10991691 | Semiconductor device having fins and an isolation region | -- |
10991692 | Semiconductor device having a plurality of fins and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10991693 | Boundary region for high-k-metal-gate (HKMG) integration technology | -- |
10991694 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991695 | Method for manufacturing semiconductor device | -- |
10991696 | Vertically stacked devices with self-aligned regions formed by direct self assembly (DSA) processing | INTEL CORPORATION |
10991697 | NAND string utilizing floating body memory cell | ZENO SEMICONDUCTOR, INC. |
10991698 | Method of operating semiconductor memory device with floating body transistor using silicon controlled rectifier principle | ZENO SEMICONDUCTOR, INC. |
10991699 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991701 | Multi-component conductive structures for semiconductor devices | MICRON TECHNOLOGY, INC. |
10991702 | Semiconductor device and method of preparing the same | -- |
10991703 | Semiconductor device | ROHM CO., LTD. |
10991704 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10991707 | Semiconductor device and method for fabricating semiconductor device | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
10991708 | Semiconductor device for preventing an increase in resistance difference of an electrode layer | TOSHIBA MEMORY CORPORATION |
10991709 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10991711 | Stacked-nanosheet semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10991716 | Semiconductor device having a vertical channel layer with an impurity region surrounding a dielectric core | SK HYNIX INC. |
10991717 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991718 | Three-dimensional memory device containing a vertical semiconductor channel containing a connection strap and method of making the same | SANDISK TECHNOLOGIES LLC |
10991719 | Semiconductor memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10991721 | Three-dimensional memory device including liner free molybdenum word lines and methods of making the same | SANDISK TECHNOLOGIES LLC |
10991722 | Ultra low parasitic inductance integrated cascode GaN devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991723 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991724 | CMOS transistor and method for fabricating the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991725 | Active matrix substrate and method for producing same | SHARP KABUSHIKI KAISHA |
10991729 | Active matrix substrate, optical shutter substrate, display device, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
10991731 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10991735 | Optical detection pixel unit, optical detection circuit, optical detection method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991738 | Method for producing curved electronic circuits | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10991745 | Semiconductor device, method of manufacturing the same, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991746 | High performance image sensor | -- |
10991749 | Structure, composition for forming near-infrared transmitting filter layer, and optical sensor | FUJIFILM CORPORATION |
10991750 | Active matrix substrate and imaging panel with same | SHARP KABUSHIKI KAISHA |
10991751 | Print sensor with gallium nitride LED | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10991754 | Display device with stacking design of sensing element | -- |
10991755 | Systems and methods for fabrication of superconducting integrated circuits | D-WAVE SYSTEMS INC. |
10991756 | Bipolar selector with independently tunable threshold voltages | -- |
10991757 | Magnetoresistive random access memory | -- |
10991758 | Semiconductor structure | -- |
10991759 | Methods of forming vertical field-effect transistor with selfaligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby | MICRON TECHNOLOGY, INC. |
10991761 | Three-dimensional cross-point memory device containing inter-level connection structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10991763 | Vertical array of resistive switching devices having restricted filament regions and tunable top electrode volume | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991764 | Photodetector array | SIGNTLE INC. |
10991765 | Optical stack for improved color uniformity in OLED display | 3M INNOVATIVE PROPERTIES COMPANY |
10991768 | Pixel arrangement, manufacturing method thereof, display panel, display device, and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10991769 | Display apparatus having a color filter layer | SAMSUNG DISPLAY CO., LTD. |
10991770 | Display device with display portion, light sensing portion, and connection bending portion | SAMSUNG DISPLAY CO., LTD. |
10991771 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991773 | Display panel with light-emitting functional units, display device and method for manufacturing display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD |
10991774 | Display device and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
10991775 | Display substrate, fabrication method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10991776 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991777 | Pixel having an organic light emitting diode and method of fabricating the pixel | IGNIS INNOVATION INC. |
10991778 | Organic EL display apparatus and manufacturing method therefor | SAKAI DISPLAY PRODUCTS CORPORATION |
10991779 | Electro-optical apparatus, manufacturing method for electro-optical apparatus, and electronic device | SEIKO EPSON CORPORATION |
10991781 | Display device configured to switch between single-sided and double-sided display | SHARP KABUSHIKI KAISHA |
10991782 | Display device | LG DISPLAY CO., LTD. |
10991784 | Transistor display panel including lower electrode disposed under semiconductor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10991785 | Double-sided display panel, fabricating method of same, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991786 | Signal control unit for an organic light emitting diode display device | DB HITEK CO., LTD. |
10991787 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991788 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
10991789 | Foldable display device having plurality of signal lines connected to non-foldable display regions | BOE TECHNOLOGY GROUP CO., LTD. |
10991791 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991792 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
10991793 | Double-sided capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10991794 | Semiconductor device and method for manufacturing same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10991795 | Semiconductor device and manufacturing method thereof | -- |
10991796 | Source/drain contact depth control | GLOBALFOUNDRIES U.S. INC. |
10991797 | Self-aligned two-dimensional material transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991798 | Replacement sacrificial nanosheets having improved etch selectivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991799 | Silicon and silicon germanium nanowire structures | SONY CORPORATION |
10991800 | Method for FinFET LDD doping | -- |
10991801 | Semiconductor device with improved current flow distribution | FUJI ELECTRIC CO., LTD. |
10991802 | Quantum dot devices with gate interface materials | INTEL CORPORATION |
10991803 | HEMT-compatible lateral rectifier structure | -- |
10991804 | Transistor level interconnection methodologies utilizing 3D interconnects | XCELSIS CORPORATION |
10991805 | Semiconductor device and method of manufacture | -- |
10991806 | Two-transistor memory device and method for fabricating memory device | -- |
10991807 | Semiconductor device having gate insulating layer | SAMSUNG ELECTRONICS CO., LTD. |
10991808 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991809 | Composition and process for selectively etching p-doped polysilicon relative to silicon nitride | ENTEGRIS, INC. |
10991810 | Semiconductor device and method for fabricating the same | -- |
10991811 | Structure and formation method of semiconductor device structure with nanowires | -- |
10991812 | Transistor device with a rectifier element between a field electrode and a source electrode | INFINEON TECHNOLOGIES AUSTRIA AG |
10991813 | Fabrication method of semiconductor device having SIGe shell channel and semiconductor device fabricated by the same | GACHON UNIVERSITY OF INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
10991814 | Three-dimensional tunneling field-effect transistor and method of fabricating the same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
10991815 | Semiconductor device | SANKEN ELECTRIC CO., LTD. |
10991817 | Group III-N transistors including source to channel heterostructure design | INTEL CORPORATION |
10991818 | Nitride semiconductor device and fabrication method therefor | ROHM CO., LTD. |
10991819 | High electron mobility transistors | -- |
10991820 | Manufacturing method for forming insulating structure of high electron mobility transistor | -- |
10991821 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10991822 | Silicon carbide semiconductor device having a conductive layer formed above a bottom surface of a well region so as not to be in ohmic connection with the well region and power converter including the same | MITSUBISHI ELECTRIC CORPORATION |
10991823 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991824 | Semiconductor device | -- |
10991825 | Semiconductor device including non-active fins and separation regions | SAMSUNG ELECTRONICS CO., LTD. |
10991826 | Semiconductor device and methods of forming same | -- |
10991827 | Structure of oxide thin film transistor | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991828 | Semiconductor structure and method of forming the same | -- |
10991829 | Semiconductor device comprising oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10991831 | Layer, multilevel element, method for fabricating multilevel element, and method for driving multilevel element | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10991832 | Power diode | INFINEON TECHNOLOGIES AUSTRIA AG |
10991833 | Laminar airfoil and the assembly and mounting of solar cell arrays on such airfoils | SOLAERO TECHNOLOGIES CORP. |
10991834 | Photovoltaic module, solar cell, and method for producing solar cell | JINKO GREEN ENERGY (SHANGHAI) MANAGEMENT CO., LTD. |
10991835 | Hydrogen diffusion barrier for hybrid semiconductor growth | ARRAY PHOTONICS, INC. |
10991836 | Architectures enabling back contact bottom electrodes for semiconductor devices | UNIVERSITY OF HOUSTON SYSTEM |
10991837 | Configurations for solar cells, solar panels, and solar panel systems | -- |
10991838 | Photovoltaic module, solar cell, and manufacturing method therefor | JINKO GREEN ENERGY (SHANGHAI) MANAGEMENT CO., LTD |
10991839 | Solar cell metal-less reflector / back electrode structure | -- |
10991840 | Multi-junction solar cell | AZUR SPACE SOLAR POWER GMBH |
10991841 | Perovskite solar cell and tandem solar cell | -- |
10991842 | Photoelectric conversion element | SUMITOMO CHEMICAL COMPANY, LIMITED |
10991843 | Solar cell and method for preparing same | -- |
10991844 | Apparatus for aligning a solar cell element, system for use in the manufacture of a solar cell arrangement, and method for aligning a solar cell element | APPLIED MATERIALS ITALIA S.R.L. |
10991845 | Method for producing an optoelectronic semiconductor component and optoelectronic semiconductor component | OSRAM OLED GMBH |
10991846 | Method of manufacturing micro light-emitting element array, transfer carrier, and micro light-emitting element array | -- |
10991847 | Semiconducting devices containing quantum wells | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
10991848 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
10991849 | Light-emitting thyristor, light-emitting element chip, optical print head, and image forming apparatus | OKI DATA CORPORATION |
10991851 | Light emitting diode for surface mount technology, method of manufacturing the same, and method of manufacturing light emitting diode module | SEOUL VIOSYS CO., LTD. |
10991852 | Transparent light-emitting display film, method of manufacturing the same, and transparent light-emitting signage using the same | JMICRO INC. |
10991853 | Carrier for an optoelectronic component, method of producing a carrier for an optoelectronic component, wafer and soldering method | OSRAM OLED GMBH |
10991854 | Light-emitting element with crack preventing cushion | -- |
10991855 | White light emitting device | -- |
10991856 | LED with structured layers and nanophosphors | LUMILEDS LLC |
10991857 | Method of fabricating light emitting device package | SAMSUNG ELECTRONICS CO., LTD. |
10991858 | Light-emitting diode with light extracting structure | FACEBOOK TECHNOLOGIES, LLC |
10991859 | Light-emitting device and method of manufacturing the same | NICHIA CORPORATION |
10991860 | Light guide with patterned ink | LUMILEDS LLC |
10991861 | Low optical loss flip chip solid state lighting device | CREE, INC. |
10991863 | Light-emitting diode package structure and manufacturing method thereof | KAISTAR LIGHTING(XIAMEN) CO., LTD. |
10991864 | LED package and LED display device | ROHM CO., LTD. |
10991865 | Display device | SAMSUNG DISPLAY CO., LTD. |
10991866 | Light emitting module | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10991867 | High-performance terbium-based thermoelectric materials | UNIVERSITY OF UTAH RESEARCH FOUNDATION |
10991868 | Thermoelectric conversion element | FUJIFILM CORPORATION |
10991869 | Thermoelectric device having a plurality of sealing materials | GENTHERM INCORPORATED |
10991870 | Method of production of thermoelectric micro-coolers (variants) | RMT LIMITED |
10991871 | Piezoelectric element, piezoelectric actuator, ultrasonic probe, ultrasonic device, electronic apparatus, liquid jet head, and liquid jet device | SEIKO EPSON CORPORATION |
10991872 | Bulk acoustic wave resonator | SAMSUNG ELECTRONICS CO., LTD. |
10991873 | Semiconductor device and method for fabricating the same | -- |
10991874 | Magneto-ionic device with a solid state proton pump and methods for using the same | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10991875 | Magnetoresistive random access memory | -- |
10991876 | Methods to improve magnetic tunnel junction memory cells by treating native oxide | -- |
10991877 | Multi-state memory and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10991878 | Manufacturing method of semiconductor device | -- |
10991879 | Multi-level phase change memory cells and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
10991880 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10991881 | Method for controlling the forming voltage in resistive random access memory devices | TOKYO ELECTRON LIMITED |
10991882 | Methods of forming resistive memory elements | MICRON TECHNOLOGY, INC. |
10991883 | Deposition mask, method of manufacturing deposition mask device, and method of manufacturing deposition mask | DAI NIPPON PRINTING CO., LTD. |
10991884 | Mask plate, OLED display substrate, display device and manufacturing method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991885 | Compound for organic electronic element, organic electronic element using the same and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
10991886 | Amine-based compound and organic light emitting device comprising same | LG CHEM, LTD. |
10991887 | Amine compound and organic light-emitting device comprising same | LG CHEM, LTD. |
10991888 | Compound for organic electronic element, organic electronic element using the same, and an electronic device | DUK SAN NEOLUX CO., LTD. |
10991889 | Organic electroluminescent compound and organic electroluminescent device comprising the same | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
10991890 | Compound containing a 5-membered heterocycle and organic light-emitting diode using same, and terminal for same | DUK SAN NEOLUX CO., LTD. |
10991891 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10991892 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
10991893 | Organic semiconducting compounds | -- |
10991894 | Compound of organic semiconductor and organic semiconductor device using the same | FOUNDATION OF SOONGSIL UNIVERSITY-INDUSTRY COOPERATION |
10991895 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10991896 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10991897 | Emitters based on octahedral metal complexes | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10991898 | Flexible display, method for manufacturing same, and support substrate for flexible display | SAKAI DISPLAY PRODUCTS CORPORATION |
10991899 | Quantum dot device and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
10991900 | Crosslinked emissive layer containing quantum dots for light-emitting device and method for making same | SHARP KABUSHIKI KAISHA |
10991901 | Organic electroluminescent device | BOE TECHNOLOGY GROUP CO., LTD. |
10991902 | Organic light emitting diode substrate and preparation method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10991903 | Display panel capable of preventing a defect in light emission of an organic light emitting element | SAMSUNG DISPLAY CO., LTD. |
10991904 | Organic EL element and method for manufacturing same | SUMITOMO CHEMICAL COMPANY, LIMITED |
10991905 | Organic electroluminescent element | SUMITOMO CHEMICAL COMPANY, LIMITED |
10991906 | Display device, method for manufacturing display device, and manufacturing apparatus of display device | SHARP KABUSHIKI KAISHA |
10991907 | Display device having sealing grooves | JAPAN DISPLAY INC. |
10991908 | Light-emitting device and light-emitting system | PIONEER CORPORATION |
10991909 | Organic light emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991910 | Display device and production method therefor | SHARP KABUSHIKI KAISHA |
10991911 | Package structure, packaging method and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10991912 | Display device | JAPAN DISPLAY INC. |
10991913 | Encapsulating structure capable of securing barrier characteristics with reduced thickness, display device having encapsulating structure and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991914 | Light emitting display device | LG DISPLAY CO., LTD. |
10991915 | Organic light-emitting diodes comprising grating structures and light extraction layers | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10991916 | Thin-film encapsulation | APPLIED MATERIALS, INC. |
10991917 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991918 | Method for preparing display panel, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10991919 | Method and apparatus for manufacturing flexible light emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
10991933 | Secondary battery | KABUSHIKI KAISHA NIHON MICRONICS |
10991992 | System for supplying power to a portable battery using at least one solar panel | LAT ENTERPRISES, INC. |
10992049 | Elliptically polarized cavity backed wideband slot antenna | NOKIA SHANGHAI BELL CO., LTD. |
10992105 | Strain control in optoelectronic devices | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10992125 | Protective device and fieldbus module comprising a protective device | BECKHOFF AUTOMATION GMBH |
10992250 | Integrated photovoltaic module mounting system for use with tufted geosynthetics | WATERSHED SOLAR, LLC |
10992252 | Integrated photovoltaic window and light source | UNIVERSAL DISPLAY CORPORATION |
10992261 | Semiconductor integrated circuitry | SOCIONEXT INC. |
10992269 | Compound semiconductor device with high power and reduced off-leakage and method for manufacturing the same | FUJITSU LIMITED |
10992284 | Filter using transversely-excited film bulk acoustic resonators with multiple frequency setting layers | RESONANT INC. |
10992346 | Systems and devices with common mode noise suppression structures and methods | NXP USA, INC. |
10993014 | Integrated circuit packages in headphones and method for forming and operating the same | -- |
10993296 | Light-emitting module and integrated light-emitting module | NICHIA CORPORATION |
10993304 | Wire, stripping method and light strip | COSMO LIGHTING INC. |
10993317 | Wafer level optical module | APPLE INC. |
10993318 | Flexible polymeric film including reinforcement layer | NOVA ENGINEERING FILMS, INC. |
10993332 | Circuit substrate | -- |
10994242 | Inkjet printing apparatus | SAMSUNG DISPLAY CO., LTD. |
10994442 | Method for forming a crack in the edge region of a donor substrate, using an inclined laser beam | SILTECTRA GMBH |
10995001 | Graphene oxide percolation network | NOKIA TECHNOLGIES OY |
10995069 | Compound for organic electric element, organic electric element using same, and electronic device comprising same | DUK SAN NEOLUX CO., LTD. |
10995098 | Heterocyclic compound and organic light emitting device comprising the same | LG CHEM, LTD. |
10995108 | Metal complexes, methods, and uses thereof | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10995223 | Fibrous carbon nanostructure dispersion liquid | ZEON CORPORATION |
10995265 | Thin film and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10995266 | Electroluminescent material, method for manufacturing same, and light emitting device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10995267 | Dispersion system for quantum dots having organic coatings comprising free polar and non-polar groups | CRYSTALPLEX CORPORATION |
10995288 | Integrated process plant having a biomass reforming reactor using a fluidized bed | SUNDROP IP HOLDINGS, LLC |
10995403 | Method of forming aluminum nitride film and method of manufacturing semiconductor light-emitting element | NICHIA CORPORATION |
10995420 | Silicon carbide epitaxial substrate and silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10995652 | Circuit integrated type coolant thermoelectric generation system and coolant control thermoelectric generation method | HYUNDAI MOTOR COMPANY |
10995656 | Supplement thermal management system cooling using thermoelectric cooling | ROLLS-ROYCE NORTH AMERICAN TECHNOLOGIES INC. |
10995914 | Method of fabricating orange-emitting nanowires LEDs | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10995928 | Light emitting system | PIONEER CORPORATION |
10996102 | Fast and precise wavelength and power measurements technique for continuous wave, modulated, and pulsed monochromatic radiation | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10996105 | Optical filter having low incident angle dependence of incident light, ambient light sensor, sensor module and electronic device | JSR CORPORATION |
10996107 | Exponential model based uncooled infrared focal plane array readout circuit | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
10996451 | Nanostructured meta-materials and meta-surfaces to collimate light emissions from LEDs | LUMILEDS LLC |
10996555 | Mask frame assembly including both frame and mask plate fixed on frame, and evaporation apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10996711 | Display device and electronic apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
10996778 | Display apparatus with integrated touch screen and method for fabricating the same | LG DISPLAY CO., LTD. |
10996779 | Display substrate, display panel, touch display device and method for fabricating the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996781 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10996785 | Touch display device and touch display panel having a bend area including a dielectric layer with a compensation pattern | LG DISPLAY CO., LTD. |
10996800 | Display device | LG DISPLAY CO., LTD. |
10996803 | Display device | LG DISPLAY CO., LTD. |
10996805 | Display device | JAPAN DISPLAY INC. |
10997521 | Quantum-resilient computer cluster | BANK OF AMERICA CORPORATION |
10997881 | Display device including a crack detection line | SAMSUNG DISPLAY CO., LTD. |
10997902 | Display device | JAPAN DISPLAY INC. |
10997903 | Display panel, method of controlling display panel and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10997906 | Organic EL display apparatus with reduced surface roughness and electrode having silver and ITO and manufacturing method therefor | SAKAI DISPLAY PRODUCTS CORPORATION |
10997917 | Display with light-emitting diodes | APPLE INC. |
10997952 | Display panel and driving method therefor, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998025 | High-density low voltage non-volatile differential memory bit-cell with shared plate-line | KEPLER COMPUTING, INC. |
10998042 | Memory cells with tunneling materials including lanthanum oxide | MICRON TECHNOLOGY, INC. |
10998131 | Multilayer device having an improved antiferromagnetic pinning layer and a corresponding manufacturing method | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY |
10998140 | Solar cell module | ZEON CORPORATION |
10998142 | High energy density capacitor system and method | FLASH POWER CAPACITORS, LLC |
10998188 | Gallium nitride laminated substrate and semiconductor device | HOSEI UNIVERSITY |
10998194 | Metal gate stack having TaAlCN layer | -- |
10998197 | Polymer and composition for forming organic film, substrate for manufacturing semiconductor apparatus, method for forming organic film, and patterning process | SHIN-ETSU CHEMICAL CO., LTD. |
10998227 | Metal insulator metal capacitor with extended capacitor plates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998228 | Self-aligned interconnect with protection layer | -- |
10998229 | Transistor with improved self-aligned contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998230 | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998233 | Mechanically stable complementary field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998234 | Nanosheet bottom isolation and source or drain epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998236 | Method for fabricating a row of MOS transistors | STMICROELECTRONICS (CROLLES 2) SAS |
10998237 | Gate structure and method with dielectric gates and gate-cut features | -- |
10998238 | Integrated circuits with buried interconnect conductors | -- |
10998239 | Fin isolation structure for FinFET and method of forming the same | -- |
10998240 | Fabrication of a vertical fin field effect transistor with reduced dimensional variations | TESSERA, INC. |
10998241 | Selective dual silicide formation using a maskless fabrication process flow | -- |
10998252 | Efficient heat-sinking in PIN diode | JUNIPER NETWORKS, INC. |
10998260 | Microelectronic devices having air gap structures integrated with interconnect for reduced parasitic capacitances | INTEL CORPORATION |
10998264 | Dual-gate trench IGBT with buried floating P-type shield | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10998270 | Local interconnect for group IV source/drain regions | INTEL CORPORATION |
10998274 | Seal ring structure, semiconductor die, and method for detecting cracks on semiconductor die | -- |
10998280 | Balance-unbalance converter and semiconductor integrated circuit having the same | ANRITSU CORPORATION |
10998284 | Low pressure sintering powder | ALPHA ASSEMBLY SOLUTIONS INC. |
10998286 | Laser-induced selective heating for microLED placement and bonding | FACEBOOK TECHNOLOGIES, LLC |
10998296 | In-vehicle display device using semiconductor light-emitting device | ZKW GROUP GMBH |
10998297 | Nano-porous metal interconnect for light sources | FACEBOOK TECHNOLOGIES, LLC |
10998298 | LED array module | LUMILEDS LLC |
10998300 | Display unit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998305 | Semiconductor die | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10998310 | Fins with wide base in a FINFET | -- |
10998311 | Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998312 | Semiconductor device and manufacturing method thereof | -- |
10998313 | Source/drain regions in fin field effect transistors (finFETs) and methods of forming same | -- |
10998314 | Gate cut with integrated etch stop layer | TESSERA, INC. |
10998315 | Metal gate modulation to improve kink effect | -- |
10998316 | Vertical memory device and method for fabricating vertical memory device | SK HYNIX INC. |
10998321 | Semiconductor device having a stacked nanowire structure disposed over a buried word line and method of manufacturing the same | -- |
10998323 | Dynamic random access memory | -- |
10998324 | Semiconductor device comprising work function metal pattern in boundary region and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10998325 | Memory cell with floating gate, coupling gate and erase gate, and method of making same | SILICON STORAGE TECHNOLOGY, INC. |
10998330 | Semiconductor device having a peripheral active pattern and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10998336 | Integrated structures and NAND memory arrays | MICRON TECHNOLOGY, INC. |
10998337 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10998338 | Integrated assemblies having ferroelectric transistors with heterostructure active regions | MICRON TECHNOLOGY, INC. |
10998339 | One transistor and ferroelectric FET based memory cell | INTEL CORPORATION |
10998340 | Semiconductor device including standard cells having different cell height | -- |
10998341 | Display device and method for manufacturing display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998343 | TFT array substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10998344 | Displays with silicon and semiconducting oxide thin-film transistors | APPLE INC. |
10998347 | Semiconductor device, display device, and electronic device | JAPAN DISPLAY INC. |
10998349 | Display device | JAPAN DISPLAY INC. |
10998352 | Integration of microdevices into system substrate | VUEREAL INC. |
10998354 | Multi-junction detector device and method of manufacture | MKS INSTRUMENTS, INC. |
10998359 | Image sensor with shallow trench edge doping | -- |
10998360 | Image sensor with shallow trench edge doping | -- |
10998362 | Fan-out sensor package | SAMSUNG ELECTRONICS CO., LTD. |
10998366 | Image sensor and image sensing appartatus | SAMSUNG ELECTRONICS CO., LTD. |
10998371 | Film-based image sensor with suppressed light reflection and flare artifact | APPLE INC. |
10998374 | Multilevel semiconductor device and structure | MONOLITHIC 3D INC. |
10998375 | Light emitting module and automotive illumination device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10998376 | Qubit-optical-CMOS integration using structured substrates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998377 | Semiconductor structure and manufacturing method of the same | -- |
10998378 | Method for producing transistors, in particular selection transistors for non-volatile memory, and corresponding device | STMICROELECTRONICS (ROUSSET) SAS |
10998379 | Three dimensional memory arrays | MICRON TECHNOLOGY, INC. |
10998380 | Imaging device including at least one unit pixel cell and voltage application circuit | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10998381 | Semiconductor image sensors having upper and lower transparent electrodes therein | SAMSUNG ELECTRONICS CO., LTD. |
10998385 | Display element, method for fabricating the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10998386 | Display-integrated infrared emitter and sensor structures | LOCKHEED MARTIN CORPORATION |
10998387 | Light emitting device | -- |
10998390 | Organic light emitting diode display and a manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10998391 | Display apparatus with a crack detection line | LG DISPLAY CO., LTD. |
10998393 | Organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10998395 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
10998396 | Semiconductor structure and method for forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10998397 | Capacitor structure with low capacitance | -- |
10998398 | Semiconductor device and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10998399 | Power semiconductor device | INFINEON TECHNOLOGIES AG |
10998400 | Semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
10998401 | Semiconductor device having a base body of silicon carbide | KABUSHIKI KAISHA TOSHIBA |
10998402 | Semiconductor devices with steep junctions and methods of manufacturing thereof | INFINEON TECHNOLOGIES AG |
10998403 | Device with increased forward biased safe operating area (FBSOA) through using source segments having different threshold voltages | INFINEON TECHNOLOGIES AMERICAS CORP. |
10998404 | High voltage device and manufacturing method thereof | -- |
10998405 | Low-defect graphene-based devices and interconnects | INTEL CORPORATION |
10998406 | Silicon carbide single crystal substrate, silicon carbide epitaxial substrate, and method of manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10998408 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10998409 | Transistors having gates with a lift-up region | TEXAS INSTRUMENTS INCORPORATED |
10998410 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10998411 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10998412 | Semiconductor devices including recessed source/drain silicides and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10998413 | Semiconductor fin structures having silicided portions | IMEC VZW |
10998414 | Metal gate structure with multi-layer composition | -- |
10998415 | Metal gate scheme for device and methods of forming | -- |
10998416 | Laterally diffused metal oxide semiconductor device and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10998418 | Power semiconductor devices having reflowed inter-metal dielectric layers | CREE, INC. |
10998419 | Single crystalline extrinsic bases for bipolar junction structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998420 | Direct growth of lateral III-V bipolar transistor on silicon substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998421 | Reducing pattern loading in the etch-back of metal gate | -- |
10998422 | Methods, apparatus and system for a self-aligned gate cut on a semiconductor device | -- |
10998423 | Fabrication of multi-channel nanowire devices with self-aligned internal spacers and SOI FinFETs using selective silicon nitride capping | INTEL CORPORATION |
10998424 | Vertical metal-air transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998425 | FinFET structure and method for fabricating the same | -- |
10998427 | Semiconductor device with fin structures and manufacturing method thereof | -- |
10998428 | Integrated circuit device fins | -- |
10998430 | Method of manufacturing a semiconductor device | -- |
10998431 | Heterojunction bipolar transistor with counter-doped collector region and method of making same | STMICROELECTRONICS (CROLLES 2) SAS |
10998432 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10998433 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10998434 | Semiconductor device and method for forming the same | -- |
10998435 | Enhancement-mode device and method for manufacturing the same | ENKRIS SEMICONDUCTOR, INC. |
10998436 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10998437 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10998438 | Self-aligned trench MOSFET structures and methods | IPOWER SEMICONDUCTOR |
10998439 | Gate driver integrated circuit | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10998440 | Device including a vertical transistor having a large band gap channel material and void spaces adjacent gate electrodes, and related methods and systems | MICRON TECHNOLOGY, INC. |
10998441 | Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998442 | Method for fabricating a strained structure and structure formed | -- |
10998443 | Epi block structure in semiconductor product providing high breakdown voltage | -- |
10998444 | Stacked FinFET masked-programmable ROM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998445 | Interlayer dielectric for non-planar transistors | INTEL CORPORATION |
10998446 | Array substrate, manufacturing method thereof, and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998447 | Semiconductor device, semiconductor wafer, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998448 | Thin film semiconductor device including back gate comprising oxide semiconductor material | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998449 | Oxide semiconductor film and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998450 | Memory device and manufacturing method thereof | -- |
10998451 | ESD protection diode | KABUSHIKI KAISHA TOSHIBA |
10998452 | Semiconductor device having a lateral semiconductor heterojunction and method | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10998453 | Solar cells for shingled solar cell module, shingled solar cell module, and method of making solar cells | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
10998454 | Solar cells for shingled solar cell module, shingled solar cell module, and method of making solar cells | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
10998455 | Light sensor | STMICROELECTRONICS (GRENOBLE 2) SAS |
10998456 | Solar cell, method for manufacturing same and solar cell module | KANEKA CORPORATION |
10998457 | Contacts for a photovoltaic cell with two active surfaces | ELECTRICITE DE FRANCE |
10998459 | Light absorbing layer and a photovoltaic device including a light absorbing layer | EXEGER OPERATIONS AB |
10998460 | High information content imaging using Mie photo sensors | PIXELEXX SYSTEMS, INC. |
10998461 | Solar canopy system | RBI SOLAR, INC. |
10998462 | Group-IV solar cell structure using group-IV or III-V heterostructures | THE BOEING COMPANY |
10998463 | High efficiency solar cell and method for manufacturing high efficiency solar cell | SHIN-ETSU CHEMICAL CO., LTD. |
10998464 | Flip-chip light emitting diode, manufacturing method of flip-chip light emitting diode and display device including flip-chip light emitting diode | SAMSUNG ELECTRONICS CO., LTD. |
10998465 | Light emitting diodes with integrated reflector for a direct view display and method of making thereof | GLO AB |
10998466 | Light emitting device | LG INNOTEK CO., LTD. |
10998467 | Compositions of metal oxide semiconductor nanomaterials and hemostatic polymers | NANO SONO COOPERATION LTD. |
10998468 | Semiconductor light-emitting device | -- |
10998469 | Chip-scale package light emitting diode | SEOUL VIOSYS CO., LTD. |
10998470 | Cover for an electronic circuit package | STMICROELECTRONICS (GRENOBLE 2) SAS |
10998471 | White LED light source and method of making same | EYESAFE INC. |
10998472 | Light emitting device and method of manufacturing same | NICHIA CORPORATION |
10998473 | Packaged wavelength converted light emitting device | LUMILEDS LLC |
10998475 | Micro semiconductor chip, micro semiconductor structure, and display device | -- |
10998476 | Light-emitting device package | LG INNOTEK CO., LTD. |
10998477 | Polyester resin composition having improved mechanical properties and moldability | LOTTE CHEMICAL CORPORATION |
10998478 | Light-emitting element, light-emitting element package comprising light-emitting element, and light-emitting device comprising light-emitting element package | LG INNOTEK CO., LTD. |
10998479 | Light emitting diode | SEOUL VIOSYS CO., LTD. |
10998480 | Light-emitting structure alignment preservation in display fabrication | FACEBOOK TECHNOLOGIES, LLC |
10998481 | Ohmic contacts for semiconductor structures | MICRON TECHNOLOGY, INC. |
10998482 | Metal paste and thermoelectric module | LG CHEM, LTD. |
10998483 | Energy regeneration in fuel cell-powered datacenter with thermoelectric generators | MICROSOFT TECHNOLOGY LICENSING, LLC |
10998484 | Semiconductor device manufacturing method | NITTO DENKO CORPORATION |
10998485 | Cooler device with superconductor shunts | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10998486 | Reducing qubit energy decay and correlated errors from cosmic rays in quantum processors | QUANTALA LLC |
10998487 | Linear magnetostrictive actuator | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10998488 | Piezoelectric stack | VALQUA, LTD. |
10998489 | Magnetic shielding structure for MRAM array | NXP B.V. |
10998490 | Magnetic element | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
10998491 | Magnetoresistive element and magnetic memory | TOHOKU UNIVERSITY |
10998492 | Semiconductor device | ABLIC INC. |
10998493 | Spin-orbit-torque magnetoresistance effect element and magnetic memory | TDK CORPORATION |
10998494 | Perpendicular magnetic random-access memory (MRAM) formation by direct self-assembly method | -- |
10998495 | Magnetostrictive stack and corresponding bit-cell | INTEL CORPORATION |
10998496 | Magnetic tunnel junctions with tunable high perpendicular magnetic anisotropy | APPLIED MATERIALS, INC. |
10998497 | Semiconductor memory device | KIOXIA CORPORATION |
10998498 | VIA structure and methods of forming the same | -- |
10998499 | Chalcogenide material and electronic device including the same | SK HYNIX INC. |
10998501 | Material for organic electroluminescent device, organic electroluminescent device including the same and preparation method of triarylamine derivatives | SAMSUNG DISPLAY CO., LTD. |
10998502 | Compound for organic electronic element, organic electronic element using the same, and an electronic device thereof | DUK SAN NEOLUX CO., LTD. |
10998503 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
10998504 | Organic molecules for use in optoelectronic devices | CYNORA GMBH |
10998505 | Organic light emitting diode for high efficiency | SFC CO., LTD. |
10998506 | Boron containing heterocyclic compound for OLEDs, an organic light-emitting device, and a formulation comprising the boron-containing heterocyclic compound | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
10998507 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10998508 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
10998509 | Organometallic complex, light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998510 | Platinum (II) emitters for OLED applications | VERSITECH LIMITED |
10998511 | Display module, display device, and method of manufacturing the display module | SAMSUNG DISPLAY CO., LTD. |
10998512 | Electronic device, display device, method for manufacturing the same, and system including a plurality of display devices | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998513 | Display device | SAMSUNG DISPLAY CO., LTD. |
10998514 | Photoelectric devices and image sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
10998515 | Solar cell and manufacturing method therefor | IUCF-HYU |
10998516 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998517 | Light-emitting diode and method for preparing the same | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
10998518 | Triaryl amine thick layer doped with metal amides for use as HIL for an organic light-emitting diode (OLED) | NOVALED GMBH |
10998519 | Quantum dot light-emitting diode, method for preparing the same, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10998520 | Organic light-emitting display apparatus for increasing contact area between sealing member and insulating layers | SAMSUNG DISPLAY CO., LTD. |
10998521 | Electronic panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10998522 | Flexible OLED display panel, manufacturing method for the same and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998523 | Organic light emitting diode display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD |
10998524 | Light-emitting devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
10998525 | Organic light emitting display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
10998526 | Organic EL display panel including a multilayer sealing layer, organic EL display device, and manufacturing method thereof | JOLED INC. |
10998527 | Organic electroluminescent device | ROHM CO., LTD. |
10998528 | Organic EL image display device | FUJIFILM CORPORATION |
10998529 | Display device, method for producing display device, and apparatus for producing display device | SHARP KABUSHIKI KAISHA |
10998530 | Display panel | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10998531 | Segmented OVJP print bar | UNIVERSAL DISPLAY CORPORATION |
10998532 | Display substrate, manufacturing method of display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10998533 | Method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
10998694 | Laser diode | LG INNOTEK CO., LTD. |
10998719 | Overvoltage protection and linear regulator device module | LITTELFUSE SEMICONDUCTOR (WUXI) CO., LTD. |
10998721 | Electrostatic discharge (ESD) protection circuits using tunneling field effect transistor (TFET) and impact ionization MOSFET (IMOS) devices | STMICROELECTRONICS INTERNATIONAL N.V. |
10998750 | Light-emitting device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998847 | Solar mounting assemblies | PEGASUS SOLAR INC. |
10998852 | Photovoltaic solar energy system with improved gain | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10998869 | Superconducting traveling-wave parametric amplifier | SREEQC INC. |
10998873 | Capacitor unit, integrated capacitor, and resonance unit | HUAWEI TECHNOLOGIES CO., LTD. |
10998878 | Joined body of piezoelectric material substrate and support substrate | NGK INSULATORS, LTD. |
10998882 | XBAR resonators with non-rectangular diaphragms | RESONANT INC. |
10998983 | Front end circuit for a non-linear sensor comprising a current to voltage converter and a limiting bias circuit respectively connected to the common cathode and the common anode of an array of avalanche photodiode elements | FNV IP B.V. |
10999173 | Active targeted data plane traffic monitoring for wired networks | CISCO TECHNOLOGY, INC. |
10999358 | Traffic mapping | TWITTER, INC. |
10999573 | Partial light field display architecture | RAXIUM, INC. |
10999926 | Stress relief encapsulation for flexible hybrid electronics | FLEX LTD. |
11000203 | Microelectronic sensor for intestinal and gut diagnostics and gut motility monitoring | EPITRONIC HOLDINGS PTE LTD. |
11000707 | Ultrasonic surgical instruments | ETHICON LLC |
11000847 | Fluid control | LUMIRADX UK LTD. |
11000911 | Manufacturing method for backlight source | -- |
11001385 | Energy harvesting micro air vehicle | THE BOEING COMPANY |
11001497 | High performance topological insulator transistors | GEORGE MASON UNIVERSITY |
11001504 | Compound semiconductor and manufacturing method thereof | LG CHEM, LTD. |
11001676 | Supramolecular structure and method of manufacturing the same and self-healing elastomer | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11001687 | Substrate with functional fine line and method for forming functional fine line | KONICA MINOLTA, INC. |
11001752 | Double spiro organic compound and organic electronic element comprising same | LG CHEM, LTD. |
11001753 | Phosphor that includes cerium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11001755 | Sintered phosphor-composite, light-emitting device, lighting device and vehicle indicator lamp | MITSUBISHI CHEMICAL CORPORATION |
11001919 | Monolayer-by-monolayer growth of MgO layers using Mg sublimation and oxidation | -- |
11001939 | Methods of preparation of organometallic halide structures | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11002427 | Light emitting device | NICHIA CORPORATION |
11002704 | Biosensor devices and methods of forming the same | -- |
11002705 | Semiconductor element, method for manufacturing same, and sensor in which same is used | TORAY INDUSTRIES, INC. |
11002832 | Chip-scale LIDAR with a single 2D MEMS scanner | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11002882 | Lightning strike alarm system using bipolar conventional air terminal | OMNI LPS. CO., LTD. |
11002888 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11002908 | Fabrication and self-aligned local functionalization of nanocups and various plasmonic nanostructures on flexible substrates for implantable and sensing applications | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11003003 | Display device | JAPAN DISPLAY INC. |
11003009 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11003015 | Electronic device with a light guiding layer | APPLE INC. |
11003018 | Backlight unit, display device, manufacturing method for backlight unit, manufacturing apparatus of backlight unit | SHARP KABUSHIKI KAISHA |
11003020 | Light source package, backlight unit including light source package, and display device using the same | LG DISPLAY CO., LTD. |
11003036 | Pixel array substrate | -- |
11003039 | Thin film transistor substrate and display device using the same | -- |
11003052 | Mobile device | CITIZEN ELECTRONICS CO., LTD. |
11003078 | Compositions for forming a protective film against basic aqueous hydrogen peroxide solution, and pattern formation method | NISSAN CHEMICAL CORPORATION |
11003082 | Method for forming semiconductor structure | -- |
11003226 | Touchscreen sensor electromagnetic interference protection apparatus | ROCKWELL COLLINS, INC. |
11003265 | Touch sensor integrated type electroluminescent display device | LG DISPLAY CO., LTD. |
11003266 | Display device | SAMSUNG DISPLAY CO., LTD. |
11003300 | Partial guarding for a sensing device | SYNAPTICS INCORPORATED |
11003302 | Array substrate and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11003365 | Methods and related devices for operating a memory array | MICRON TECHNOLOGY, INC. |
11003884 | Fingerprint sensor device and methods thereof | QUALCOMM INCORPORATED |
11003981 | Two-terminal metastable mixed-conductor memristive devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11003986 | Semiconductor device and system using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004384 | Display apparatus | LG DISPLAY CO., LTD. |
11004390 | Display device and electronic apparatus | SONY CORPORATION |
11004393 | Display device | SAMSUNG DISPLAY CO., LTD. |
11004465 | Magneto-resistance element in which I-III-VI2 compound semiconductor is used, method for manufacturing said magneto-resistance element, and magnetic storage device and spin transistor in which said magneto-resistance element is used | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11004478 | Semiconductor memory device | KIOXIA CORPORATION |
11004489 | Perpendicular spin transfer torque MRAM memory cell with in-stack thermal barriers | WESTERN DIGITAL TECHNOLOGIES, INC. |
11004490 | Spin orbit torque magnetoresistive random access memory device | IMEC VZW |
11004506 | Switching resistor and method of making such a device | UCL BUSINESS LTD |
11004508 | One selector one resistor RAM threshold voltage drift and offset voltage compensation methods | SANDISK TECHNOLOGIES LLC |
11004511 | Memory device having separate programming and resistance readout control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004512 | Semiconductor device having electrically floating body transistor, semiconductor device having both volatile and non-volatile functionality and method of operating | ZENO SEMICONDUCTOR, INC. |
11004528 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004588 | Switching of perpendicularly magnetized nanomagnets with spin-orbit torques in the absence of external magnetic fields | UNIVERSITY OF ROCHESTER |
11004612 | Low temperature sub-nanometer periodic stack dielectrics | MICROSOL TECHNOLOGIES INC. |
11004617 | Method for manufacturing organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11004678 | Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004679 | Method and system for supplying chemical liquid in semiconductor fabrication | -- |
11004681 | Defect-free heterogeneous substrates | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11004687 | Gate contact over active processes | APPLIED MATERIALS, INC. |
11004688 | FinFET device and method of forming | -- |
11004691 | Mechanism for manufacturing semiconductor device | -- |
11004696 | Method for manufacturing power diode | -- |
11004705 | Chip transfer device and chip transferring method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11004719 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11004721 | Micro device transfer head | -- |
11004723 | Wafer production method | SILTECTRA GMBH |
11004724 | FETS and methods of forming FETS | -- |
11004725 | Method of forming a FinFET device with gaps in the source/drain region | -- |
11004727 | Method for fabricating electrode and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004730 | Methods of forming conductive features using a vacuum environment | -- |
11004732 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11004735 | Conductive interconnect having a semi-liner and no top surface recess | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004737 | Field effect device with reduced capacitance and resistance in source/drain contacts at reduced gate pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004739 | Gate contact structure over active gate and method to fabricate same | INTEL CORPORATION |
11004745 | Semiconductor device convex source/drain region | -- |
11004746 | Method for forming a semiconductor structure using dehydrating chemical, and method for forming a semiconductor structure | -- |
11004747 | Fin critical dimension loading optimization | -- |
11004748 | Semiconductor devices with wide gate-to-gate spacing | GLOBALFOUNDRIES U.S. INC. |
11004749 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11004750 | Middle of the line contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004751 | Vertical transistor having reduced edge fin variation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004752 | Fin field-effect transistor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11004753 | Display device and method of manufacturing the display device | -- |
11004756 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11004762 | Semiconductor device, vehicle-mounted semiconductor device, and vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11004765 | Field-effect transistor with a heat absorber in contact with a surface of the gate electrode on its back side | DENSO CORPORATION |
11004770 | Phase changing on-chip thermal heat sink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004774 | Fabricating field-effect transistors with body contacts between source, gate and drain assemblies | SKYWORKS SOLUTIONS, INC. |
11004781 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11004784 | Metal-on-metal capacitor | QUALCOMM INCORPORATED |
11004785 | Co-integrated vertically structured capacitive element and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
11004788 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11004789 | Semiconductor device including back side power supply circuit | -- |
11004795 | Semiconductor structure and manufacturing method thereof | -- |
11004802 | Reliability extreme temperature integrated circuits and method for producing the same | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11004804 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11004808 | Package with different types of semiconductor dies attached to a flange | CREE, INC. |
11004815 | Semiconductor device | DENSO CORPORATION |
11004822 | Wire clamp apparatus calibration method and wire bonding apparatus | SHINKAWA LTD. |
11004834 | LED unit | OSRAM OLED GMBH |
11004835 | Light-emitting diodes with light coupling and conversion layers | KATEEVA, INC. |
11004836 | Method for integrating a light emitting device | APPLE INC. |
11004839 | Trench power MOSFET with integrated-schottky in non-active area | RENESAS ELECTRONICS AMERICA INC. |
11004842 | System and method of fabricating ESD FinFET with improved metal landing in the drain | -- |
11004844 | Recessed STI as the gate dielectric of HV device | -- |
11004845 | Semiconductor device and manufacturing method thereof | -- |
11004847 | Semiconductor device and fabricating the same | -- |
11004848 | Composite transistor having overlapping active regions and control electrode | SONY CORPORATION |
11004849 | Distributed electrical overstress protection for large density and high data rate communication applications | ANALOG DEVICES, INC. |
11004850 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004851 | Complementary transistor and semiconductor device | SONY CORPORATION |
11004852 | Semiconductor structure | -- |
11004854 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004855 | Buried metal track and methods forming same | -- |
11004856 | Stacked vertical transistor memory cell with epi connections | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004857 | Operating method of an electrically erasable programmable read only memory (EEPROM) cell | -- |
11004858 | Semiconductor device and manufacturing method thereof | -- |
11004863 | Non-volatile memory with gate all around thin film transistor and method of manufacturing the same | -- |
11004866 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11004867 | Embedded ferroelectric memory in high-k first technology | -- |
11004868 | Memory field-effect transistors and methods of manufacturing the same | INTEL CORPORATION |
11004870 | Transistor structure, display device including transistor structure, and method of manufacturing transistor structure | SAMSUNG DISPLAY CO., LTD. |
11004872 | Display substrate including a nano-imprint pattern and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11004873 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11004874 | Thin film transistor, method for fabricating the same, array substrate, and display panel | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004875 | Methods of manufacturing electronic structures | PRAGMATIC PRINTING LTD. |
11004876 | Method for producing a semiconductor chip and semiconductor chip | OSRAM OLED GMBH |
11004877 | Solid-state imaging device with phase difference detection pixel and electronic apparatus | SONY CORPORATION |
11004878 | Photodiodes integrated into a BiCMOS process | GLOBALFOUNDRIES U.S. INC. |
11004882 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004888 | Photoelectric conversion element and optical sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11004890 | Substrate based light emitter devices, components, and related methods | CREELED, INC. |
11004891 | Light emitting device and backlight including the light emitting device | NICHIA CORPORATION |
11004892 | Display device | SAMSUNG DISPLAY CO., LTD. |
11004893 | Photonic integrated device and manufacturing method thereof | QINGDAO YICHENLEISHUO TECHNOLOGY CO., LTD |
11004894 | Micro-LED displays | INTEL CORPORATION |
11004895 | Pixel or display with sub pixels selected by antifuse programming | BLACK PEAK LLC |
11004896 | System and method for non-invasive large-scale qubit device characterization technique | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004897 | Magnetoresistive random access memory and method for fabricating the same | -- |
11004898 | Method for manufacturing a magnetic tunnel junction device and device manufactured using such method | IMEC VZW |
11004899 | Magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
11004900 | Magnetoresistive random access memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11004901 | Magnetic random access memory and manufacturing method thereof | -- |
11004902 | Circuit element, storage device, electronic equipment, method of writing information into circuit element, and method of reading information from circuit element | SONY CORPORATION |
11004903 | Electronic device having hexagonal structure and addressing method therefor | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
11004905 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11004910 | Optical filter substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11004912 | Flexible display apparatus having alignment mark and method of assembling the same | SAMSUNG DISPLAY CO., LTD. |
11004914 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11004915 | Display device having a power supply layer including a plurality of holes | SAMSUNG DISPLAY CO., LTD. |
11004916 | Organic light emitting device, image capturing device, and method for producing organic light emitting device | CANON KABUSHIKI KAISHA |
11004917 | Pixel defining layer, display substrate and manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11004918 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11004920 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11004921 | Organic light-emitting display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11004922 | Organic light emitting display device having a sub-pixel region and a transparent region | SAMSUNG DISPLAY CO., LTD. |
11004923 | Display device with a bending area | LG DISPLAY CO., LTD. |
11004924 | Display device, method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11004925 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004926 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11004927 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11004929 | Trimmable silicon-based thermistor with reduced stress dependence | TEXAS INSTRUMENTS INCORPORATED |
11004930 | High density three-dimensional integrated capacitors | TESSERA, INC. |
11004931 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11004932 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11004933 | Field effect transistor structures | TESSERA, INC. |
11004934 | Semiconductor device including a liner layer between a channel and a source/drain epitaxial layer | -- |
11004935 | Solid power semiconductor field effect transistor structure | HONG KONG |
11004936 | Silicon carbide insulated-gate power field effect transistor | FUJI ELECTRIC CO., LTD. |
11004937 | Semiconductor device and manufacturing method thereof | -- |
11004938 | Semiconductor substrate structure and power semiconductor device | ROHM CO., LTD. |
11004939 | Semiconductor device having a junction portion contacting a Schottky metal | ROHM CO., LTD. |
11004940 | Manufacture of power devices having increased cross over current | GENESIC SEMICONDUCTOR INC. |
11004941 | Silicon carbide epitaxial substrate having grooves extending along main surface and method of manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11004942 | Controlling bi-directional switching devices | TEXAS INSTRUMENTS INCORPORATED |
11004943 | Porous and nanoporous semiconductor materials and manufacture thereof | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11004944 | Gate cut device fabrication with extended height gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004945 | Semiconductor device with spicular-shaped field plate structures and a current spread region | INFINEON TECHNOLOGIES AUSTRIA AG |
11004946 | FinFET structure with composite gate helmet | -- |
11004947 | Nonvolatile storage element | ASAHI KASEI MICRODEVICES CORPORATION |
11004948 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11004949 | Transistor including electride electrode | SAMSUNG ELECTRONICS CO., LTD. |
11004950 | Integrated circuit metal gate structure | -- |
11004951 | Surface treatment and passivation for high electron mobility transistors | -- |
11004952 | High-electron mobility transistor and fabrication method thereof | -- |
11004953 | Mask-free methods of forming structures in a semiconductor device | GLOBALFOUNDRIES U.S. INC. |
11004954 | Epitaxial buffer to reduce sub-channel leakage in MOS transistors | INTEL CORPORATION |
11004955 | Semiconductor device and manufacturing method thereof | -- |
11004956 | Manufacturing method of semiconductor device | SK HYNIX INC. |
11004957 | Manufacturing methods of inorganic thin film transistors (TFTs) and flexible display devices | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004958 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11004959 | Semiconductor device structure and method for forming the same | -- |
11004960 | Semiconductor device and manufacturing method thereof | -- |
11004961 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004962 | Integrated circuit including at least one nano-ridge transistor | IMEC VZW |
11004963 | Insulated gate bipolar transistor having first and second field stop zone portions and manufacturing method | INFINEON TECHNOLOGIES AG |
11004964 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11004965 | Forming semiconductor structures with two-dimensional materials | -- |
11004966 | Nitride semiconductor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11004967 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11004968 | Semiconductor device | ROHM CO., LTD. |
11004969 | Trench MOSFETs having dummy cells for avalanche capability improvement | -- |
11004970 | Mirror device structure for power MOSFET and method of manufacture | NXP USA, INC. |
11004971 | LDMOS transistor with gate structure having alternating regions of wider and narrower spacing to a body region | TEXAS INSTRUMENTS INCORPORATED |
11004972 | Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11004973 | Semiconductor device with contamination improvement | -- |
11004974 | Field effect transistors containing electric field assist layers at gate corners and method of making the same | SANDISK TECHNOLOGIES LLC |
11004975 | Semiconductor device and manufacturing method thereof | -- |
11004976 | Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004977 | Method for depositing a group IV semiconductor and related semiconductor device structures | ASM IP HOLDING B.V. |
11004978 | Methods of forming doped source/drain contacts and structures formed thereby | INTEL CORPORATION |
11004980 | Thin film transistor having vertical channel and manufacturing method therefor, array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11004981 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11004982 | Gate for a transistor | INTEL CORPORATION |
11004983 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004984 | Low resistivity epitaxially formed contact region for nanosheet external resistance reduction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004985 | Semiconductor device having multi-thickness nanowire | SAMSUNG ELECTRONICS CO., LTD. |
11004986 | Semiconductor device including adjacent semiconductor layers | MITSUBISHI ELECTRIC CORPORATION |
11004987 | Metallization of conductive wires for solar cells | SUNPOWER CORPORATION |
11004988 | Solar cell and method for manufacturing the same | LG ELECTRONICS INC. |
11004989 | Photodiodes formed on a thermally conductive layer and, photodiode systems | PHASE SENSITIVE INNOVATIONS, INC. |
11004990 | Nanometer sized structures grown by pulsed laser deposition | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11004991 | Photovoltaic solar cell and method of manufacturing photovoltaic solar cell | LG ELECTRONICS INC. |
11004992 | Rear face element for a solar module | MATRIX MODULE GMBH |
11004993 | Tiled frameless PV-module | PRISM SOLAR TECHNOLOGIES, INC. |
11004994 | Solar cell and method of manufacturing the same | LG ELECTRONICS INC. |
11004995 | Photovoltaic device | KANEKA CORPORATION |
11004996 | Regenerative braking using phosphorescence | NIMBUS ENGINEERING INC. |
11004997 | Infrared thermal emitter | -- |
11004998 | Wearable brain interface systems including a headgear and a plurality of photodetector units | HI LLC |
11004999 | Photodetector element | HAMAMATSU PHOTONICS K.K. |
11005000 | Connector for photonic device | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11005001 | Opto-electronic modules and methods of manufacturing the same and appliances and devices comprising the same | AMS SENSORS SINGAPORE PTE. LTD. |
11005002 | Manufacturing of a semiconductor photosensitive device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11005003 | Method for producing an optoelectronic semiconductor chip and optoelectronic semiconductor chip | OSRAM OLED GMBH |
11005004 | Micro light emitting diode (LED) structure, method for manufacturing the same and display including the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11005005 | Optoelectronic semiconductor device and method of manufacturing an optoelectronic semiconductor device | OSRAM OLED GMBH |
11005006 | Light emitting diode and light emitting module comprising the same | SEOUL SEMICONDUCTOR CO., LTD. |
11005007 | Light-emitting device and manufacturing method thereof | -- |
11005008 | Method for manufacturing light emitting device | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005009 | Light emitting device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
11005010 | Phosphor and method of manufacturing same, and LED lamp | KABUSHIKI KAISHA TOSHIBA |
11005012 | Wavelength converted light emitting device with textured substrate | LUMILEDS LLC |
11005013 | Lighting and/or signaling device for motor vehicle | VALEO VISION |
11005014 | Optics formation using pick-up tools | FACEBOOK TECHNOLOGIES, LLC |
11005015 | Method and apparatus for detecting electromagnetic touch | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005016 | Apex angle reduction in a LED device with a LED array | IMEC VZW |
11005017 | Light source | KONINKLIJKE PHILIPS N.V. |
11005018 | Semiconductor continuous array layer | -- |
11005019 | Structure of micro device with holding structure | -- |
11005020 | Display panel and fabricating method thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11005021 | Peripheral heat sinking arrangement for high brightness light emitting devices | KONINKLIJKE PHILIPS N.V. |
11005022 | Vertical transmon qubit device with microstrip waveguides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11005023 | Superconducting logic element | CONSIGLIO NAZIONALE DELLE RICERCHE |
11005024 | High linearity superconducting radio frequency magnetic field detector | SEEQC INC. |
11005025 | Piezoelectric micromachined ultrasonic transducers with low stress sensitivity and methods of fabrication | CHIRP MICROSYSTEMS, INC. |
11005026 | Piezoelectric element, piezoelectric actuator, ultrasonic probe, ultrasonic apparatus, electronic apparatus, liquid ejection head, and liquid ejection device | SEIKO EPSON CORPORATION |
11005027 | Highly controllable electroactive materials and electroactive actuators capable of pronounced contraction and expansion | RAS LABS, INC. |
11005028 | Piezoelectric composition and piezoelectric element | TDK CORPORATION |
11005029 | Spin transfer torque switching of a magnetic layer with volume uniaxial magnetic crystalline anistotropy | SAMSUNG ELECTRONICS CO., LTD. |
11005030 | Magnetoresistive random access memory | -- |
11005031 | Stacked magnetoresistive structures and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
11005032 | Techniques for MRAM MTJ top electrode to metal layer interface including spacer | -- |
11005033 | Component semiconductor structure | TDK-MICRONAS GMBH |
11005034 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11005035 | Magnetoresistive effect element | TDK CORPORATION |
11005036 | Magnetoresistance structure including two hard masks | ALLEGRO MICROSYSTEMS, LLC |
11005037 | Leakage resistant RRAM/MIM structure | -- |
11005038 | Memory devices and electronic devices including memory materials substantially encapsulated with dielectric materials | MICRON TECHNOLOGY, INC. |
11005039 | Correlated electron material (CEM) device | CERFE LABS, INC. |
11005040 | Low temperature film for PCRAM sidewall protection | -- |
11005041 | Method for manufacturing a resistive memory | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11005042 | Formulations containing ketones comprising non-aromatic cycles | MERCK PATENT GMBH |
11005043 | Organic semiconducting polymer | -- |
11005044 | Light emitting device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11005045 | Ladder tetrazine polymers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11005046 | Carbon nanotube array, material, electronic device, process for producing carbon nanotube array, and process for producing field effect transistor | THE UNIVERSITY OF TOKYO |
11005047 | Heteroaromatic compound and organic electroluminescence device using the same | -- |
11005048 | Organic molecules, in particular for use in optoelectronic devices | CYNORA GMBH |
11005049 | Metal complexes | MERCK PATENT GMBH |
11005050 | Metal complexes | MERCK PATENT GMBH |
11005051 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11005052 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11005053 | Organic light emitting display apparatus comprising a light conversion film and composite film comprising a light conversion film | LG DISPLAY CO., LTD. |
11005054 | Display device comprising heat sink comprising metal alloy | SAMSUNG DISPLAY CO., LTD. |
11005055 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11005056 | Flexible display panel and manufacturing method for the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005057 | Organic light emitting diode folding display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005058 | Light-emitting device including quantum dots | SAMSUNG RESEARCH AMERICA, INC. |
11005059 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11005060 | Electroluminescent device, and display device comprising thereof | SAMSUNG ELECTRONICS CO., LTD. |
11005061 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11005062 | Light emitting apparatus and method of fabricating the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11005063 | Display substrate having microcavities | BOE TECHNOLOGY GROUP CO., LTD. |
11005064 | Transparent display substrate and driving method thereof and transparent display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005065 | Laminate comprising tantalum oxide and method of producing the same, gas barrier film and method of producing the same, and organic light-emitting element | TOPPAN PRINTING CO., LTD. |
11005066 | Organic electronic device using adhesive film encapsulation technology, and method of manufacturing same | DONGJIN SEMICHEM CO., LTD. |
11005067 | OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005068 | Organic light-emitting diode touch display and manufacturing method thereof | -- |
11005069 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005070 | Organic photoelectronic device and image sensor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11005071 | Method for manufacturing light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11005072 | Display device with block members having different heights | SAMSUNG DISPLAY CO., LTD. |
11005073 | OLED display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005074 | Display device | -- |
11005075 | OLED light extraction using nanostructured coatings | CORNING INCORPORATED |
11005076 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11005078 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11005079 | Anti-reflection bottom-emitting type OLED display device and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005080 | Organic light emitting diode display screen and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005081 | Coating method, display substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11005082 | Organic EL light-emitting element and manufacturing method thereof | SAKAI DISPLAY PRODUCTS CORPORATION |
11005083 | High-resolution Micro-OLED display module and manufacturing method thereof | SUZHOU QINGYUE OPTOELECTRONICS TECHNOLOGY CO., LTD |
11005084 | Method for manufacturing a display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11005097 | Group IVA functionalized particles and methods of use thereof | KRATOS LLC |
11005180 | Display device having NFC communication function | SUZHOU QINGYUE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11005267 | Photovoltaic module | LG ELECTRONICS INC. |
11005354 | Power conversion circuit | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11005387 | Switching device | ROHM CO., LTD. |
11005413 | Highly-efficient near-field thermophotovoltaics using surface-polariton emitters and thin-film photovoltaic-cell absorbers | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11005418 | Device for testing a concentrated photovoltaic module | SAINT-AUGUSTIN CANADA ELECTRIC INC. |
11005420 | Quartz crystal unit, quartz crystal oscillator and electronic apparatus | PIEDEK TECHNICAL LABORATORY |
11005437 | Spatial power-combining devices with thin film resistors | QORVO US, INC. |
11005446 | Resonators and devices with a pixel electrode operating across a gap | FOX ENTERPRISES, INC. |
11005449 | Acoustically coupled resonator notch and bandpass filters | INFINEON TECHNOLOGIES AG |
11005451 | Acoustically coupled resonator notch and bandpass filters | INFINEON TECHNOLOGIES AG |
11005490 | Sampling circuit | STMICROELECTRONICS (ALPS) SAS |
11005951 | Gateway device allowing multiple infrastructural services to access multiple IoT devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11006489 | Optical device | PIONEER CORPORATION |
11006498 | Robust UV-C anti-fouling device having a single-wire power source | KONINKLIJKE PHILIPS N.V. |
11006514 | Three-dimensional decoupling integration within hole in motherboard | INTEL CORPORATION |
11006520 | Stretchable electronics and method for fabricating the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11006525 | Display device and method of manufacturing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11006527 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11007005 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11007375 | Light source for myopia prevention article and method of using light source for myopia prevention article | TOSHIBA MATERIALS CO., LTD. |
11007457 | Electronic configuration and control for acoustic standing wave generation | FLODESIGN SONICS, INC. |
11007601 | Device for establishing a bonding connection and transducer therefor | HESSE GMBH |
11007749 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11007780 | Device using a piezoelectric film | ROHM CO., LTD. |
11008280 | Compound for organic electric element, organic electric element using same, and electronic device comprising same organic electronic element | DUK SAN NEOLUX CO., LTD. |
11008330 | Tetraphenylporphyrin derivative | KYOTO UNIVERSITY |
11008343 | Heterocyclic compound and organic electronic device comprising same | LG CHEM, LTD. |
11008484 | Optical adhesive, method for manufacturing optical adhesive, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11008508 | Luminescent component | AVANTAMA AG |
11008509 | Coated manganese doped phosphors | CURRENT LIGHTING SOLUTIONS, LLC |
11008510 | Light-emitting element, light-emitting device, electronic device, lighting device, and novel organic compound | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11008511 | Method of producing aluminate fluorescent material, aluminate fluorescent material, and light emitting device | NICHIA CORPORATION |
11008512 | Quantum dot, light emitting material and manufacturing method of quantum dot comprising thermal treatment of solution containing formed quantum dot | -- |
11008513 | Composition for etching | -- |
11008642 | Magnetostrictive material and magnetostriction type device using the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11008646 | Vapor deposition apparatus, vapor deposition method and method of manufacturing organic EL display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11008656 | Roll to roll fabrication apparatus for preventing thermal impact | LG DISPLAY CO., LTD. |
11008671 | Nitride crystal | SCIOCS COMPANY LIMITED |
11008679 | Woven textile fabric | SANKO TEKSTIL ISLETMELERI SAN. VE TIC. A.S. |
11008920 | Thermoelectric conversion module and thermoelectric conversion module system | HYUNDAI MOTOR COMPANY |
11009191 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11009193 | Light-emitting devices providing asymmetrical propagation of light | QUARKSTAR LLC |
11009208 | Light source with a primary lens made of silicone and a method for manufacturing the light source | HELLA GMBH & CO. KGAA |
11009210 | Vehicle lamp lens body, combined lens body with two serial condensing lens body | STANLEY ELECTRIC CO., LTD. |
11009338 | Liquid droplet measurement method and liquid droplet measurement device, and method and apparatus for manufacturing device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11009351 | Vibrator device including reduced mounting stress and frequency variation | SEIKO EPSON CORPORATION |
11009411 | Increasing sensitivity of a sensor using an encoded signal | SENTONS INC. |
11009475 | Doped, metal oxide-based chemical sensors | VAON, LLC |
11009477 | Integrated multi-sensor module | STMICROELECTRONICS PTE LTD. |
11009529 | Semiconductor circuits, devices and methods | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11009562 | Magnetic field sensing apparatus | -- |
11009566 | Three-dimensional magnetic field detection element and three-dimensional magnetic field detection device | ASAHI INTECC CO., LTD. |
11009568 | Magnetoresistive sensors and methods for generating closed flux magnetization patterns | INFINEON TECHNOLOGIES AG |
11009569 | Magnetic field sensing device | -- |
11009570 | Hybrid oxide/metal cap layer for boron-free free layer | SAMSUNG ELECTRONICS CO., LTD. |
11009629 | Anti-reflection film and deep ultraviolet light-emitting device | DOWA ELECTRONICS MATERIALS CO., LTD. |
11009658 | Waveguide integrated plasmon assisted field emission detector | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11009743 | Display panel | -- |
11009745 | Displays with direct-lit backlight units including light-emitting diodes and encapsulant | APPLE INC. |
11009755 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11009762 | Borderless display with curved edges | AMAZON TECHNOLOGIES, INC. |
11009804 | Semiconductor light-emitting device, exposure head, and image forming apparatus | CANON KABUSHIKI KAISHA |
11009976 | Display device including touch screen function | LG DISPLAY CO., LTD. |
11009977 | Sensor substrate and sensing display panel having the same | SAMSUNG DISPLAY CO., LTD. |
11009978 | OLED panel, OLED apparatus, fingerprint identification method and device thereof, and storage medium | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11009979 | Touchscreen panel and touch display device including the same | LG DISPLAY CO., LTD. |
11010004 | Input element and input device | RICOH COMPANY, LTD. |
11010526 | Method and structure for mandrel and spacer patterning | -- |
11010530 | Method and apparatus for designing resistive random access memory | -- |
11010582 | TFT panel type fingerprint recognition sensor | VIEWORKS CO., LTD. |
11010583 | Display panel and display apparatus | SHANGHAI TIANMA AM-OLED CO., LTD. |
11010584 | Display device and sensing element substrate capable of increasing ratio between photo current and dark current | -- |
11010684 | Quantum spin hall-based charging energy-protected quantum computation | MICROSOFT TECHNOLOGY LICENSING, LLC |
11010685 | Frequency allocation in multi-qubit circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011033 | Haptic vibrotactile actuators on textiles and related systems and methods | FACEBOOK TECHNOLOGIES, LLC |
11011087 | IC, driver IC, display system, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011104 | Image display device and method for manufacturing image display device | NICHIA CORPORATION |
11011195 | Manufacturing method for multi-layer PZT microactuator having a poled but inactive PZT constraining layer | MAGNECOMP CORPORATION |
11011209 | Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11011224 | Memory device and method for forming the same | -- |
11011232 | Memory cells, memory cell arrays, methods of using and methods of making | ZERO SEMICONDUCTOR, INC. |
11011245 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011303 | Dummy fill with eddy current self-canceling element for inductor component | GLOBALFOUNDRIES U.S. INC. |
11011315 | Thin film capacitor, manufacturing method therefor, and multilayer circuit board embedded with thin film capacitor | TDK CORPORATION |
11011357 | Methods and apparatus for multi-cathode substrate processing | APPLIED MATERIALS, INC. |
11011370 | Method for manufacturing semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11011372 | Semiconductor devices and methods of manufacture | -- |
11011373 | Engineered substrate structures for power and RF applications | QROMIS, INC. |
11011374 | Group III nitride semiconductor substrate and method for manufacturing group III nitride semiconductor substrate | FURUKAWA CO., LTD. |
11011376 | Method of manufacturing semiconductor structure with an epitaxial layer | -- |
11011379 | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors | LAM RESEARCH CORPORATION |
11011380 | High-electron-mobility transistor and manufacturing method thereof | -- |
11011382 | Fin profile improvement for high performance transistor | -- |
11011385 | CMP-friendly coatings for planar recessing or removing of variable-height layers | -- |
11011387 | Wet etching of samarium selenium for piezoelectric processing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011390 | Micro device stabilization post | APPLE INC. |
11011409 | Devices with backside metal structures and methods of formation thereof | INFINEON TECHNOLOGIES AG |
11011411 | Semiconductor wafer having integrated circuits with bottom local interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011414 | Multi-barrier deposition for air gap formation | -- |
11011422 | Self-aligned wrap-around trench contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011426 | Semiconductor device and manufacturing method thereof | -- |
11011427 | System and method for widening fin widths for small pitch FinFET devices | -- |
11011428 | Method for fabricating a semiconductor device | -- |
11011429 | Minimize middle-of-line contact line shorts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011430 | Semiconductor device and method for fabricating the same | -- |
11011432 | Vertical silicon/silicon-germanium transistors with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011433 | NMOS and PMOS transistor gates with hafnium oxide layers and lanthanum oxide layers | -- |
11011437 | Method and apparatus for determining width-to-length ratio of channel region of thin film transistor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011438 | Display device | SAMSUNG DISPLAY CO., LTD. |
11011446 | Semiconductor device and method of making a semiconductor device | NEXPERIA B.V. |
11011454 | Power module apparatus, cooling structure, and electric vehicle or hybrid electric vehicle | ROHM CO., LTD. |
11011461 | Perpendicular inductors integrated in a substrate | QUALCOMM INCORPORATED |
11011465 | Single crystal silicon carbide substrate, method of manufacturing single crystal silicon carbide substrate, and semiconductor laser | HITACHI POWER SOLUTIONS CO., LTD. |
11011472 | Self-aligned register structure for base polysilicon and preparation method thereof | JIANGSU |
11011481 | Configurable resistor | INTEL CORPORATION |
11011494 | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics | INVENSAS BONDING TECHNOLOGIES, INC. |
11011503 | Direct-bonded optoelectronic interconnect for high-density integrated photonics | INVENSAS BONDING TECHNOLOGIES, INC. |
11011504 | Optoelectronic semiconductor chip and method of producing an optoelectronic semiconductor chip | OSRAM OLED GMBH |
11011508 | Dielectric spaced diode | TEXAS INSTRUMENTS INCORPORATED |
11011509 | Electrostatic discharge protection device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11011510 | Breakdown uniformity for ESD protection device | TEXAS INSTRUMENTS INCORPORATED |
11011511 | Electrostatic discharge protection devices | SAMSUNG ELECTRONICS CO., LTD. |
11011512 | Semiconductor device including a nitride layer | SANKEN ELECTRIC CO., LTD. |
11011513 | Integrating a junction field effect transistor into a vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011514 | Doping and fabrication of diamond and C-BN based device structures | NORTH CAROLINA STATE UNIVERSITY |
11011515 | Normally off III nitride transistor | TEXAS INSTRUMENTS INCORPORATED |
11011516 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11011517 | Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011518 | Semiconductor device and method of manufacturing the same | SONY CORPORATION |
11011519 | Semiconductor device including gate structure having device isolation film | SAMSUNG ELECTRONICS CO., LTD. |
11011520 | Semiconductor DRAM cell structure having low leakage capacitor | -- |
11011521 | Semiconductor structure patterning | MICRON TECHNOLOGY, INC. |
11011524 | Semiconductor arrangement with capacitor | -- |
11011525 | Landing pad structure and method of manufacturing the same | -- |
11011528 | Asymmetric gate edge spacing for SRAM structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011529 | Memory arrays comprising vertically-alternating tiers of insulative material and memory cells and methods of forming a memory array comprising memory cells individually comprising a transistor and a capacitor | MICRON TECHNOLOGY, INC. |
11011530 | Memory cell, nonvolatile semiconductor storage device, and method for manufacturing nonvolatile semiconductor storage device | FLOADIA CORPORATION |
11011531 | Replacement control gate methods and apparatuses | MICRON TECHNOLOGY, INC. |
11011532 | Floating gate nonvolatile semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11011534 | Multi-level cell thin-film transistor memory and method of fabricating the same | FUDAN UNIVERSITY |
11011535 | Semiconductor device with integrated memory devices and MOS devices and process of making the same | -- |
11011536 | Vertical memory device | SAMSUNG ELECTRONICS CO., LTD. |
11011538 | Transistors and arrays of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
11011540 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011542 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011544 | Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays | SUNRISE MEMORY CORPORATION |
11011545 | Semiconductor device including standard cells | -- |
11011546 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11011549 | Thin film transistor, method for manufacturing the same, and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011550 | Self-aligned top-gated non-planar oxide semiconductor thin film transistors | INTEL CORPORATION |
11011551 | Array substrate with a plurality of different signal lines | BOE TECHNOLOGY GROUP CO., LTD. |
11011552 | Method for manufacturing a display substrate comprising interconnected first and second wirings | SAMSUNG DISPLAY CO., LTD. |
11011555 | Fabricating integrated light-emitting pixel arrays for displays | -- |
11011556 | Method of making a semiconductor device | -- |
11011561 | Pixel and image sensor including the same | SK HYNIX INC. |
11011565 | Solid-state image pickup apparatus and image pickup system | CANON KABUSHIKI KAISHA |
11011567 | Structure and method for 3D image sensor | -- |
11011571 | Nanowire light emitting switch devices and methods thereof | INNOVATION SEMICONDUCTOR |
11011573 | Radiation-emitting component | OSRAM OLED GMBH |
11011574 | Top emission microLED display and bottom emission microLED display and a method of forming the same | -- |
11011575 | Circuit selector of embedded magnetoresistive random access memory | -- |
11011576 | Resistive random access memory device | -- |
11011577 | One-time programmable memory using gate-all-around structures | -- |
11011578 | Resistive memory device | SAMSUNG ELECTRONICS CO., LTD. |
11011579 | Cross-point memory and methods for fabrication of same | MICRON TECHNOLOGY, INC. |
11011580 | Memory device | TOSHIBA MEMORY CORPORATION |
11011581 | Multi-level loop cut process for a three-dimensional memory device using pitch-doubled metal lines | WESTERN DIGITAL TECHNOLOGIES, INC. |
11011582 | Three-dimensional memory array | MICRON TECHNOLOGY, INC. |
11011583 | Image sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11011584 | Array substrate and fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011586 | Display substrate and a display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11011587 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11011588 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011589 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11011590 | Display device having a groove in a blocking region and an auxiliary pattern overlapping the groove | SAMSUNG DISPLAY CO., LTD. |
11011593 | Organic EL display device and manufacturing method for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11011594 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11011595 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11011597 | Display device having a compensation transistor with a second region having greater electrical resistance than a first region | SAMSUNG DISPLAY CO., LTD. |
11011598 | Spliced unit and spliced panel | -- |
11011599 | Stretchable display panel and stretchable display device including the same | LG DISPLAY CO., LTD. |
11011600 | Semiconductor structure having integrated inductor therein | -- |
11011601 | Narrow gap device with parallel releasing structure | -- |
11011602 | Circuits employing adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET source/drain parasitic capacitance, and related fabrication methods | QUALCOMM INCORPORATED |
11011603 | Preparation of nanocrystals with mixtures of organic ligands | LIFE TECHNOLOGIES CORPORATION |
11011604 | Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region | GLOBALFOUNDRIES U.S. INC. |
11011605 | Diamond semiconductor device | EVINCE TECHNOLOGY LTD. |
11011606 | Semiconductor component having a SiC semiconductor body and method for producing a semiconductor component | INFINEON TECHNOLOGIES AG |
11011607 | Method of manufacturing semiconductor device | TOYODA GOSEI CO., LTD. |
11011608 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11011609 | Method of manufacturing a semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11011610 | Plate design to decrease noise in semiconductor devices | -- |
11011611 | Semiconductor device with low resistivity contact structure | -- |
11011612 | Semiconductor device | ROHM CO., LTD. |
11011613 | Flexible substrate with high dielectric-constant film and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011614 | High electron mobility transistor (HEMT) device and method of forming same | -- |
11011615 | Transistor with contacted deep well region | SILANNA ASIA PTE LTD |
11011616 | Gate line plug structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11011617 | Formation of a partial air-gap spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011618 | Circuit devices with gate seals | -- |
11011619 | Method and related apparatus for reducing gate-induced drain leakage in semiconductor devices | -- |
11011620 | Techniques for increasing channel region tensile strain in n-MOS devices | INTEL CORPORATION |
11011621 | Vertical tunneling field-effect transistor cell and fabricating the same | -- |
11011622 | Closely packed vertical transistors with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011623 | Method for increasing germanium concentration of FIN and resulting semiconductor device | -- |
11011624 | Vertical transport field-effect transistor (VFET) with dual top spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011625 | Liner for a bi-layer gate helmet and the fabrication thereof | -- |
11011626 | Fin field-effect transistor with reduced parasitic capacitance and reduced variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011627 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11011628 | Method for making thin film transistor with nanowires as masks | TSINGHUA UNIVERSITY |
11011629 | Power semiconductor switch with improved controllability | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11011630 | Semiconductor wafer | SUMITOMO CHEMICAL COMPANY, LIMITED |
11011631 | Silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11011632 | High voltage devices and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11011633 | Method and apparatus for use in improving linearity of MOSFETs using an accumulated charge sink-harmonic wrinkle reduction | PSEMI CORPORATION |
11011634 | Elongated source/drain region structure in finFET device | -- |
11011635 | Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (FINFET) device | APPLIED MATERIALS, INC. |
11011636 | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same | -- |
11011637 | Semiconductor structure having buried gate, buried source and drain contacts, and strained silicon and method of manufacturing the same | -- |
11011638 | Transistor having airgap spacer around gate structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011640 | Fin field effect transistor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11011641 | Flat STI surface for gate oxide uniformity in Fin FET devices | -- |
11011642 | Ambipolar field-effect device using engineered work-functions | OHIO UNIVERSITY |
11011643 | Nanosheet FET including encapsulated all-around source/drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011644 | Thin film transistor, thin film transistor array, and method for detecting an object to be detected | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011645 | Thin film transistor and manufacturing method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011646 | TFT structure based on flexible multi-layer graphene quantum carbon substrate material and method for manufacturing same | GUANG DONG DONGBOND TECHNOLOGY CO., LTD. |
11011647 | Semiconductor devices comprising channel materials | MICRON TECHNOLOGY, INC. |
11011648 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011649 | Oxide semiconductor device and method of manufacturing the same | -- |
11011650 | Thin-film transistor having hydrogen-blocking layer and display apparatus including the same | LG DISPLAY CO., LTD. |
11011651 | Tight pitch stack nanowire isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011652 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011654 | Lens cap, photodiode with a lens cap, and method for producing same | SCHOTT AG |
11011655 | Three-dimensional conductive electrode for solar cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011656 | Photodiode device and photodiode detector | NUCTECH COMPANY LIMITED |
11011657 | Colored solar panels and structures comprising the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11011658 | Method and system for waveguide thermophotovoltaic power generation | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11011659 | Mobile body having reflection control layer | NISSAN MOTOR CO., LTD. |
11011660 | Inverted metamorphic multijunction solar cell | SOLAERO TECHNOLOGIES CORP. |
11011661 | High work function MoO2 back contacts for improved solar cell performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011662 | Field-effect photovoltaic elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011663 | Semiconductor element for oscillating or detecting terahertz wave and manufacturing method of semiconductor element | CANON KABUSHIKI KAISHA |
11011664 | Photodiode and photosensitive device | IBARAKI UNIVERSITY |
11011665 | Thin film transistor array substrate for high-resolution digital X-ray detector and high-resolution digital X-ray detector including the same | LG DISPLAY CO., LTD. |
11011666 | Optoelectronic semiconductor structure having a bipolar phototransistor structure and manufacturing method thereof | -- |
11011667 | Display panel with photo sensor and display device using the same | LG DISPLAY CO., LTD. |
11011668 | Semiconductor device, semiconductor system, and method of controlling the semiconductor device | RENESAS ELECTRONICS CORPORATION |
11011669 | Integrated active-matrix light emitting pixel arrays based devices | -- |
11011670 | Optical device layer transferring method | DISCO CORPORATION |
11011671 | Light emitting device | NICHIA CORPORATION |
11011672 | Quantum dots and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11011673 | Quantum dot device and display device | SAMSUNG ELECTRONICS CO., LTD. |
11011674 | Multi-layered tunnel junction structure, light emitting device having the same, and production method of such device | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011675 | Semiconductor device and semiconductor device package including same | LG INNOTEK CO., LTD. |
11011676 | PVD buffer layers for LED fabrication | APPLIED MATERIALS, INC. |
11011677 | Display device | -- |
11011678 | Group 13 element nitride layer, free-standing substrate and functional element | NGK INSULATORS, LTD. |
11011679 | Optoelectronic device and method for manufacturing the same | -- |
11011680 | Light-emitting device | -- |
11011681 | Light-emitting device and the method of manufacturing the same | -- |
11011682 | Composite board, light-emitting device, and manufacturing method of light-emitting device | NICHIA CORPORATION |
11011683 | Optoelectronic component and method for producing an optoelectronic component | OSRAM OLED GMBH |
11011684 | Light emitting device | NICHIA CORPORATION |
11011685 | Method of manufacturing light-emitting device | NICHIA CORPORATION |
11011686 | Semiconductor light emitting device | ROHM CO., LTD. |
11011687 | Micro light emitting diode with remnants of fabrication substrate for structural support | FACEBOOK TECHNOLOGIES, LLC |
11011688 | Light emitting element, light emitting device, and method of manufacturing light emitting element | NICHIA CORPORATION |
11011689 | Quantum dot LED package and quantum dot LED module including the same | LUMENS CO., LTD. |
11011690 | LED (light-emitting diode) module and a light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11011692 | Thermoelectric device utilizing non-zero berry curvature | OHIO STATE INNOVATION FOUNDATION |
11011693 | Integrated quantum circuit assemblies for cooling apparatus | INTEL CORPORATION |
11011694 | Vibrating device and tactile sense presenting device | MURATA MANUFACTURING CO., LTD. |
11011695 | Piezoelectric vibration device | KYOCERA CORPORATION |
11011696 | Piezoelectric power generator | WASHINGTON |
11011697 | Faceted sidewall magnetic tunnel junction structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011698 | Enhanced coercivity in MTJ devices by contact depth control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011699 | Semiconductor storage device | KIOXIA CORPORATION |
11011700 | Resistance-change type memory device | TOSHIBA MEMORY CORPORATION |
11011701 | Switching device formed from correlated electron material | CERFE LABS, INC. |
11011702 | Memory devices and methods for forming the same | -- |
11011703 | Semiconductor device and manufacturing method thereof | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11011704 | Forming RRAM cell structure with filament confinement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011705 | Pixel defining layer, display panel, fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011706 | Organic semiconductor element, organic semiconductor composition, organic semiconductor film, method of manufacturing organic semiconductor film, and polymer using the same | FUJIFILM CORPORATION |
11011707 | Organic EL display device | TORAY INDUSTRIES, INC. |
11011708 | Electron transport layer stack for an organic light-emitting diode | NOVALED GMBH |
11011709 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11011710 | Organometallic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11011711 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnosis composition incluidng the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
11011712 | Tetradentate cyclometalated platinum complexes containing 9,10-dihydroacridine and its analogues | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11011713 | Display module and electronic apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11011714 | Flexible organic electroluminescence device (OLED) display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011715 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11011716 | Photodetectors and photovoltaic devices | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11011717 | Photodetectors and photovoltaic devices | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11011718 | Solar cell and method for manufacturing solar cell | KYOCERA CORPORATION |
11011719 | Electroluminescence device, lighting panel, and vehicle lamp group | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011720 | Semiconductor nanocrystal particles, production methods thereof, and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11011721 | Electroluminescent display device | SAMSUNG ELECTRONICS CO., LTD. |
11011722 | Electroluminescent paint indicator for a fault or failure | ROCKWELL COLLINS, INC. |
11011723 | Organic electroluminescent device comprising a hole injection layer and electron injection layer with zero-valent metal | NOVALED GMBH |
11011724 | Display apparatus | LG DISPLAY CO., LTD. |
11011725 | Display panel and mask plate having a through hole penetrating cathode layer | -- |
11011726 | Bendable backplate structure and display device | -- |
11011727 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11011728 | Display device | SAMSUNG DISPLAY CO., LTD. |
11011729 | Display module and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011730 | Display device and organic luminescent display device | SAMSUNG DISPLAY CO., LTD. |
11011731 | Film for preventing humidity from percolation and method for manufacturing the same | JUSUNG ENGINEERING CO., LTD. |
11011732 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11011733 | Display panel and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11011734 | Manufacturing method for flexible display panel and flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
11011735 | Method and apparatus for producing flexible OLED device | SAKAI DISPLAY PRODUCTS CORPORATION |
11011739 | Electroactive polymer devices, systems, and methods | FACEBOOK TECHNOLOGIES, LLC |
11011912 | Photovoltaic systems | ZINNIATEK LIMITED |
11011913 | Multifunction power management system | FLEX POWER CONTROL, INC. |
11012005 | Hollow-cylindrical ultrasonic actuator and method of actuation | PHYSIK INSTRUMENT (PI) GMBH & CO. KG |
11012006 | Micro electromechanical system (MEMS) energy harvester with residual stress induced instability | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11012007 | Magnetostriction element and magnetostriction-type vibration powered generator using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11012025 | Interlocking BIPV roof tile with backer | TESLA, INC. |
11012026 | Photovoltaic module | CERTAINTEED LLC |
11012027 | Systems and methods for conversion of solar energy to electric power | NEX-GEN SOLAR TECHNOLOGIES, LLC |
11012051 | System and method for a radio frequency filter | INFINEON TECHNOLOGIES AG |
11012076 | Linear input and non-linear output majority logic gate with and/or function | KEPLER COMPUTING INC. |
11012115 | Controlling zones of electronic devices associated with power lines | CRIUS TECHNOLOGY GROUP, LLC |
11012787 | Piezoelectric element, piezoelectric microphone, piezoelectric resonator and method for manufacturing piezoelectric element | MURATA MANUFACTURING CO., LTD. |
11013084 | Self-identifying solid-state transducer modules and associated systems and methods | MICRON TECHNOLOGY, INC. |
11013109 | Display unit, electronic apparatus including the same, and method of manufacturing the electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11013111 | Electronic device | -- |
11013115 | Display panel motherboard and manufacturing method for display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11013123 | Molded circuit substrates | AMS SENSORS SINGAPORE PTE. LTD. |
11013130 | Display device | LG DISPLAY CO., LTD. |
11013154 | Display device | LG DISPLAY CO., LTD. |
11014118 | Float bath coating system | VITRO FLAT GLASS LLC |
11014256 | Semiconductor memory device and method for manufacturing same | KIOXIA CORPORATION |
11014693 | Extendible membrane systems, devices, and methods for space applications | ROCCOR, LLC |
11014828 | Inflatable non-imaging solar concentrator based concentrating hybrid solar thermal and photovoltaic system powered water desalination system | KLAMATH FALLS |
11015086 | Polishing slurry and polishing material | MITSUI MINING & SMELTING CO., LTD. |
11015098 | Polishing composition | FUJIMI INCORPORATED |
11015114 | Article comprising particles with quantum dots | 3M INNOVATIVE PROPERTIES COMPANY |
11015115 | Curable quantum dot compositions and articles | 3M INNOVATIVE PROPERTIES COMPANY |
11015116 | Coated narrow band green phosphor | INTEMATIX CORPORATION |
11015117 | Semiconductor nanoparticle-containing dispersion liquid and film | FUJIFILM CORPORATION |
11015118 | Phosphor ceramic | SEABOROUGH IP I B.V. |
11015119 | Ultraviolet light-emitting phosphor, light-emitting element, and light-emitting device | DYDEN CORPORATION |
11015166 | Frustules extracted from benthic pennate diatoms harvested from an industrial biofilm process | SWEDISH ALGAE FACTORY AB |
11015243 | Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11015766 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11015768 | Method of manufacturing light source device | NICHIA CORPORATION |
11015980 | Infrared radiation sensors and methods of manufacturing infrared radiation sensors | INFINEON TECHNOLOGIES AG |
11016055 | Sensors with a front-end-of-line solution-receiving cavity | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11016151 | Semiconductor device and method of adjusting the same | ABLIC INC. |
11016192 | Light ranging device with MEMS scanned emitter array and synchronized electronically scanned sensor array | OUSTER, INC. |
11016193 | Light ranging device having an electronically scanned emitter array | OUSTER, INC. |
11016202 | Imaging devices | VARIAN MEDICAL SYSTEMS, INC. |
11016223 | Hardcoat film and application thereof | FUJIFILM CORPORATION |
11016238 | Light source and multiview backlight using the same | LEIA INC. |
11016241 | Display device and display method | BOE TECHNOLOGY GROUP CO., LTD. |
11016261 | Actuator with shape-memory element | CARL ZEISS MICROSCOPY GMBH |
11016288 | Adaptable displays using piezoelectric actuators | INTEL CORPORATION |
11016325 | Display device | SAMSUNG DISPLAY CO., LTD. |
11016331 | Display panel and method for fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11016335 | Polarizing plate and flexible display device including the same | LG DISPLAY CO., LTD. |
11016340 | LED device, backlight module and display device | FOSHAN NATIONSTAR OPTOELECTRONICS CO., LTD |
11016341 | Directional illumination apparatus and privacy display | REALD SPARK, LLC |
11016349 | Display device | SAMMSUNG DISPLAY CO., LTD. |
11016398 | Integrated circuit overlay test patterns and method thereof | -- |
11016625 | Display panel and deformation sensing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11017143 | Method for modeling excess current in irradiated bipolar junction transistors | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11017199 | Display device with integrated sensor opening | SAMSUNG DISPLAY CO., LTD. |
11017310 | Techniques for coupling qubits to acoustic resonators and related systems and methods | YALE UNIVERSITY |
11017707 | Organic light emitting display panel | SAMSUNG DISPLAY CO., LTD. |
11017716 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11017717 | Organic light emitting display device and method for driving the same | LG DISPLAY CO., LTD. |
11017720 | Pixel and organic light emitting display device having the pixel | SAMSUNG DISPLAY CO., LTD. |
11017821 | Magnetic recording array and magnetic recording device | TDK CORPORATION |
11017826 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
11017827 | Magnetic device storing first and second values | KABUSHIKI KAISHA TOSHIBA |
11017852 | Method of forming memory device | -- |
11017854 | Storage device having a memory cell with a variable resistance element, in which voltage applied to a word line of the memory cell is controlled based on voltage of a bit line of the memory cell | TOSHIBA MEMORY CORPORATION |
11017871 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11017940 | Integrated circuit comprising a variable inductor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11017957 | Dye-sensitized solar cell | SHARP KABUSHIKI KAISHA |
11017958 | Monolithic flexible supercapacitors, methods of making and uses thereof | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11017983 | RF power amplifier | RENO TECHNOLOGIES, INC. |
11017989 | Collimator, fabrication apparatus including the same, and method of fabricating a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11017999 | Method and structure for forming bulk FinFET with uniform channel height | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018001 | Method of growing two-dimensional transition metal dichalcogenide thin film and method of manufacturing device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11018002 | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures | ASM IP HOLDING B.V. |
11018003 | Method of selective silicon germanium epitaxy at low temperatures | APPLIED MATERIALS, INC. |
11018007 | Self aligned pattern formation post spacer etchback in tight pitch configurations | TESSERA, INC. |
11018008 | Manufacturing method of a semiconductor device with efficient edge structure | STMICROELECTRONICS S.R.L. |
11018009 | Tuning work function of p-metal work function films through vapor deposition | APPLIED MATERIALS, INC. |
11018010 | Mask layout, semiconductor device and manufacturing method using the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11018011 | Methods of forming contact features in semiconductor devices | -- |
11018012 | Contact structures with deposited silicide layers | -- |
11018013 | Semiconductor device manufacturing method and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11018019 | Semiconductor structure and manufacturing method thereof | -- |
11018020 | Method of fabricating an integrated circuit device by using a block copolymer to form a self-assembly layer | SAMSUNG ELECTRONICS CO., LTD. |
11018022 | Method for forming semiconductor device structure having oxide layer | -- |
11018023 | Defect reduction of semiconductor layers and semiconductor devices by anneal and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018041 | Chip transferring method | -- |
11018042 | 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11018050 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11018051 | Power semiconductor device with reliably verifiable p-contact and method | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11018053 | Semiconductor structure with material modification and low resistance plug | -- |
11018059 | SiC substrate processing method | DISCO CORPORATION |
11018060 | Semiconductor device having deep trench structure and method of manufacturing thereof | KEY FOUNDRY CO., LTD. |
11018061 | Strain enhancement for FinFETs | -- |
11018062 | Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018072 | Semiconductor package having overlapping electrically conductive regions and method for producing the same | INFINEON TECHNOLOGIES AG |
11018074 | Energy supply | WITTENSTEIN SE |
11018085 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11018086 | Passive devices in package-on-package structures and methods for forming the same | -- |
11018089 | Display devices and methods for manufacturing the same | -- |
11018098 | Fabricated two-sided millimeter wave antenna using through-silicon-vias | MICRON TECHNOLOGY, INC. |
11018116 | Method to form a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018118 | Backlight device and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018126 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11018127 | Shielded gate trench MOSFET with ESD diode manufactured using two poly-silicon layers process | -- |
11018128 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11018129 | Circuit that changes voltage of back electrode of transistor based on error condition | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018131 | Semiconductor device and fabricating method thereof | -- |
11018132 | Method of fabricating semiconductor device | -- |
11018133 | 3D integrated circuit | MONOLITHIC 3D INC. |
11018134 | Semiconductor device and method for manufacturing the same | -- |
11018136 | Method of maintaining the state of semiconductor memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11018138 | Methods for forming dynamic random-access devices by implanting a drain through a spacer opening at the bottom of angled structures | APPLIED MATERIALS, INC. |
11018143 | Antifuse OTP structures with hybrid low-voltage devices | ZHUHAI CHUANGFEIXIN TECHNOLOGY CO., LTD. |
11018147 | Method of forming split gate memory cells with thinned tunnel oxide | SILICON STORAGE TECHNOLOGY, INC. |
11018148 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11018151 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
11018153 | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes | SANDISK TECHNOLOGIES LLC |
11018154 | Memory device and method for fabricating the same | -- |
11018155 | Vertical string of memory cells individually comprising a programmable charge storage transistor comprising a control gate and a charge storage structure and method of forming a vertical string of memory cells individually comprising a programmable charge storage transistor comprising a control gate and a charge storage structure | MICRON TECHNOLOGY, INC. |
11018156 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. |
11018158 | Display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11018161 | Display device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018164 | Thin-film transistor substrate, display panel, and display device | SHARP KABUSHIKI KAISHA |
11018166 | Display substrate and manufacturing method thereof, display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11018167 | Method and system for aging process on transistors in a display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11018169 | Metal-insulator-metal capacitor structure to increase capacitance density | -- |
11018171 | Transistor and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018180 | Packaging methods of semiconductor x-ray detectors | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11018182 | Pixel structure | -- |
11018183 | Source sensitive optic with reconfigurable chip-on-board light emitting diode array | LUMILEDS LLC |
11018184 | Magnetoresistive random access memory with particular shape of dielectric layer | -- |
11018185 | Layout pattern for magnetoresistive random access memory | -- |
11018186 | Multi-level memristor elements | CIRRUS LOGIC, INC. |
11018187 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11018188 | Three-dimensional stackable multi-layer cross-point memory with bipolar junction transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018189 | Storage apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018190 | Three-dimensional memory apparatuses and methods of use | MICRON TECHNOLOGY, INC. |
11018191 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018192 | Reduction of metal resistance in vertical ReRAM cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018194 | Display substrate and method of manufacturing the same, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11018195 | Full-color light emitting diode display having improved luminance and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11018196 | Display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11018197 | Display panel and fabrication method thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11018200 | Display device having a white emitting area | LG DISPLAY CO., LTD. |
11018201 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018202 | Display device | SAMSUNG DISPLAY CO., LTD. |
11018203 | Display panel including touch sensor, display panel comprising the same and method for detecting defect thereof | LG DISPLAY CO., LTD. |
11018205 | Organic light emitting display module and organic light emitting display device having the same | SAMSUNG DISPLAY CO., LTD. |
11018206 | Display panel and information processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018207 | Display device | BOE TECHNOLOGY GROUP CO., LTD. |
11018209 | Display substrate, display apparatus, and method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11018210 | Display device | SAMSUNG DISPLAY CO., LTD. |
11018211 | Array substrate and display pane, having subpixels including corresponding self-luminous units and photosensitive units | BOE TECHNOLOGY GROUP CO., LTD. |
11018212 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11018213 | Array substrate and method of fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018214 | Display device | SHARP KABUSHIKI KAISHA |
11018215 | Package and manufacturing method thereof | -- |
11018216 | High voltage capacitor and method | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018217 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11018218 | Narrow gap device with parallel releasing structure | -- |
11018219 | P-type MOSFET and method for manufacturing same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11018220 | Device isolation design rules for HAST improvement | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11018221 | Air gap regions of a semiconductor device | GLOBALFOUNDRIES U.S. INC. |
11018222 | Metallization in integrated circuit structures | INTEL CORPORATION |
11018223 | Methods for forming device isolation for semiconductor applications | APPLIED MATERIALS, INC. |
11018224 | Semiconductor device with epitaxial source/drain | -- |
11018225 | III-V extension by high temperature plasma doping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018226 | Semiconductor device and manufacturing method thereof | -- |
11018227 | Semiconductor storage device, method of controlling semiconductor storage device, computer program product, and method of fabricating semiconductor storage device | KABUSHIKI KAISHA TOSHIBA |
11018228 | Silicon carbide semiconductor device | -- |
11018229 | Methods of forming semiconductor structures | MICRON TECHNOLOGY, INC. |
11018230 | Semiconductor devices with a mixed crystal region | NXP B.V. |
11018231 | Method to make buried, highly conductive p-type III-nitride layers | YALE UNIVERSITY |
11018232 | Semiconductor device and fabrication method thereof | -- |
11018233 | Flash memory cell structure with step-shaped floating gate | -- |
11018234 | Semiconductor device and manufacturing method thereof | -- |
11018235 | Vertically stacked semiconductor devices having vertical channel transistors | IMEC VZW |
11018236 | Thin film transistor, array substrate, display panel and method for manufacturing thin film transistor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018237 | Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
11018238 | Structure, method for manufacturing same, semiconductor element, and electronic circuit | IDEMITSU KOSAN CO., LTD. |
11018239 | Semiconductor device and manufacturing method thereof | -- |
11018240 | Vertical field effect transistor with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018241 | Polysilicon design for replacement gate technology | -- |
11018242 | Gate spacer structure of FinFET device | -- |
11018243 | Semiconductor device and manufacturing method thereof | -- |
11018244 | Lateral trench transistor device | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11018245 | Epitaxial structures for fin-like field effect transistors | -- |
11018246 | Integrated circuit with a fin and gate structure and method making the same | -- |
11018247 | Semiconductor device with a base link region and method therefor | NXP USA, INC. |
11018248 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11018249 | Semiconductor component with edge termination region | INFINEON TECHNOLOGIES AG |
11018250 | Semiconductor device with multi-branch gate contact structure | INFINEON TECHNOLOGIES AG |
11018251 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11018252 | Power semiconductor transistor | INFINEON TECHNOLOGIES AG |
11018253 | Three dimensional vertically structured electronic devices | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11018254 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018255 | Devices and systems with string drivers including high band gap material and methods of formation | MICRON TECHNOLOGY, INC. |
11018256 | Selective internal gate structure for ferroelectric semiconductor devices | -- |
11018257 | Semiconductor device structure having a plurality of threshold voltages and method of forming the same | -- |
11018258 | Device of dielectric layer | -- |
11018259 | Semiconductor device comprising gate structure and doped gate spacer | -- |
11018260 | Non-volatile memory device with reduced area | -- |
11018261 | Fin field effect transistor | -- |
11018262 | Field-effect transistor, method for producing the same, display element, image display device, and system | RICOH COMPANY, LTD. |
11018263 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11018264 | Three-dimensional nanoribbon-based logic | INTEL CORPORATION |
11018265 | Transient-voltage-suppression diode structure and manufacturing method thereof | -- |
11018266 | Reduced surface field layer in varactor | -- |
11018267 | Solar cells for shingled solar cell module, shingled solar cell module, and method of making solar cells | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
11018268 | Solar cells for shingled solar cell module, shingled solar cell module, and method of making solar cells | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
11018269 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSOR SINGAPORE PTE. LTD. |
11018270 | Flux coating device and method for solar cell panel, and apparatus for attaching interconnector of solar cell panel | LG ELECTRONICS INC. |
11018271 | Graphic appearance for solar modules | KAMEREON, INC. |
11018272 | Methods for forming metal electrodes concurrently on silicon regions of opposite polarity | IMEC VZW |
11018273 | Photosensitive reflector, laser induced touch device and laser touch detection method | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11018274 | Solar cell panel | LG ELECTRONICS INC. |
11018275 | Method of creating CIGS photodiode for image sensor applications | APPLIED MATERIALS, INC. |
11018276 | Light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
11018277 | Semiconductor layer sequence and method for producing a semiconductor layer sequence | OSRAM OLED GMBH |
11018278 | Semiconductor body | OSRAM OLED GMBH |
11018279 | Light emitting element having excellent contact between semiconductor layer and electrode | LG INNOTEK CO., LTD. |
11018280 | Reduction of surface recombination losses in micro-LEDs | FACEBOOK TECHNOLOGIES, LLC |
11018281 | Optoelectronic semiconductor body and light emitting diode | OSRAM OLED GMBH |
11018282 | LED device | LEEDARSON LIGHTING CO. LTD. |
11018283 | Method of producing optoelectronic semiconductor components and an optoelectronic semiconductor component | OSRAM OLED GMBH |
11018284 | Light emitting element and electronic device | -- |
11018285 | Display device and manufacturing method thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11018286 | Method of manufacturing light emitting device and light emitting device | NICHIA CORPORATION |
11018287 | System and method of manufacture for LED packages | BRIDGELUX CHONGQING CO., LTD. |
11018288 | Metal-base substrate and semiconductor device | NICHSA CORPORATION |
11018289 | Thermoelectric generation system | KABUSHIKI KAISHA TOSHIBA |
11018290 | Enhanced superconducting transition temperature in electroplated rhenium | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
11018291 | Ultrasonic device and ultrasonic apparatus | SEIKO EPSON CORPORATION |
11018292 | Piezoelectric device, piezoelectric transformer, and method of manufacturing piezoelectric device | MURATA MANUFACTURING CO., LTD. |
11018293 | Magnetoresistance effect element | TDK CORPORATION |
11018294 | Method for regulating phase transformation of hydrogen-containing transition metal oxide | TSINGHUA UNIVERSITY |
11018295 | Non-volatile memory structure with positioned doping | HEFEI RELIANCE MEMORY LIMITED |
11018296 | Semiconductor devices using insulator-metal phase change materials and method for fabrication | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11018297 | Memory device structure | -- |
11018298 | Phase change memory structures | INTEL CORPORATION |
11018299 | Memory cell having resistance variable film and method of making the same | -- |
11018300 | Self-aligned memory decks in cross-point memory arrays | MICRON TECHNOLOGY, INC. |
11018301 | Contacting optoelectronic components | INURU GMBH |
11018302 | Unsymmetrical benzothiadiazole-based random copolymers | PHILLIPS 66 COMPANY |
11018303 | Charge-transporting varnish | NISSAN CHEMICAL INDUSTRIES, LTD. |
11018304 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11018306 | Compound for thermally activated delayed fluorescence and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11018308 | Photoelectric conversion film, photoelectric conversion element and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018309 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11018310 | Luminescent tetradentate gold(III) compounds for organic light-emitting devices and their preparation | THE UNIVERSITY OF HONG KONG |
11018311 | Device with quantum dot emissive layer and display device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11018312 | Organic light-emitting diode and display device comprising the same | LG DISPLAY CO., LTD. |
11018313 | Light-emitting element, light-emitting device, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018314 | Organic electroluminescent diode and manufacturing method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11018315 | Substrate and method of producing the same with display apparatus and producing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11018316 | Organic device and method of manufacturing the same | CANON KABUSHIKI KAISHA |
11018317 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11018318 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018319 | Electronic device with reduced non-device edge area | UNIVERSAL DISPLAY CORPORATION |
11018320 | Display device | JAPAN DISPLAY INC. |
11018321 | Sealing structure and light emitting device | PIONEER CORPORATION |
11018322 | Light emitting device using light emitting diode | LG DISPLAY CO., LTD. |
11018323 | Organic light-emitting diode display including a layer having an inclined portion | SAMSUNG DISPLAY CO., LTD. |
11018324 | Stretchable display device | LG DISPLAY CO., LTD. |
11018325 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11018326 | Polarizing plate with optical compensation layer and organic EL panel using same | NITTO DENKO CORPORATION |
11018327 | Mask module, method for manufacturing a film layer, organic electromagnetic light-emitting display panel and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11018328 | Method and apparatus for manufacturing display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11018471 | Method of producing light emitting device | NICHIA CORPORATION |
11018618 | Photovoltaic systems | ZINNIATEK LIMITED |
11018622 | Electrical coupling apparatus for use in a solar photovoltaic power system and methods of operating the same | ENTRUST SMART HOME MICROGRID LTD. |
11018624 | Connector for joining photovoltaic components | DOW GLOBAL TECHNOLOGIES LLC |
11018629 | Integrated multiple-path power amplifier | NXP USA, INC. |
11018631 | Switch circuits having integrated overdrive protection and related transmit/receive circuits and MMIC amplifiers | CREE, INC. |
11018651 | Bulk acoustic wave resonators having doped piezoelectric material and an adhesion and diffusion barrier layer | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11018652 | Tunable resonator element, filter circuit and method | INFINEON TECHNOLOGIES AG |
11018672 | Linear input and non-linear output majority logic gate | KEPLER COMPUTING INC. |
11019701 | LED display structures and fabrication of same | LUMIODE, INC. |
11019718 | Low parasitic inductance structure for power switched circuits | EFFICIENT POWER CONVERSION CORPORATION |
11019721 | Manufacturing method of flexible electronic device | -- |
11019726 | Light emitting device with extendable and flexible carrier | -- |
11019734 | Methods and systems for fabricating miniaturized nanotube sensors | TULA HEALTH, INC. |
11019743 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11019856 | Temperature controllable textile and wearable assembly thereof | -- |
11020973 | Piezoelectric device, liquid ejecting head, liquid ejecting apparatus, and manufacturing method of piezoelectric device | SEIKO EPSON CORPORATION |
11021162 | System for generating electricity in a vehicle | APTIV TECHNOLOGIES LIMITED |
11021363 | Integrating diverse sensors in a single semiconductor device | NXP USA, INC. |
11021364 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11021473 | Organic molecules for use in organic optoelectronic devices | CYNORA GMBH |
11021484 | Compound including nitrogen and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11021489 | Polycyclic aromatic compound embodiments and methods of making and using the same | UNIVERSITY OF OREGON |
11021568 | Polymer compound and light emitting device using the same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11021610 | Perylene bisimides with rigid 2,2′-biphenoxy bridges | BASF SE |
11021653 | Lighting apparatus | LEEDARSON LIGHTING CO. LTD. |
11021784 | Method of mask layout | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11021836 | Clothes-handling apparatus | LG ELECTRONICS INC. |
11022230 | Actuator for controlling the fluid paths of a filling unit for a beverage filling system, filling unit, and beverage filling system | KHS GMBH |
11022279 | Lighting system with lens assembly | ECOSENSE LIGHTING INC. |
11022280 | Linkage modularized LED display module | SHENZHEN CHIP OPTECH CO. LTD. |
11022486 | MoS<sub>2 </sub>based photosensor for detecting both light wavelength and intensity | NATIONAL UNIVERSITY OF SINGAPORE |
11022659 | Magnetic sensor and magnetic-field detection device including the same | TDK CORPORATION |
11022660 | Magnetic sensor including a magnetic member offset from a magnetoresistive effect element | TDK CORPORATION |
11022661 | Magnetoresistance element with increased operational range | ALLEGRO MICROSYSTEMS, LLC |
11022703 | Photoelectric converter, detector, and scanning apparatus | RAYCAN TECHNOLOGY CO., LTD. (SU ZHOU) |
11022764 | Optical connector, optical cable, and electronic device | SONY CORPORATION |
11022796 | Virtual reality display device | SEEYA OPTRONICS CO., LTD. |
11022828 | Display device and fabrication method thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11022851 | Display device | JAPAN DISPLAY INC. |
11023010 | Panel bottom sheet and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11023011 | Semiconductor device for attaching to a flexible display and a method of manufacturing the same | -- |
11023026 | Mobile information processing device, integrated circuit, and battery pack | SEIKO EPSON CORPORATION |
11023074 | Display device having touch sensor | LG DISPLAY CO., LTD. |
11023083 | Touch panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11023196 | Display system and methods | NANOLUMENS ACQUISTION, INC. |
11023641 | Isolated wells for resistor devices | -- |
11023821 | Embedding of a condensed matter system with an analog processor | D-WAVE SYSTEMS INC. |
11024208 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11024220 | Formation of a light-emitting diode display | INVENSAS CORPORATION |
11024221 | Pixel arrangement structure, metal mask, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11024225 | Display substrate, method for manufacturing the same, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11024227 | Pixel and organic light emitting display device having the pixel | SAMSUNG DISPLAY CO., LTD. |
11024237 | Pixel and organic light emitting display device including the same | SAMSUNG DISPLAY CO., LTD. |
11024344 | Landing pad in interconnect and memory stacks: structure and formation of the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024346 | Semiconductor circuit, driving method, and electronic device with less disturbance | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024357 | Nonvolatile memory cell and nonvolatile memory device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11024374 | Semiconductor memory device | KIOXIA CORPORATION |
11024381 | Resistive random access memory device | -- |
11024384 | Memory device using comb-like routing structure for reduced metal line loading | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024438 | Chalcogen-containing compound, its preparation method and thermoelectric element comprising the same | LG CHEM, LTD. |
11024447 | Two-dimensional materials integrated with multiferroic layers | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11024465 | Mixed three-dimensional and two-dimensional perovskites and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11024488 | Film-forming method, manufacturing method of electronic device, and plasma atomic layer deposition apparatus | THE JAPAN STEEL WORKS, LTD. |
11024502 | Semiconductor devices and methods for forming semiconductor devices | INFINEON TECHNOLOGIES AG |
11024504 | Semiconductor structure and manufacturing method thereof | -- |
11024505 | Gate structure passivating species drive-in method and structure formed thereby | -- |
11024506 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11024509 | Method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11024511 | Patterning method | -- |
11024516 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024529 | System and method for residual voltage control of electrostatic chucking assemblies | APPLIED MATERIALS, INC. |
11024540 | Fin field-effect transistor device and method of forming the same | -- |
11024545 | Semiconductor arrangement and method of manufacture | -- |
11024546 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024547 | Method and structure for forming vertical transistors with shared gates and separate gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024548 | Complementary MOS FETS vertically arranged and including multiple dielectric layers surrounding the MOS FETS | -- |
11024549 | Semiconductor device and manufacturing method thereof | -- |
11024550 | Semiconductor device and method | -- |
11024552 | Device arrangement structure assembly having adhesive tape layer | -- |
11024553 | Semiconductor structure and manufacturing method thereof | -- |
11024554 | Wiring substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11024567 | SMD diode taking a runner as body and manufacturing method thereof | SIYANG GRANDE ELECTRONICS CO., LTD. |
11024572 | Wiring board, electronic device, and electronic module | KYOCERA CORPORATION |
11024576 | Semiconductor package with underfill between a sensor coil and a semiconductor die | TEXAS INSTRUMENTS INCORPORATED |
11024579 | Dual power structure with connection pins | -- |
11024582 | Semiconductor device and manufacturing method thereof | -- |
11024584 | Electronic device | -- |
11024597 | Connecting conductive pads with post-transition metal and nanoporous metal | FACEBOOK TECHNOLOGIES, LLC |
11024606 | Semiconductor device and manufacturing method thereof | -- |
11024608 | Structures and methods for electrical connection of micro-devices and substrates | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11024609 | Four-in-one mini-LED module, display screen and manufacturing method | SHENZHEN ZHIXUNDA OPTOELECTRONICS CO., LTD. |
11024610 | Module for a video wall, and method of producing same | OSRAM OLED GMBH |
11024611 | Micro-LED array transfer method, manufacturing method and display device | GOERTEK INC. |
11024612 | Display device | LG ELECTRONICS INC. |
11024613 | Lumiphoric material region arrangements for light emitting diode packages | CREELED, INC. |
11024614 | Method for manufacturing micro LED panel and micro LED panel thereof | -- |
11024626 | Apparatus and circuits including transistors with different threshold voltages and methods of fabricating the same | -- |
11024627 | High-K metal gate transistor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11024628 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11024629 | Semiconductor device comprising gate structure sidewalls having different angles | MICRON TECHNOLOGY, INC. |
11024630 | Memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry | MICRON TECHNOLOGY, INC. |
11024631 | Integrated circuit device including field isolation layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11024636 | Vertical 3D stack NOR device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024637 | Embedded non-volatile memory | -- |
11024643 | Methods of forming integrated structures comprising vertical channel material and having conductively-doped semiconductor material directly against lower sidewalls of the channel material | MICRON TECHNOLOGY, INC. |
11024644 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11024649 | Integrated circuit with resurf region biasing under buried insulator layers | TEXAS INSTRUMENTS INCORPORATED |
11024650 | FinFET device and a method for fabricating the same | -- |
11024651 | Display device and electronic device with microlens array and light emitting element substrates bonded by adhesive layer | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11024652 | Flexible display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11024655 | Liquid crystal display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024656 | Active matrix substrate, optical shutter substrate, display device, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
11024658 | Image sensor including grooves | SONY CORPORATION |
11024667 | Light-emitting device | NICHIA CORPORATION |
11024669 | LIDAR system with fiber tip reimaging | AEVA, INC. |
11024670 | Forming an MRAM device over a transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024671 | Resistive random access memory device | -- |
11024672 | Structure of memory device and fabrication method thereof | -- |
11024673 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11024674 | Metal-insulator-semiconductor-insulator-metal (MISIM) device, method of operation, and memory device including the same | -- |
11024675 | Organic photoelectronic device and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11024676 | Organic light-emitting diode display panel and manufacturing method thereof, and display device | HEFEI XINSHENG OPTOELECTRONCS TECHNOLOGY CO., LTD. |
11024677 | Organic EL display apparatus and method of manufacturing organic EL display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11024678 | Organic electroluminescent display apparatus including continuous protection layer having different respective thicknesses formed among a plurality of sub-pixels | LG DISPLAY CO., LTD. |
11024679 | Color filter substrate having a filter layer disposed on quantum dot layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024680 | OLED display panel and fabrication method of the same | BOE TECHNOLOGY GROUP CO., LTD. |
11024681 | Parallax optics for top emitting electroluminescent displays | SHARP KABUSHIKI KAISHA |
11024683 | Display device including a sensing signal transmitter and a sensing signal receiver | SAMSUNG DISPLAY CO., LTD. |
11024684 | Display device | LG DISPLAY CO., LTD. |
11024685 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11024686 | OLED pixel structure and OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024687 | Array substrate with a pixel defining layer with groove between sub-pixel areas | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11024689 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11024690 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11024692 | Display panel and method for driving the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024693 | Flexible display and electronic device including same | SAMSUNG ELECTRONICS CO., LTD. |
11024694 | Display unit and electronic apparatus | SONY CORPORATION |
11024695 | Display panel and display apparatus including the same | SHANGHAI TIANMA AM-OLED CO., LTD. |
11024696 | Display apparatus having a reduced non-display area | SAMSUNG DISPLAY CO., LTD. |
11024697 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11024698 | Display device including driving circuit with first and second capacitors | SONY CORPORATION |
11024699 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11024700 | Display device | HUIZHOU CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024701 | Integrated electronic component suitable for broadband biasing | MURATA INTEGRATED PASSIVE SOLUTIONS |
11024702 | Stacked electronic structure | -- |
11024703 | Semiconductor device and a method for fabricating the same | -- |
11024704 | Manufacturing method of capacitor structure | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11024705 | Semi-insulating gallium arsenide crystal substrate | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11024706 | Semiconductor device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11024707 | Charge balance semiconductor device, in particular for high efficiency RF applications, and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
11024708 | Semiconductor device and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11024709 | Vertical fin field effect transistor with air gap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024710 | Vertically oriented planar structures of transition metal chalcogenides for advanced electronic and optoelectronic systems | THE PENN STATE RESEARCH FOUNDATION |
11024711 | Nanosheet FET bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024712 | Semiconductor devices and methods for forming semiconductor devices | INTEL IP CORPORATION |
11024713 | Gradient doping to lower leakage in low band gap material devices | INTEL CORPORATION |
11024714 | Nanowire transistor fabrication with hardmask layers | SONY CORPORATION |
11024715 | FinFET gate cut after dummy gate removal | TESSERA, INC. |
11024716 | Semiconductor structure and method for forming the same | -- |
11024717 | Semiconductor device and method of manufacturing semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11024718 | Semiconductor device and method of forming the same | -- |
11024719 | Semiconductor device and production method thereof | TOSHIBA MEMORY CORPORATION |
11024720 | Non-self aligned contact semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024721 | Semiconductor device and manufacturing method thereof | -- |
11024722 | Diffused field-effect transistor and method of fabricating same | NEXCHIP SEMICONDUCTOR CORPORATION |
11024723 | Semiconductor device | -- |
11024724 | Vertical FET with differential top spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024725 | Semiconductor device including metal oxide film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024726 | Skyrmion diode and method of manufacturing the same | UNIST (ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY) |
11024727 | Magnetoresistance effect element, magnetic sensor and spin transistor | TDK CORPORATION |
11024728 | Monolithic self-aligned heterojunction bipolar transistor (HBT) and complementary metal-oxide-semiconductor (CMOS) | QUALCOMM INCORPORATED |
11024729 | Method for manufacturing semiconductor device | -- |
11024730 | Nitride semiconductor device and manufacturing method for the same | FUJITSU LIMITED |
11024731 | Power module for supporting high current densities | CREE, INC. |
11024732 | Lateral MOSFET with dielectric isolation trench | -- |
11024733 | Laterally diffused MOSFET with low Rsp*Qg product | SILANNA ASIA PTE LTD |
11024734 | Three dimensional vertically structured electronic devices | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11024735 | Methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024736 | Transistor and methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024737 | Etching fin core to provide fin doubling | INTEL CORPORATION |
11024738 | Measurement of top contact resistance in vertical field-effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024739 | Fin field effect transistor including a single diffusion break with a multi-layer dummy gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024740 | Asymmetric channel threshold voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024741 | Integrated circuits and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11024742 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024744 | Semiconductor device and method for manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11024746 | Gate all-around device | APPLIED MATERRIALS, INC. |
11024747 | Light-emitting device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024748 | Nonvolatile memory device including two-dimensional material and apparatus including the nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11024749 | Dual channel transistor device and methods of forming the same | -- |
11024750 | Quantum capacitance graphene varactors and fabrication methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024751 | Photoelectric conversion element. photoelectric conversion module, and electronic device | SEIKO EPSON CORPORATION |
11024752 | Photoelectric conversion device and imaging system having stacked structure and avalanche amplification-type diode | CANON KABUSHIKI KAISHA |
11024753 | PERC solar cell capable of improving photoelectric conversion efficiency and preparation method thereof | GUANGDONG AIKO SOLAR ENERGY TECHNOLOGY CO., LTD. |
11024754 | Focused energy photovoltaic cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024755 | Method for producing a solar cell, solar cell produced by this method and substrate carrier | MEYER BURGER (GERMANY) GMBH |
11024756 | Apparatus for sensing electromagnetic radiation incident substantially perpendicular to the surface of a substrate | NOKIA TECHNOLOGIES OY |
11024757 | Semiconductor device and imaging apparatus | SONY CORPORATION |
11024758 | Layer structure for a thin-film solar cell and production method | ZENTRUM FUER SONNENENERGIE- UND WASSERSTOFF-FORSCHUNG BADEN-WUERTTEMBERG |
11024759 | Electronic device using two dimensional semiconductor material | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11024760 | Crystalline silicon solar cell and method for producing same | KANEKA CORPORATION |
11024761 | Mechanically stacked, lateral multi-junction photovoltaic cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024762 | Substrate processing system, substrate conveying device and conveying method | MILPITAS |
11024763 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024764 | Method for producing an output coupling element for an optoelectronic component and optoelectronic component | OSRAM OLED GMBH |
11024765 | Quantum dot light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11024767 | Nano-photonics reflector for LED emitters | LUMILEDS LLC |
11024768 | Semiconductor device | -- |
11024769 | Group III nitride semiconductor light-emitting element and method of manufacturing same | DOWA ELECTRONICS MATERIALS CO., LTD. |
11024770 | Light emitting element and light emitting device | NICHIA CORPORATION |
11024771 | Method for manufacturing light emitting device | NICHIA CORPORATION |
11024772 | Light emitting diode | KOREA POLYTECHNIC UNIVERSITY INDUSTRY ACADEMIC COOPERATION FOUNDATION |
11024773 | Micro-LED with vertical structure, display device, electronics apparatus and manufacturing method | GOERTEK. INC |
11024774 | Display device reflector having improved reflectivity | -- |
11024775 | LED emitters with integrated nano-photonic structures to enhance EQE | LUMILEDS LLC |
11024776 | Filling material, resin composition, package, and light-emitting device | NICHIA CORPORATION |
11024777 | Light source device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
11024778 | Large scale film containing quantum dots or dye, and production method therefor | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11024779 | LED device | LEEDARSON LIGHTING CO. LTD. |
11024780 | LED light tube | LEEDARSON LIGHTING CO. LTD. |
11024781 | Glueless light emitting device with phosphor converter | LUMILEDS LLC |
11024782 | Light-emitting device, manufacturing method thereof and display module using the same | -- |
11024783 | Light emitting device and display apparatus | SONY CORPORATION |
11024784 | Display apparatus and manufacturing method thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11024785 | Light-emitting diode packages | CREELED, INC. |
11024786 | Display apparatus and manufacturing method thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11024787 | Thermoelectric power generation device | DENSO CORPORATION |
11024788 | Flexible thermoelectric generator and method for fabricating the same | NANO AND ADVANCED MATERIALS INSTITUTE LIMITED |
11024789 | Flexible encapsulation of a flexible thin-film based thermoelectric device with sputter deposited layer of N-type and P-type thermoelectric legs | -- |
11024790 | Single-photon single-flux coupled detectors | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11024791 | Magnetically stabilized magnetic Josephson junction memory cell | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11024792 | Fabrication methods | MICROSOFT TECHNOLOGY LICENSING, LLC |
11024793 | High-resolution sensing of piezo-electric transducers | BOREAS TECHNOLOGIES INC. |
11024794 | Method for producing a plurality of piezoelectric multilayer components | TDK ELECTRONICS AG |
11024795 | Reducing parasitic capacities in a microelectronic device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11024796 | Method of manufacturing an ultrasonic probe | SAMSUNG MEDISON CO., LTD. |
11024797 | Under-cut via electrode for sub 60 nm etchless MRAM devices by decoupling the via etch process | -- |
11024798 | Protective passivation layer for magnetic tunnel junctions | -- |
11024799 | Methods of manufacturing a magnetic field sensor | EVERSPIN TECHNOLOGIES, INC. |
11024800 | Film scheme to improve peeling in chalcogenide based PCRAM | -- |
11024801 | Diffusion layer for magnetic tunnel junctions | -- |
11024802 | Method of fabricating resistive memory | -- |
11024803 | Methods for fabricating artificial neural networks (ANN) based on doped semiconductor resistive random access memory (RRAM) elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024804 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11024805 | Compound for organic electric element, organic electric element using same, and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11024806 | Aromatic amine derivative, and organic electroluminescent element comprising the same | IDEMITSU KOSAN CO., LTD. |
11024807 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11024808 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11024809 | Light-emitting element, light-emitting device, electronic device, lighting device, and organometallic complex | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024810 | Compound for organic electronic element, organic electronic element using same, and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11024811 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11024812 | Compound for organic-electric element, organic-electric element using same, and electronic device for same | DUK SAN NEOLUX CO., LTD. |
11024813 | Photoelectric conversion element, optical sensor, and imaging element | FUJIFILM CORPORATION |
11024814 | Multi-junction perovskite material devices | HUNT PEROVSKITE TECHNOLOGIES, L.L.C. |
11024815 | Metal complexes | MERCK PATENT GMBH |
11024816 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11024817 | Display panel and a manufacturing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024818 | Organic light-emitting device | CAMBRIDGE DISPLAY TECHNOLOGY LIMITED |
11024819 | QLED display panel and preparation method thereof and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11024820 | Photo-patterned emissive layer containing passivated quantum dots, arrangement of light-emitting devices including same, and method of making same | SHARP KABUSHIKI KAISHA |
11024821 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
11024822 | Organic electroluminescent element, lighting device, and display device | XIANYANG CHVT NEW DISPLAY TECHNOLOGY CO., LTD. |
11024823 | Light emitting element, method for manufacturing the same, and display device | SONY CORPORATION |
11024824 | Organic electroluminescent devices, displays and mobile communication apparatuses | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11024825 | Array substrate, method for manufacturing the same, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024826 | Display substrate | SAMSUNG DISPLAY CO., LTD. |
11024827 | Organic electronic device | LG CHEM, LTD. |
11024828 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11024829 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024830 | Display device | SAMSUNG DISPLAY CO., LTD. |
11024831 | Display apparatus and method for manufacturing display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11024937 | High power broadband terahertz emitter with enhanced stability and beam polarity | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11025055 | Inverter with at least two direct converters | SMA SOLAR TECHNOLOGY AG |
11025087 | Control circuit compatible with battery power supply and external power supply | ZTE CORPORATION |
11025092 | Wearable metabolic electrical charging apparatus | HUNA, LLC |
11025175 | Planarization layers for nanovoided polymers | FACEBOOK TECHNOLOGIES, LLC |
11025177 | Piezoelectric generator system and electrical system including such piezoelectric generator system | -- |
11025192 | Photovoltaic roofing elements and photovoltaic roofing systems | CERTAINTEED LLC |
11025205 | High frequency amplifier | MITSUBISHI ELECTRIC CORPORATION |
11025221 | Acoustic wave device, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11025225 | Resonance apparatus for processing electrical loss using conductive material and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11025249 | Clamp for a hybrid switch | POWER INTEGRATIONS, INC. |
11025251 | Button assemblies | SATECO AG |
11025254 | Linear input and non-linear output threshold logic gate | KEPLER COMPUTING INC. |
11025895 | Directional pixel array for multiple view display | -- |
11026057 | Multicast messaging within a wireless communication system | QUALCOMM INCORPORATED |
11026341 | Method of changing a switching module using pressure-applying device | LSIS CO., LTD. |
11026565 | Image sensor for endoscopic use | DEPUY SYNTHES PRODUCTS, INC. |
11027133 | Ultra-low power receiver module for wireless communication by an implantable medical device | CAIRDAC |
11027357 | Methods and apparatus to provide welding power | ILLINOIS TOOL WORKS INC. |
11027542 | Driving circuit, integrated circuit, and liquid discharge apparatus | SEIKO EPSON CORPORATION |
11028266 | Curable organopolysiloxane composition, encapsulant and semiconductor device | WACKER CHEMIE AG |
11028269 | Silicone-modified epoxy resin composition and semiconductor device | SHIN-ETSU CHEMICAL CO., LTD |
11028277 | Charge transport varnish | NISSAN CHEMICAL CORPORATION |
11028320 | Aluminate fluorescent material, light emitting device using the same, and method of producing aluminate fluorescent material | NICHIA CORPORATION |
11028659 | Systems and method utilizing piezoelectric materials to mitigate or eliminate stick-slip during drilling | UNIVERSITY OF HOUSTON SYSTEM |
11028970 | LED filament light bulb having organosilicon-modified polyimide resin composition filament base layer | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
11028979 | Lighting source using solid state emitter and phosphor materials | RENSSELAER POLYTECHNIC INSTITUTE |
11028988 | Light source device and lighting device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
11029203 | Gated superconducting photon detector | PSIQUANTUM CORP. |
11029205 | Integrated circuit comprising multiple channels with integrated bypass capacitors and photodiodes | INTEL CORPORATION |
11029213 | Epitaxial graphene quantum dots for high-performance terahertz bolometers | GEORGETOWN UNIVERSITY |
11029356 | Method of testing semiconductor device | FUJI ELECTRIC CO., LTD. |
11029421 | Fluorescent nitrogen-vacancy diamond sensing sheet, manufacturing method and uses thereof, sensor, and lithography apparatus | -- |
11029427 | Method and system for increasing radiation sensitivity in semiconductor detectors | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11029724 | Display device with pressure sensor | SAMSUNG DISPLAY CO., LTD. |
11030952 | Pixel and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11030953 | Pixel and organic light emitting display device having the same | SAMSUNG DISPLAY CO., LTD. |
11031058 | Spin-transfer torque magnetoresistive memory device with a free layer stack including multiple spacers and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031062 | Magnetic memory device and method for controlling a write pulse | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11031069 | Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same | OVONYX MEMORY TECHNOLOGY, LLC |
11031072 | Dynamic random access memory including threshold switch | INTEL CORPORATION |
11031073 | SRAM cells with vertical gate-all-round MOSFETs | -- |
11031078 | SEU stabilized memory cells | MICROSEMI SOC CORP. |
11031082 | Non-volatile memory with double capa implant | STMICROELECTRONICS (ROUSSET) SAS |
11031167 | Giant perpendicular magnetic anisotropy in Fe/GaN thin films for data storage and memory devices | UNIVERSITY OF NEW HAMPSHIRE |
11031185 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11031236 | Method for improving surface of semiconductor device | -- |
11031240 | Method for growing gallium nitride based on graphene and magnetron sputtered aluminum nitride | XIDIAN UNIVERSITY |
11031247 | Method and apparatus for depositing a monolayer on a three dimensional structure | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11031248 | Alternating hardmasks for tight-pitch line formation | TESSERA, INC. |
11031249 | Electronic device with a gate insulating film and a cap layer of silicon nitride having crystallinity | SUMITOMO CHEMICAL COMPANY, LIMITED |
11031250 | Semiconductor structures of more uniform thickness | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031251 | Self-aligned planarization of low-k dielectrics and method for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11031252 | Heat shield for chamber door and devices manufactured using same | -- |
11031256 | Semiconductor device with tiered pillar and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031263 | Laser stripping mass-transfer device and method for microdevices based on winding process | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11031268 | Device for in situ thermal control and transfer of a monolayer or thin film | PURDUE RESEARCH FOUNDATION |
11031275 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11031279 | Semiconductor device with reduced trench loading effect | -- |
11031280 | Isolation regions including two layers and method forming same | -- |
11031283 | Trench isolation interfaces | MICRON TECHNOLOGY, INC. |
11031286 | Conductive feature formation and structure | -- |
11031288 | Passive components in vias in a stacked integrated circuit package | INTEL CORPORATION |
11031290 | Semiconductor structure with cutting depth control and method for fabricating the same | -- |
11031291 | Semiconductor structure and method of forming the same | -- |
11031292 | Multi-gate device and related methods | -- |
11031293 | Method for fabricating a semiconductor device | -- |
11031294 | Semiconductor device and a method for fabricating the same | -- |
11031295 | Gate cap last for self-aligned contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031296 | 3D vertical FET with top and bottom gate contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031297 | Multiple gate length vertical field-effect-transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031298 | Semiconductor device and method | -- |
11031299 | FinFET device with different liners for PFET and NFET and method of fabricating thereof | -- |
11031300 | Semiconductor structure and method for manufacturing the same | -- |
11031301 | Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031302 | High-k metal gate and method for fabricating the same | -- |
11031303 | Deep trench isolation structure and method of making the same | -- |
11031304 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11031305 | Laterally adjacent and diverse group III-N transistors | INTEL CORPORATION |
11031315 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11031320 | Structures and methods for reducing process charging damages | -- |
11031327 | Through vias and methods of formation thereof | INFINEON TECHNOLOGIES AG |
11031330 | Electroconductive substrate, electronic device and display device | TDK CORPORATION |
11031331 | Phase-change material (PCM) radio frequency (RF) switches with trench metal plugs for RF terminals | NEWPORT FAB, LLC |
11031332 | Package panel processing with integrated ceramic isolation | TEXAS INSTRUMENTS INCORPORATED |
11031334 | Semiconductor device including a conductive feature over an active region | -- |
11031340 | Semiconductor device including a multilayer etch stop layer | SAMSUNG ELECTRONICS CO., LTD. |
11031349 | Method of forming a semiconductor device and current sensing circuit therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11031357 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031365 | Semiconductor device having a solder blocking metal layer | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11031376 | Chip package and method of forming the same | -- |
11031380 | Manufacturing method of micro LED display module | SYNDIANT INC. |
11031382 | Passive element, electronic device and method for manufacturing the same | -- |
11031383 | Semiconductor device | -- |
11031386 | Semiconductor device | ROHM CO., LTD. |
11031387 | PN diodes and connected group III-N devices and their methods of fabrication | INTEL CORPORATION |
11031389 | Semiconductor structures over active region and methods of forming the structures | GLOBALFOUNDRIES U.S. INC. |
11031390 | Bidirectional switch having back to back field effect transistors | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
11031391 | Method for manufacturing a FinFET device | -- |
11031392 | Integrated circuit device having a work function control layer with a step portion located on an element isolation layer | SAMSUNG ELECTRONICS CO., LTD. |
11031393 | III-V fins by aspect ratio trapping and self-aligned etch to remove rough epitaxy surface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031395 | Method of forming high performance MOSFETs having varying channel structures | -- |
11031397 | Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells | -- |
11031398 | Structure and method for semiconductor device | -- |
11031399 | Semiconductor device and manufacturing method of the same | SONY CORPORATION |
11031400 | Integrated memory comprising secondary access devices between digit lines and primary access devices | MICRON TECHNOLOGY, INC. |
11031401 | Memory device comprising electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11031403 | Semiconductor device and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031404 | Dynamic memory structure with a shared counter electrode | -- |
11031408 | Semiconductor device and method of producing semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11031409 | Cell boundary structure for embedded memory | -- |
11031412 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11031418 | Integrated circuit structure and method with hybrid orientation for FinFET | -- |
11031424 | Image sensor with selective light-shielding for reference pixels | SAMSUNG ELECTRONICS CO., LTD. |
11031425 | Image sensor and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031435 | Memory device containing ovonic threshold switch material thermal isolation and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031437 | Display substrate and manufacturing method thereof, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031438 | Pixel for an organic light-emitting diode microscreen | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11031439 | Light-emitting device and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031440 | Light conversion substrate, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11031441 | Electro-optical device, manufacturing method of electro-optical device, and electronic apparatus | SEIKO EPSON CORPORATION |
11031442 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11031443 | Organic light-emitting diode (OLED) display device including sensor disposed in groove of base substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031444 | Display panel comprising a container portion extends along a length of a row of subpixel regions having grooves connect to subpixel regions of a same color and a method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11031445 | Array substrate and display device with backside camera | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031446 | Display device | SAMSUNG DISPLAY CO., LTD. |
11031447 | Flexible display | LG DISPLAY CO., LTD. |
11031448 | Organic light emitting diode (OLED) display panel and the manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031451 | Organic EL display device | JAPAN DISPLAY INC. |
11031452 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11031453 | Flexible display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11031455 | OLED tiled display and a related tiling method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031456 | Rolled-up electromagnetic component for on-chip applications and method of making a rolled-up electromagnetic component | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11031457 | Low resistance high capacitance density MIM capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031458 | Metal-insulator-metal (MIM) capacitor structure and method for forming the same | -- |
11031459 | Semiconductor device including a capacitor and method of manufacturing semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11031460 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031461 | Manufacture of robust, high-performance devices | GENESIC SEMICONDUCTOR INC. |
11031462 | Semiconductor structure with improved guard ring structure | -- |
11031463 | Silicon carbide semiconductor device | INFINEON TECHNOLOGIES AG |
11031464 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11031465 | Semiconductor device incorporating epitaxial layer field stop zone | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11031466 | Method of forming oxygen inserted Si-layers in power semiconductor devices | INFINEON TECHNOLOGIES AUSTRIA AG |
11031467 | Field effect transistor based on vertically integrated gate-all-round multiple nanowire channels | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11031468 | Germanium nitride layers on semiconductor structures, and methods for forming the same | -- |
11031469 | Semiconductor device, manufacturing method thereof, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11031470 | Semiconductor device and manufacturing method thereof | -- |
11031471 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11031472 | Systems and methods for integrated diode field-effect transistor semiconductor devices | GENERAL ELECTRIC COMPANY |
11031473 | Silicon carbide superjunction power semiconductor device and method for manufacturing the same | ABB POWER GRIDS SWITZERLAND AG |
11031474 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11031475 | Self-standing GaN substrate, GaN crystal, method for producing GaN single crystal, and method for producing semiconductor device | MITSUBISHI CHEMICAL CORPORATION |
11031476 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11031477 | Method for fabricating semiconductor structure | -- |
11031478 | Semiconductor device having body contacts with dielectric spacers and corresponding methods of manufacture | INFINEON TECHNOLOGIES AUSTRIA AG |
11031479 | Semiconductor device with different gate trenches | INFINEON TECHNOLOGIES AUSTRIA AG |
11031480 | Semiconductor device, comprising an insulated gate field effect transistor connected in series with a field effect transistor | K. EKLUND INNOVATION |
11031481 | Semiconductor device and method of manufacturing the same | -- |
11031482 | Gate electrode having a capping layer | INTEL CORPORATION |
11031483 | Forming semiconductor devices in silicon carbide | INFINEON TECHNOLOGIES AG |
11031484 | Silicided gate structures | GLOBALFOUNDRIES U.S. INC. |
11031485 | Transistor with airgap spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031486 | Semiconductor device and methods of manufacture | -- |
11031487 | Contact over active gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11031488 | Semiconductor device structure with barrier layer and method for forming the same | -- |
11031489 | Semiconductor device | -- |
11031490 | Fabrication of field effect transistors with ferroelectric materials | -- |
11031491 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031492 | Semiconductor structure comprising III-N material | EXAGAN |
11031493 | Doping and trap profile engineering in GaN buffer to maximize AlGaN/GaN HEMT EPI stack breakdown voltage | -- |
11031494 | Silicon carbide semiconductor device having a gate electrode formed in a trench structure | INFINEON TECHNOLOGIES AG |
11031495 | Apparatus and method for power MOS transistor | -- |
11031496 | MOSFET and manufacturing method thereof | -- |
11031497 | Semiconductor device and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11031498 | Semiconductor structure with improved source drain epitaxy | -- |
11031499 | Germanium transistor structure with underlap tip to reduce gate induced barrier lowering/short channel effect while minimizing impact on drive current | INTEL CORPORATION |
11031500 | Gate resistance improvement and method thereof | -- |
11031501 | Isolation structure having different distances to adjacent FinFET devices | -- |
11031502 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11031503 | Non-planar gate thin film transistor | INTEL CORPORATION |
11031504 | Gate all around vacuum channel transistor | STMICROELECTRONICS, INC. |
11031505 | Transistor and its manufacturing process | X-FAB FRANCE |
11031506 | Semiconductor device including transistor using oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031507 | Semiconductor device and method of manufacture | COMPTEK SOLUTIONS OY |
11031508 | Semiconductor device with treated interfacial layer on silicon germanium | -- |
11031509 | Memory device and manufacturing method thereof | -- |
11031510 | Impact ionization semiconductor device and manufacturing method thereof | -- |
11031511 | Control circuit and method of operating a control circuit | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11031512 | Solar cell, multijunction solar cell, solar cell module, and solar power generation system | KABUSHIKI KAISHA TOSHIBA |
11031513 | Integrated silicon carbide ultraviolet sensors and methods | -- |
11031514 | Solar cell with selectively doped conductive oxide layer and method of making the same | VITRO, S.A.B. DE C.V. |
11031515 | Separation region between diffusion regions in a continuous layer of a solar cell | SUNPOWER CORPORATION |
11031516 | Photoelectric conversion element, photoelectric conversion module, and solar photovoltaic power generation system | SHARP KABUSHIKI KAISHA |
11031517 | Method of manufacturing light transmission type compound thin film, compound thin film manufactured therefrom, and solar cell including the same | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11031518 | Photovoltaic module comprising a concentration optic with subwavelength patterns and solar generator for satellite comprising said module | THALES |
11031519 | Light receiving unit | AZUR SPACE SOLAR POWER GMBH |
11031520 | Advanced hydrogen passivation that mitigates hydrogen-induced recombination (HIR) and surface passivation deterioration in PV devices | NEWSOUTH INNOVATIONS PTY LIMITED |
11031521 | Flexible transparent thin film | NEW ASIA GROUP HOLDINGS LIMITED |
11031522 | Optical semiconductor element comprising n-type algan graded layer | STANLEY ELECTRIC CO., LTD. |
11031523 | Manufacturing method of micro LED display module | SYNDIANT INC. |
11031524 | Optoelectronic component having a layer with lateral offset inclined side surfaces | OSRAM OLED GMBH |
11031525 | Micro light emitting diode chip and display panel having a backplane and a plurality of sub-pixels regions | -- |
11031526 | Seminconductor chip having internal terrace-like steps and method for producing a semiconductor chip | OSRAM OLED GMBH |
11031527 | Reflective layers for light-emitting diodes | CREELED, INC. |
11031528 | Display apparatus and manufacturing method thereof | -- |
11031529 | Wavelength converting material for a light emitting device | LUMILEDS LLC |
11031530 | Light emitting device with nanostructured phosphor | LUMILEDS LLC |
11031531 | Optoelectronic component and method of producing an optoelectronic component | OSRAM OLED GMBH |
11031532 | Light emitting device | NICHIA CORPORATION |
11031533 | Light-emitting device, light-emitting module, and backlight module | -- |
11031534 | Radiation-emitting semiconductor chip | OSRAM OLED GMBH |
11031535 | Thermoelectric power generation system | YANMAR POWER TECHNOLOGY CO., LTD. |
11031536 | Vehicle battery thermoelectric device with integrated cold plate assembly and method of assembling same | GENTHERM INCORPORATED |
11031537 | Systems, methods and apparatus for active compensation of quantum processor elements | D-WAVE SYSTEMS INC. |
11031538 | Liquid ejection apparatus | BROTHER KOGYO KABUSHIKI KAISHA |
11031539 | Piezoelectric vibrator and sensor | PIEZO STUDIO INC. |
11031540 | Substituted aluminum nitride for improved acoustic wave filters | SKYWORKS SOLUTIONS, INC. |
11031541 | Spin-orbit torque type magnetization rotating element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11031542 | Contact via with pillar of alternating layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031543 | Via landing enhancement for memory device | -- |
11031544 | Memory device with superparamagnetic layer | -- |
11031545 | High stability free layer for perpendicular spin torque transfer memory | INTEL CORPORATION |
11031546 | Method of integration of a magnetoresistive structure | EVERSPIN TECHNOLOGIES, INC. |
11031547 | Reduction of capping layer resistance area product for magnetic device applications | -- |
11031548 | Reduce intermixing on MTJ sidewall by oxidation | HEADWAY TECHNOLOGIES, INC. |
11031549 | Magnetoresistive random access memory (MRAM) device | SAMSUNG ELECTRONICS CO., LTD. |
11031550 | Phase-change memory cell having a compact structure | STMICROELECTRONICS (CROLLES 2) SAS |
11031551 | Light-activated switching resistor, an optical sensor incorporating a light-activated switching resistor, and methods of using such devices | UCL BUSINESS LTD |
11031552 | PCM RF switch with PCM contacts having slot lower portions | NEWPORT FAB, LLC |
11031553 | Method, system, and device for phase change memory switch wall cell with approximately horizontal electrode contact cross references | OVONYX MEMORY TECHNOLOGY, LLC |
11031554 | Method for manufacturing a pass-through device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11031555 | Power handling improvements for phase-change material (PCM) radio frequency (RF) switch circuits | NEWPORT FAB, LLC |
11031556 | Systems and methods for phase change material based thermal assessment | -- |
11031557 | Conjugated polymers based on terthiophene and their applications | -- |
11031558 | P-type semiconductor film containing heterofullerene, and electronic device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11031559 | Phenoxasiline based compounds for electronic application | UDC IRELAND LIMITED |
11031560 | Compound and organic electronic element comprising same | LG CHEM, LTD. |
11031561 | Organic electron transport material and organic electroluminescent element using same | DYDEN CORPORATION |
11031562 | Metal complexes | MERCK PATENT GMBH |
11031563 | Flexible organic light-emitting diode display panel and flexible organic light-emitting diode display device | -- |
11031564 | Display device | JAPAN DISPLAY INC. |
11031565 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11031566 | Method for manufacturing laminate for organic-inorganic hybrid solar cell and method for manufacturing organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11031567 | Efficient solar cells using all-organic nanocrystalline networks | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11031568 | Photoelectric conversion element including first electrode, second electrodes, photoelectric conversion film, and conductive layer and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11031569 | Excited state management | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11031570 | Organic EL element, method of manufacturing organic EL element, organic EL panel, organic EL display device and electronic apparatus | JOLED INC. |
11031571 | Display device and method for preparing the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031572 | Display device | LG DISPLAY CO., LTD. |
11031573 | Encapsulation layer of flexible display panel and flexible display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11031574 | Bendable electronic device modules, articles and methods of making the same | CORNING INCORPORATED |
11031576 | OLED display panel and display | BOE TECHNOLOGY GROUP CO., LTD. |
11031577 | Multimodal microcavity OLED with multiple blue emitting layers | OLEDWORKS LLC |
11031578 | Display substrate and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11031579 | Method of manufacturing an OLED device | LUMILEDS LLC |
11031774 | Superconducting fault current limiter having improved energy handling | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11031778 | High-voltage tolerant bi-directional electrostatic discharge protection circuit | SILICON LABORATORIES INC. |
11031833 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY CORPORATION |
11031846 | Co-packaged electric motor and motor drive | ABB SCHWEIZ AG |
11031902 | Integrated photovoltaic module mounting system for use with tufted geosynthetics | WATERSHED SOLAR LLC |
11031904 | Grounding clamps | A.K. STAMPING COMPANY, INC. |
11031905 | Intelligent safety disconnect switching | SOLANTRO SEMICONDUCTOR CORP. |
11031914 | Diode linearizer | MITSUBISHI ELECTRIC CORPORATION |
11032011 | Systems and methods for a quantum-analogue computer | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11032321 | Secure performance monitoring of remote application servers | RED HAT, INC. |
11032370 | Wireless communications in a vehicular macro cloud | -- |
11032472 | Image-capturing device and image-capturing method | -- |
11032509 | Display apparatus with a display area and a non-display area and including a sound generator | LG DISPLAY CO., LTD. |
11032889 | Electroluminescent light source intended to be supplied with power by a voltage source | VALEO VISION |
11032918 | Display device, and method and apparatus for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11032924 | Display device, and method and apparatus for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11033058 | Heating and cooling technologies | GENTHERM INCORPORATED |
11033193 | Light emitting device, biological information measuring apparatus, and method of manufacturing light emitting device | SEIKO EPSON CORPORATION |
11033750 | Intra-oral appliance with thermoelectric power source | ACHAEMENID, LLC |
11033924 | Organic vapor jet print head with orthogonal delivery and exhaust channels | UNIVERSAL DISPLAY CORPORATION |
11034056 | Silicon carbide wafers with relaxed positive bow and related methods | CREE, INC. |
11034058 | Method for manufacturing silicon carbide substrate, method for manufacturing silicon carbide epitaxial substrate, and method for manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11034176 | Gas enclosure assembly and system | KATEEVA, INC. |
11034590 | BaSnO<sub>3 </sub>thin flim and low-temperature preparation method therefor | KOREA RESEARCH INSTITUTE OF CHEMICAL TECHNOLOGY |
11034609 | Wavelength conversion member, and light emitting device using same | NIPPON ELECTRIC GLASS CO., LTD. |
11034776 | Regioselectively substituted cellulose esters and films made therefrom | EASTMAN CHEMICAL COMPANY |
11034843 | Flexible nanoparticle optical coating compositions | 3M INNOVATIVE PROPERTIES COMPANY |
11034845 | Nanocomposite formulations for optical applications | PIXELLIGENT TECHNOLOGIES, LLC |
11034865 | Nanoparticle filled barrier adhesive compositions | 3M INNOVATIVE PROPERTIES COMPANY |
11034884 | Quantum dot-polymer composite film, method of manufacturing the same, and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11034885 | Light emitting material, manufacture method thereof and organic light emitting diode using the light emitting material | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11034887 | Luminescent material | TURUN YLIOPISTO |
11034888 | Aluminate fluorescent material, light emitting device, and method for producing aluminate fluorescent material | NICHIA CORPORATION |
11035033 | Direct-deposition system including standoffs for controlling substrate-mask separation | EMAGIN CORPORATION |
11035034 | Film formation method, vacuum processing apparatus, method of manufacturing semiconductor light emitting element, semiconductor light emitting element, method of manufacturing semiconductor electronic element, semiconductor electronic element, and illuminating apparatus | CANON ANELVA CORPORATION |
11035044 | Etching solution for tungsten and GST films | VERSUM MATERIALS US, LLC |
11035055 | Group 13 nitride layer, composite substrate, and functional element | NGK INSULATORS, LTD. |
11035425 | Brake pad with thermoelectric energy harvester | ITT ITALIA S.R.L. |
11035563 | Light source device | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11035709 | CMOS thermal fluid flow sensing device employing a flow sensor and a pressure sensor on a single membrane | CAMBRIDGE ENTERPRISE LIMITED |
11035723 | Optical sensor package assembly, manufacturing method thereof and electronic devices | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11035725 | Sensing device comprising electrical components of high voltage domain and low voltage domain to perform quenching of a single photon avalanche diode | TECHNION RESEARCH & DEVELOPMENT FOUNDATION LIMITED |
11035734 | Pyroelectric detection device with stressed suspended membrane | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11035777 | Light sensing device and particle sensing device | LG INNOTEK CO., LTD. |
11035785 | Hybrid field effect transistor and surface enhanced infrared absorption based biosensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11035908 | Display device including flexible printed circuit board and for detecting separation of the flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11035914 | Magnetic sensor | TDK CORPORATION |
11035990 | Blocking element of short wavelengths in LED-type light sources | UNIVERSIDAD COMPLUTENSE DE MADRID |
11035999 | Backlight unit, display device and manufacturing method of display device | SAMSUNG DISPLAY CO., LTD. |
11036070 | Curved display device and manufacturing method therefor | -- |
11036076 | Display device having eye protection | -- |
11036083 | Light emitting module | NICHIA CORPORATION |
11036100 | Display device | JAPAN DISPLAY INC. |
11036114 | Thin LED flash for camera | LUMILEDS LLC |
11036137 | Method for forming semiconductor structure | -- |
11036290 | Pupil steering: flexure guidance systems | FACEBOOK TECHNOLOGIES, LLC |
11036320 | Organic light emitting diode folding display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11036324 | Semiconductor device and touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11036325 | Touch display device | LG DISPLAY CO., LTD. |
11036958 | Light emitting display panel and manufacture method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11036959 | Display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11037415 | Tactile presentation device | TOYODA GOSEI CO., LTD. |
11037478 | Stretchable display panel and stretchable display device including the same | LG DISPLAY CO., LTD. |
11037611 | Magnetic property measuring systems, methods for measuring magnetic properties, and methods for manufacturing magnetic memory devices using the same | SAMSUNG ELECTRONICS CO., LTD. |
11037614 | Imprint-free write driver for ferroelectric memory | INTEL CORPORATION |
11037622 | Semiconductor device and dynamic logic circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11037696 | Transparent electrodes and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037728 | Dielectric and capacitor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11037781 | Device and method for high pressure anneal | -- |
11037783 | Field effect transistor using transition metal dichalcogenide and a method for forming the same | -- |
11037786 | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037787 | Method of semiconductor device fabrication | -- |
11037788 | Integration of device regions | APPLIED MATERIALS, INC. |
11037818 | Semiconductor structure having epitaxial structure and method for forming the same | -- |
11037824 | Semiconductor device and method for manufacturing the same | -- |
11037826 | Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same | -- |
11037827 | Asymmetric source/drain epitaxy | -- |
11037828 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11037829 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11037830 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11037831 | Gate structure and method | -- |
11037833 | Fabrication method of semiconductor device with spacer trimming process | -- |
11037834 | Simple contact over gate on active area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037835 | Isolation manufacturing method for semiconductor structures | -- |
11037836 | Semiconductor device and transistor thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037837 | Epitaxial source/drain and methods of forming same | -- |
11037838 | In-situ integrated chambers | APPLIED MATERIALS, INC. |
11037841 | Light emitting diode (LED) test apparatus and method of manufacture | APPLE INC. |
11037851 | Nitrogen-rich silicon nitride films for thin film transistors | APPLIED MATERIALS, INC. |
11037871 | Gate drive interposer with integrated passives for wide band gap semiconductor devices | KEMET ELECTRONICS CORPORATION |
11037873 | Hermetic barrier for semiconductor device | MARVELL GOVERNMENT SOLUTIONS, LLC. |
11037882 | Overlay mark | -- |
11037885 | Semiconductor packaging device comprising a shield structure | -- |
11037888 | Nitride-based electronic device and method for manufacturing same | WAVICE INC. |
11037890 | Semiconductor assembly with package on package structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037898 | Semiconductor device package and method for manufacturing the same | -- |
11037911 | Light emitting device | NICHIA CORPORATION |
11037912 | LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11037914 | Light emitting display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11037915 | Integrated display devices | FACEBOOK TECHNOLOGIES, LLC |
11037917 | Semiconductor device module and method of assembly | LITTELFUSE, INC. |
11037918 | Back-to-back solid state lighting devices and associated methods | MICRON TECHNOLOGY, INC. |
11037924 | Method for forming source/drain contacts | -- |
11037925 | Structure and method of integrated circuit having decouple capacitance | -- |
11037926 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037927 | Circuit device and electronic apparatus | SEIKO EPSON CORPORATION |
11037928 | Methods and apparatuses including an active area of a tap intersected by a boundary of a well | MICRON TECHNOLOGY, INC. |
11037929 | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making | ZENO SEMICONDUCTOR INC. |
11037931 | Method for fabricating semiconductor device | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11037932 | Semiconductor arrangement having capacitor separated from active region | -- |
11037935 | Semiconductor device including trimmed-gates | -- |
11037936 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037938 | Memory cell | STMICROELECTRONICS S.A. |
11037940 | Integrated circuit constructions comprising memory and methods used in the formation of integrated circuitry comprising memory | MICRON TECHNOLOGY, INC. |
11037941 | Method for forming an integrated circuit and an integrated circuit | -- |
11037942 | Memory cell and an array of memory cells | MICRON TECHNOLOGY, INC. |
11037943 | Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same | SANDISK TECHNOLOGIES LLC |
11037947 | Array of pillars located in a uniform pattern | -- |
11037949 | Embedded memory using SOI structures and methods | -- |
11037951 | Void formation in charge trap structures | MICRON TECHNOLOGY, INC. |
11037952 | Peripheral circuitry under array memory device and method of fabricating thereof | -- |
11037955 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11037958 | Array substrate and manufacturing method thereof | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11037963 | Thin film transistor and method for manufacturing the same, and display device including the same | LG DISPLAY CO., LTD. |
11037965 | Multi-sensor optical device for detecting chemical species and manufacturing method thereof | STMICROELECTRONICS S.R.L. |
11037966 | Solid state image sensor with on-chip filter and extended spectral response | QUALCOMM INCORPORATED |
11037969 | Solid-state imaging device having an impurity region on an upper surface of a photoelectric conversion film | SONY CORPORATION |
11037981 | Semiconductor device with magnetic tunnel junctions | -- |
11037982 | Semiconductor structure integrated with magnetic tunneling junction | -- |
11037983 | Semiconductor structure and method of forming the same | -- |
11037984 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11037985 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11037986 | Stacked resistive memory with individual switch control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037987 | Multi-layered conductive metal oxide structures and methods for facilitating enhanced performance characteristics of two-terminal memory cells | HEFEI RELIANCE MEMORY LIMITED |
11037988 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037989 | Method to form memory cells separated by a void-free dielectric structure | -- |
11037990 | Method to form memory cells separated by a void-free dielectric structure | -- |
11037991 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
11037992 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
11037993 | Detection device and detector | KABUSHIKI KAISHA TOSHIBA |
11037994 | Display panel and method for manufacturing the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11037995 | Organic light-emitting display panel and display apparatus | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11037996 | Display device having an input sensing unit | SAMSUNG DISPLAY CO., LTD. |
11037997 | Display apparatus | LG DISPLAY CO., LTD. |
11038001 | Active matrix substrate and method for producing same | SHARP KABUSHIKI KAISHA |
11038002 | Double-sided display device and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11038003 | Foldable display apparatus | SAMSUNG DISPLAY CO., LTD. |
11038004 | Window member and electronic apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11038005 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11038006 | Display panel and bonding method of the same | -- |
11038007 | Display panels | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11038008 | Display apparatus having reduced defects | SAMSUNG DISPLAY CO., LTD. |
11038009 | Shadow mask for OLED evaporation and manufacturing method therefor, and OLED panel manufacturing method | SEEYA OPTRONICS CO., LTD. |
11038010 | Capacitor structure and method of making the same | -- |
11038011 | Metal-insulator-metal capacitors including nanofibers | GLOBALFOUNDRIES U.S. INC. |
11038012 | Capacitor device and manufacturing method therefor | -- |
11038013 | Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038014 | Semiconductor device having plug and metal line | -- |
11038015 | Non-planar field effect transistor devices with low-resistance metallic gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038016 | Insulated gate bipolar transistor device having a fin structure | INFINEON TECHNOLOGIES AG |
11038017 | Bipolar-transistor device and corresponding fabrication process | STMICROELECTRONICS (CROLLES 2) SAS |
11038018 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11038019 | Power semiconductor device and method for manufacturing the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11038020 | Silicon carbide semiconductor device and method of manufacturing a silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11038021 | Quantum dot devices | INTEL CORPORATION |
11038022 | Super-junction corner and termination structure with graded sidewalls | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11038023 | III-nitride material semiconductor structures on conductive silicon substrates | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038024 | Self-standing GaN substrate, GaN crystal, method for producing GaN single crystal, and method for producing semiconductor device | MITSUBISHI CHEMICAL CORPORATION |
11038025 | HEMT-compatible lateral rectifier structure | -- |
11038026 | Crystalline multilayer structure and semiconductor device | FLOSFIA INC. |
11038027 | Integrated assemblies having polycrystalline first semiconductor material adjacent conductively-doped second semiconductor material | MICRON TECHNOLOGY, INC. |
11038028 | Semiconductor device and manufacturing method | INFINEON TECHNOLOGIES AG |
11038029 | Semiconductor device structure and method for forming the same | -- |
11038030 | Transistor having low capacitance field plate structure | RAYTHEON COMPANY |
11038031 | Field-effect transistor | MITSUBISHI ELECTRIC CORPORATION |
11038032 | Method for auto-aligned manufacturing of a VDMOS transistor, and auto-aligned VDMOS transistor | STMICROELECTRONICS S.R.L. |
11038033 | Integrated circuits | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11038034 | Method and related apparatus for integrating electronic memory in an integrated chip | -- |
11038035 | Semiconductor structure with enlarged gate electrode structure and method for forming the same | -- |
11038036 | Separate epitaxy layers for nanowire stack GAA device | -- |
11038037 | Sawtooh electric field drift region structure for planar and trench power semiconductor devices | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
11038038 | Transistors and methods of forming transistors | MICRON TECHNOLOGY, INC. |
11038039 | Method of forming a semiconductor device | IMEC VZW |
11038040 | Fin field effect transistor devices with robust gate isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038041 | Composite spacer enabling uniform doping in recessed fin devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038042 | Forming gate last vertical FET with self-aligned spacers and junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038043 | Semiconductor device and manufacturing method thereof | -- |
11038044 | Semiconductor device and manufacturing method thereof | -- |
11038045 | Semiconductor device | FUJITSU LIMITED |
11038046 | High electron mobility transistor with trench isolation structure capable of applying stress and method of manufacturing the same | -- |
11038047 | Normally-off HEMT transistor with selective generation of 2DEG channel, and manufacturing method thereof | STMICROELECTRONICS S.R.L. |
11038048 | Gallium nitride-on-silicon devices | -- |
11038049 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11038050 | Semiconductor device and method of manufacturing the same | ROHM CO., LTD. |
11038051 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11038052 | Semiconductor arrangement with one or more semiconductor columns | -- |
11038053 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11038054 | Asymmetric source and drain structures in semiconductor devices | -- |
11038055 | Method and structure of improving contact resistance for passive and long channel devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038056 | System and method for source/drain contact processing | -- |
11038057 | Semiconductor device with high-quality epitaxial layer and method of manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11038058 | Semiconductor device structure and method for forming the same | -- |
11038059 | Semiconductor device and method of forming the same | -- |
11038060 | Semiconductor device with embedded sigma-shaped structure and method for preparing the same | -- |
11038061 | Semiconductor device structure and method for forming the same | -- |
11038062 | Semiconductor device with a fin-shaped active region and a gate electrode | SAMSUNG ELECTRONICS CO., LTD. |
11038063 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11038064 | Vertical nano-wire complimentary metal-oxide-semiconductor transistor with cylindrical III-V compound and germanium channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038065 | Semiconductor device, manufacturing method of the semiconductor device, or display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11038066 | Nanowire transistor structure and nanowire inverter structure | -- |
11038067 | Stress sensor suitable for measuring mechanical stress in a layered metallization structure of a microelectronic component | IMEC VZW |
11038068 | Sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11038069 | Photovoltaic cell with passivating contact | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIIK ONDERZOEK TNO |
11038070 | High photoelectric conversion efficiency solar cell and method for manufacturing high photoelectric conversion efficiency solar cell | SHIN-ETSU CHEMICAL CO., LTD. |
11038071 | Solar cell, solar cell module, and method for manufacturing solar cell | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11038072 | Shingled solar cell module | SUNPOWER CORPORATION |
11038073 | Solar power generation unit and system | GYEONGGI-DO |
11038074 | Wireless power distribution systems and methods | NANT HOLDINGS IP, LLC |
11038075 | Large area luminescent solar concentrator based on indirect band-gap semiconductor nanocrystals | UNIVERSITA' DEGLI STUDI DI MILANO—BICOCCA |
11038076 | Copper halide color photosensor structure and manufacturing method | PETALUX INC. |
11038077 | Chip package and manufacturing method thereof | -- |
11038078 | Method for manufacturing high efficiency solar cell | SHIN-ETSU CHEMICAL CO., LTD. |
11038079 | Light-emitting device and manufacturing method thereof | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
11038080 | Thin-film semiconductor optoelectronic device with textured front and/or back surface prepared from etching | UTICA LEASECO, LLC |
11038081 | Method of separating light emitting devices formed on a substrate wafer | LUMILEDS LLC |
11038082 | Method of separating light emitting devices formed on a substrate wafer | LUMILEDS LLC |
11038083 | Optoelectronic semiconductor chip | OSRAM OLED GMBH |
11038084 | Light-emitting device | NICHIA CORPORATION |
11038085 | Light-emitting device with a plurality of electrodes on a semiconductor stack | -- |
11038086 | Semiconductor light-emitting element and manufacturing method therefor | SEMICON LIGHT CO., LTD. |
11038087 | Light emitting device package and light source apparatus | LG INNOTEK CO., LTD. |
11038088 | Light emitting diode package | -- |
11038089 | Light emitting device | NICHIA CORPORATION |
11038090 | Method of producing an optoelectronic component | OSRAM OLED GMBH |
11038091 | Light-emitting device packages | SAMSUNG ELECTRONICS CO., LTD. |
11038092 | Fin-based devices based on the thermoelectric effect | GLOBALFOUNDRIES U.S. INC. |
11038093 | Wirebond cross-talk reduction for quantum computing chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038094 | Superconducting qubit with tapered junction wiring | QUANTALA LLC |
11038095 | Systems and methods for fabrication of superconducting integrated circuits | D-WAVE SYSTEMS INC. |
11038096 | Stack assembly having electro-acoustic device | SKYWORKS SOLUTIONS, INC. |
11038097 | Magnetic structures with tapered edges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038098 | Magnetic random access memory with various size magnetic tunneling junction film stacks | -- |
11038099 | Perpendicular magnetoelectric spin orbit logic | INTEL CORPORATION |
11038100 | Magnetoresistive element having a perpendicular AFM structure | -- |
11038101 | Semiconductor structure having a phase change memory device | -- |
11038102 | Artificial synapse device and method of manufacturing the same | DAEGU GYEONGBUK INSTITUTE OF SCIENCE & TECHNOLOGY |
11038103 | Tightly integrated 1T1R ReRAM for planar technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038104 | Resistive memory crossbar array with top electrode inner spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038105 | Resistive random access memory device | -- |
11038106 | Phase change memory cell with a metal layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038107 | Semiconductor devices including liners, and related systems | MICRON TECHNOLOGY, INC. |
11038108 | Step height mitigation in resistive random access memory structures | -- |
11038109 | Method for fabricating organic light-emitting display panel and display device using ink jet printing to form light-emiting layer | BOE TECHNOLOGY GROUP CO., LTD. |
11038110 | Method for manufacturing electronic device and method for removing impurity using same | EWHA UNIVERSITY—INDUSTRY COLLABORATION FOUNDATION |
11038111 | Organic electroluminescence device and monoamine compound for organic electroluminescence device | SAMSUNG DISPLAY CO., LTD. |
11038112 | Electroluminescent device, and display device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11038113 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11038114 | Method for manufacturing organic solar cell and organic solar cell manufactured by using the same | LG CHEM, LTD. |
11038115 | Organic electroluminescent materials and device | UNIVERSAL DISPLAY CORPORATION |
11038116 | Compound and organic thin film and thin film transistor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11038117 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11038118 | Compound, light-emitting material, and organic light-emitting device | KYULUX, INC. |
11038119 | Organic compound, organic optoelectronic device and display apparatus | SAMSUNG SDI CO., LTD. |
11038120 | Organic compound and organic electroluminescence device using the same | -- |
11038121 | 9 membered ring carbazole compounds | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
11038122 | Charge transporting material, organic electroluminescent element, light emitting device, display device and illumination device | UDC IRELAND LIMITED |
11038123 | Compound and organic electronic device using the same | SHANGHAI NICHEM FINE CHEMICAL CO., LTD. |
11038124 | Organic compound and organic electroluminescence device using the same | -- |
11038125 | Organic semiconductor element, polymer, organic semiconductor composition, and organic semiconductor film | FUJIFILM CORPORATION |
11038126 | Iridium complex compound, composition containing the compound and solvent, organic electroluminescent element containing the compound, display device, and illumination device | MITSUBISHI CHEMICAL CORPORATION |
11038127 | Optoelectronic component, organic functional layer, and method for producing an optoelectronic component | OSRAM OLED GMBH |
11038128 | Flexible substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11038129 | Method of manufacturing a support film and flexible organic light emitting diode display device | -- |
11038130 | Stretchable display devices | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11038131 | Electroluminescent lighting device having high aperture ratio | LG DISPLAY CO., LTD. |
11038132 | Optoelectronic devices with organometal perovskites with mixed anions | OXFORD UNIVERSITY INNOVATION LIMITED |
11038133 | Organic EL display panel, organic EL display device, and manufacturing method of organic display panel | JOLED INC. |
11038134 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11038135 | Light-emitting element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11038136 | Electroluminescent device, and display device comprising thereof | SAMSUNG ELECTRONICS CO., LTD. |
11038137 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11038138 | Organic electroluminescent device and method for manufacturing the same | LG CHEM, LTD. |
11038139 | Organic electroluminescent devices, displays and mobile communication devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD |
11038140 | Display device, electronic device, and method of producing display device | SONY CORPORATION |
11038141 | Semiconductor device | JAPAN DISPLAY INC. |
11038142 | Lighting device and display apparatus | LG DISPLAY CO., LTD. |
11038143 | Display device and electronic device having the same | SAMSUNG DISPLAY CO., LTD. |
11038144 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11038145 | Laminated film and process for manufacturing the same, as well as method for analyzing laminated film | SUMITOMO CHEMICAL COMPANY, LIMITED |
11038146 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11038147 | Electroluminescence device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11038148 | Organic light-emitting display apparatus including nano-structured mirror | SAMSUNG ELECTRONICS CO., LTD. |
11038149 | Display panel having light-absorbing photoresist layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11038150 | QLED/OLED pixel having reflective cavity electrode configuration | SHARP KABUSHIKI KAISHA |
11038151 | Display device | SAMSUNG DISPLAY CO., LTD. |
11038152 | Organic light-emission device | LG DISPLAY CO., LTD. |
11038153 | Methods for HMDSO thermal stability | APPLIED MATERIALS, INC. |
11038154 | Vapor-deposition mask, vapor-deposition method and method for manufacturing organic el display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11038155 | Film formation device, vapor-deposited film formation method, and organic EL display device production method | SAKAI DISPLAY PRODUCTS CORPORATION |
11038265 | Semiconductor-based beamforming antenna | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11038348 | Two stage power control system for automotive devices | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11038380 | Split-ring resonator with integrated magnetic tunnel junction for highly sensitive and efficient energy harvesting | NATIONAL UNIVERSITY OF SINGAPORE |
11038440 | Vibrator with low power consumption, vibration type actuator, and electronic apparatus | CANON KABUSHIKI KAISHA |
11038458 | Skirt mount attachable to a solar panel module frame | SUNRUN SOUTH LLC |
11038473 | Phase shifters for gallium nitride amplifiers and related methods | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038485 | Crystal vibration element, and crystal vibrator equipped with crystal vibration element | MURATA MANUFACTURING CO., LTD. |
11038595 | Optical transmission/reception circuit | STMICROELECTRONICS (GRENOBLE 2) SAS |
11039515 | Light emitting semiconductor device for generation of short light pulses | AMS AG |
11039814 | Imaging devices having piezoelectric transducers | EXO IMAGING, INC. |
11040409 | Cutting machine and thermoelectric power generation method | JFE STEEL CORPORATION |
11040632 | Interleaved variable voltage converter | FORD GLOBAL TECHNOLOGIES, LLC |
11040988 | Method for producing an organic electronic component, and organic electronic component | NOVALED GMBH |
11040992 | Light-emitting compound | CAMBRIDGE DISPLAY TECHNOLOGY LIMITED |
11041083 | Formulation of an organic functional material | MERCK PATENT GMBH |
11041089 | Encapsulating composition | LG CHEM, LTD. |
11041097 | Polishing composition and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11041119 | Europium beta-diketonate luminescent material | SHAKER HEIGHTS |
11041122 | Wavelength converting material for a light emitting device | LUMILEDS LLC |
11041237 | Vapor deposition mask, vapor deposition mask preparation body, method for producing vapor deposition mask, and method for producing organic semiconductor element | DAI NIPPON PRINTING CO., LTD. |
11041253 | Silicon wafers by epitaxial deposition | SVAGOS TECHNIK, INC. |
11041485 | Soft actuator using thermoelectric effect | DAEGU GYEONGBUK INSTITUTE OF SCIENCE AND TECHNOLOGY |
11041597 | LED module for flashing lamp and flashing lamp | HOTALUX, LTD. |
11041603 | Illumination device and method for manufacturing an illumination device | OSRAM OLED GMBH |
11042059 | Color conversion element and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11042062 | Electronic device | -- |
11042237 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042253 | Display device | SAMSUNG DISPLAY CO., LTD. |
11042346 | Artificial cochlea | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043160 | Common-gate transistor, pixel circuit, driving method and display | EVERDISPLAY OPTRONICS (SHANGHAI) CO., LTD. |
11043186 | Semiconductor device, manufacturing method thereof, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043251 | Magnetic tunnel junction device and method of forming same | -- |
11043262 | Write assist circuitry | ARM LIMITED |
11043267 | Memory device architecture | MICRON TECHNOLOGY, INC. |
11043275 | Memory system, read method, program, and memory controller | TOSHIBA MEMORY CORPORATION |
11043335 | Multilayer carbon nanotube film-containing devices | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11043376 | Semiconductor device and method | -- |
11043382 | Diamond semiconductor system and method | AKHAN SEMICONDUCTOR, INC. |
11043383 | Electrical contact connection on silicon carbide substrate | INFINEON TECHNOLOGIES AG |
11043384 | Method of manufacturing a semiconductor device by using ion beam technique | INFINEON TECHNOLOGIES AG |
11043385 | Semiconductor device and method of forming the same | -- |
11043408 | Method of forming FinFET devices with embedded air gaps | -- |
11043413 | Barrier layer formation for conductive feature | -- |
11043414 | Microelectronic devices with conductive contacts to silicide regions, and related devices | MICRON TECHNOLOGY, INC. |
11043423 | Threshold voltage adjustment for a gate-all-around semiconductor structure | -- |
11043424 | Increase the volume of epitaxy regions | -- |
11043425 | Methods of reducing parasitic capacitance in semiconductor devices | -- |
11043426 | Dummy MOL removal for performance enhancement | -- |
11043427 | Method of manufacture of a FinFET device | -- |
11043429 | Semiconductor fins with dielectric isolation at fin bottom | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043430 | Semiconductor device having work-function metal and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11043431 | Integrated circuit devices with well regions | -- |
11043432 | Radio-frequency switching devices having improved voltage handling capability | SKYWORKS SOLUTIONS, INC. |
11043434 | Method of manufacturing a semiconductor device | ABLIC INC. |
11043444 | Two-dimensional addessable array of piezoelectric MEMS-based active cooling devices | FRORE SYSTEMS INC. |
11043446 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11043451 | Electrical fuse and/or resistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043452 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11043465 | Semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11043470 | Inductor design in active 3D stacking technology | XILINX, INC. |
11043473 | Integrated circuit including a first semiconductor wafer and a second semiconductor wafer, semiconductor device including a first semiconductor wafer and a second semiconductor wafer and method of manufacturing same | -- |
11043476 | Displaying apparatus having light emitting device, method of manufacturing the same and method of transferring light emitting device | SEOUL SEMICONDUCTOR CO., LTD. |
11043478 | Integrated circuit bridge for photonics and electrical chip integration | CISCO TECHNOLOGY, INC. |
11043479 | Opto-coupler with field-shaping end caps | UNIVERSITY OF NEW HAMPSHIRE |
11043483 | Semiconductor device in a level shifter with electrostatic discharge (ESD) protection circuit and semiconductor chip | MAGNACHIP SEMICONDUCTOR, LTD. |
11043488 | High voltage protection for high-speed data interface | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043489 | Semiconductor device and manufacturing method thereof | -- |
11043490 | Semiconductor device having a dielectric dummy gate | -- |
11043491 | Semiconductor device and a method for fabricating the same | -- |
11043492 | Self-aligned gate edge trigate and finFET devices | INTEL CORPORATION |
11043493 | Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043494 | Structure and method for equal substrate to channel height between N and P fin-FETs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043495 | Integrated circuit semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11043496 | Thin film transistors and related fabrication techniques | MICRON TECHNOLOGY, INC. |
11043497 | Integrated memory having non-ohmic devices and capacitors | MICRON TECHNOLOGY, INC. |
11043499 | Memory arrays comprising memory cells | MICRON TECHNOLOGY, INC. |
11043501 | Embedded SRAM and methods of forming the same | -- |
11043502 | Semiconductor devices including ferroelectric materials | MICRON TECHNOLOGY, INC. |
11043507 | Devices including dummy regions, and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. |
11043509 | Transistor, liquid crystal display device, and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043512 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11043513 | Display panel with plurality of non-display area lines and display device including the same | LG DISPLAY CO., LTD. |
11043514 | Method of fabricating array substrate, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11043517 | Semiconductor crystal substrate, infrared detector, method for producing semiconductor crystal substrate, and method for producing infrared detector | FUJITSU LIMITED |
11043519 | Image capturing apparatus comprising silicon nitride layer of different chlorine concentration, camera, and method of manufacturing image capturing apparatus | CANON KABUSHIKI KAISHA |
11043520 | Light-receiving device, method of manufacturing light-receiving device, imaging device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11043529 | CMOS pixels comprising epitaxial layers for light-sensing and light emission | QUANTUM SEMICONDUCTOR LLC |
11043530 | Light-emitting component having light-absorbing layer, light-emitting device, and image forming apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11043531 | Semiconductor structure and manufacturing method of the same | -- |
11043533 | Switch and method for fabricating the same, and resistive memory cell and electronic device, including the same | SK HYNIX INC. |
11043534 | Cell pillar structures and integrated flows | MICRON TECHNOLOGY, INC. |
11043535 | High-resistance memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043536 | Two-terminal switching element having bidirectional switching characteristic, resistive memory cross-point array including same, and method for manufacturing two-terminal switching element and cross-point resistive memory array | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11043537 | Three-dimensional phase change memory device including vertically constricted current paths and methods of manufacturing the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043538 | Organic image sensors | SAMSUNG ELECTRONICS CO., LTD. |
11043541 | OLED display device | -- |
11043542 | Organic light-emitting display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11043544 | Organic light emitting diode display module, manufacturing method thereof and electronic device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11043545 | Display substrate, fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11043546 | Display panel, fabricating method thereof and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043547 | Display panel and display device having same | -- |
11043548 | Light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11043549 | Flexible display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11043550 | Display device | SAMSUNG DISPLAY CO., LTD. |
11043551 | Display device | SAMSUNG DISPLAY CO., LTD. |
11043552 | Dielectric film layer structure and fabricating method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043553 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11043554 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11043555 | Semiconductor device and manufacturing method of the same | FUJI ELECTRIC CO., LTD. |
11043556 | Local epitaxy nanofilms for nanowire stack GAA device | -- |
11043557 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11043558 | Source/drain metal contact and formation thereof | -- |
11043559 | Method for manufacturing semiconductor device | -- |
11043560 | Silicon carbide semiconductor component comprising trench gate structures and shielding regions | INFINEON TECHNOLOGIES AG |
11043561 | Multi-gate device and method of fabrication thereof | -- |
11043563 | Semiconductor devices and methods for fabricating the same | -- |
11043564 | Integrated circuit devices including transistors having variable channel pitches | SAMSUNG ELECTRONICS CO., LTD. |
11043565 | Three-dimensional memory device with source contacts connected by an adhesion layer and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043566 | Semiconductor structures in a wide gate pitch region of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11043567 | Semiconductor device and manufacturing method thereof | -- |
11043568 | Semiconductor devices having gate structures with skirt regions | SAMSUNG ELECTRONICS CO., LTD. |
11043569 | Semiconductor device and method of formation | -- |
11043570 | Semiconductor device and manufacturing method thereof | -- |
11043571 | Insulated gate field effect transistor having passivated schottky barriers to the channel | ACORN SEMI, LLC |
11043572 | Metal gate structure and methods thereof | -- |
11043573 | Method of fabricating tantalum nitride barrier layer and semiconductor device thereof | -- |
11043574 | Method of manufacturing a HEMT device with reduced gate leakage current, and HEMT device | STMICROELECTRONICS S.R.L. |
11043575 | Field-effect transistor and fabrication method of field-effect transistor | HUAWEI TECHNOLOGIES CO., LTD. |
11043576 | FinFET device and method | -- |
11043577 | Semiconductor device and method of manufacturing the same | -- |
11043578 | Nanowire stack GAA device with inner spacer | -- |
11043579 | Method for manufacturing semiconductor device | -- |
11043580 | Method of manufacturing semiconductor devices | -- |
11043581 | Nanosheet channel-to-source and drain isolation | TESSERA, INC. |
11043582 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11043583 | Semiconductor structure and method for forming the same | -- |
11043584 | Semiconductor device and fabricating method thereof | -- |
11043585 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11043586 | Semiconductor device, method for manufacturing semiconductor device, inverter circuit, drive device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11043587 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043588 | Vertical field effect transistor | GLOBALFOUNDRIES U.S. INC. |
11043589 | Semiconductor device | ROHM CO., LTD. |
11043590 | Semiconductor component and manufacturing method thereof | SONY CORPORATION |
11043591 | FeFET transistor | STMICROELECTRONICS (CROLLES 2) SAS |
11043592 | Antiferromagnet field-effect based logic circuits including spin orbital coupling channels with opposing preferred current paths and related structures | GEORGIA TECH RESEARCH CORPORATION |
11043593 | Semiconductor device and manufacturing method thereof | -- |
11043594 | Low parasitic resistance contact structure | -- |
11043595 | Cut metal gate in memory macro edge and middle strap | -- |
11043596 | Semiconductor device and fabrication method thereof | -- |
11043597 | Method for reducing contact resistance in semiconductor structures | -- |
11043598 | Vertical field effect transistor with low-resistance bottom source-drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043599 | Semiconductor device and method for producing same | SHARP KABUSHIKI KAISHA |
11043600 | Semiconductor device provided with oxide semiconductor TFT | SHARP KABUSHIKI KAISHA |
11043601 | Non-volatile memory cell and non-volatile memory | -- |
11043602 | Schottky barrier diode | TAMURA CORPORATION |
11043603 | Passivation of infrared detectors using oxide layer | HRL LABORATORIES, LLC |
11043604 | Low dark current, resonant cavity-enhanced infrared photodetectors | UNIVERSITY OF ROCHESTER |
11043605 | Thick-film pastes containing lead- and tellurium-oxides, and their use in the manufacture of semiconductor devices | E I DU PONT DE NEMOURS AND COMPANY |
11043606 | Solar cell edge interconnects | ZHEJIANG KAIYING NEW MATERIALS CO., LTD. |
11043607 | Horizontal balanced solar tracker | NEXTRACKER INC. |
11043608 | Method and apparatus for manufacturing semiconductor sheet assembly | ZHEJIANG JINKO SOLAR CO., LTD. |
11043609 | Light emitting diode and method of manufacturing the same | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043610 | Light-emitting device | NICHIA CORPORATION |
11043611 | Quantum dot architectures for color filter applications | NANOCO TECHNOLOGIES LTD. |
11043612 | Light emitting diodes using ultra-thin quantum heterostructures | CORNELL UNIVERSITY |
11043613 | Light emitting diode device and method for manufacturing the same | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043614 | Light-emitting device | NICHIA CORPORATION |
11043615 | Light-emitting device having a dielectric multilayer film arranged on the side surface of the light-emitting element | NICHIA CORPORATION |
11043616 | Airtight package | NIPPON ELECTRIC GLASS CO., LTD. |
11043617 | LED display device | LUMENS CO., LTD. |
11043618 | Display devices comprising green-emitting quantum dots and red KSF phosphor | NANOCO TECHNOLOGIES LTD. |
11043619 | LED module with high near field contrast ratio | LUMILEDS LLC |
11043620 | Light emitting device | NICHIA CORPORATION |
11043621 | Light emitting device and method of manufacturing light emitting device | NICHIA CORPORATION |
11043622 | Encoded driver chip for light emitting pixel array | INFINEON TECHNOLOGIES AG |
11043623 | Package including lead component having recess | NICHIA CORPORATION |
11043624 | System, device, and method for generating energy using a thermoelectric generator | IMAM ABDULRAHMAN BIN FAISAL UNIVERSITY |
11043625 | Piezoelectric device, MEMS device, liquid ejecting head, and liquid ejecting apparatus | SEIKO EPSON CORPORATION |
11043626 | Multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11043627 | Techniques for monolithic co-integration of thin-film bulk acoustic resonator devices and III-N semiconductor transistor devices | INTEL CORPORATION |
11043628 | Multi-layer bottom electrode for embedded memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043629 | Integrated magnetic concentrator and connection | MELEXIS TECHNOLOGIES NV |
11043630 | Method of manufacturing a magnetoresistive device | EVERSPIN TECHNOLOGIES, INC. |
11043631 | Perpendicular magnetoresistive elements | -- |
11043632 | Ion beam etching process design to minimize sidewall re-deposition | HEADWAY TECHNOLOGIES, INC. |
11043633 | Resistive memory device having a template layer | 4DS MEMORY, LIMITED |
11043634 | Confining filament at pillar center for memory devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043635 | Vapor-deposition mask having frame formed of carbon-fiber reinforced plastic, vapor-deposition method and method for manufacturing organic EL display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11043636 | Method for selectively depositing a conductive coating over a patterning coating and device including a conductive coating | OTI LUMIONICS INC. |
11043637 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11043638 | Organic electroluminescence device and electronic device | IDEMITSU KOSAN CO., LTD. |
11043639 | Charge transport material, composition including the charge transport material, and organic light-emitting device including the composition | SAMSUNG ELECTRONICS CO., LTD. |
11043640 | Tungsten(VI) complexes for OLED application | THE UNIVERSITY OF HONG KONG |
11043641 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11043642 | Organic light-emitting device and apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11043643 | Crosslinkable polymeric materials for dielectric layers in electronic devices | ALTANA AG |
11043644 | Transistor acoustic sensor element and method for manufacturing the same, acoustic sensor and portable device | BOE TECHNOLOGY GROUP CO., LTD. |
11043645 | Organic photodetector | SUMITOMO CHEMICAL COMPANY, LIMITED |
11043646 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11043647 | Quantum dot film having porous structure and manufacturing method for the same | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11043648 | White organic electroluminescent device and preparation method thereof | CHANGCHUN INSTITUTE OF APPLIED CHEMISTRY CHINESE ACADEMY OF SCIENCES |
11043649 | Organic electroluminescence display device | SAMSUNG DISPLAY CO., LTD. |
11043650 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043651 | OLED display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043652 | Display panel with quantum dot thin film | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043653 | Light-emitting devices with improved light outcoupling | KATEEVA, INC. |
11043654 | Multilayer encapsulation, method for encapsulating and optoelectronic component | OSRAM OLED GMBH |
11043655 | Efficiency organic light emitting diode displays | INTEL CORPORATION |
11043656 | Display device incorporating a scattering pattern | SAMSUNG DISPLAY CO., LTD. |
11043657 | Display panel, mask, and display device | -- |
11043756 | Structure for radio frequency applications | SOITEC |
11043792 | Method for GaN vertical microcavity surface emitting laser (VCSEL) | YALE UNIVERSITY |
11043909 | Piezoelectric driving device, electronic-component conveying apparatus, and robot | SEIKO EPSON CORPORATION |
11043936 | Tuning method for current mode relaxation oscillator | -- |
11044327 | Systems and methods for wireless service migration | VERIZON PATENT AND LICENSING INC |
11044433 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11044538 | Environmental sensor or semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11044820 | Display device | LG DISPLAY CO., LTD. |
11044956 | Temperature controllable textile and wearable assembly thereof | -- |
11045004 | Chair with solar panel | SHARP KABUSHIKI KAISHA |
11045007 | Foothold including thermoelectric module | LG ELECTRONICS INC. |
11045835 | Nanovoided polymers using phase inversion | FACEBOOK TECHNOLOGIES, LLC |
11046579 | Nanowire arrays for trace vapor preconcentration | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11046589 | Multi-element perovskite material as well as preparation and luminescent application thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11046607 | Method to reduce darkening in phosphor in glass (PIG) made by SPS | OSRAM OPTO SEMICONDUCTORS GMBH |
11046655 | Compound and method for producing same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11046667 | Aromatic amine derivative, light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11046884 | Formulation of an organic functional material comprising an epoxy group containing solvent | MERCK PATENT GMBH |
11046885 | Layered structures and electronic devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11046886 | Method for producing liquid composition | SUMITOMO CHEMICAL COMPANY, LIMITED |
11047376 | Actuator support structure and pump device | MURATA MANUFACTURING CO., LTD. |
11047423 | Coated bearing component and bearing comprising such a component | SKF AEROSPACE FRANCE S.A.S |
11047537 | Fixing of LED strips | SIGNIFY HOLDING B.V. |
11047551 | Light emitting device | NICHIA CORPORATION |
11047559 | Light source cooling body, light source assembly, a luminaire and method to manufacture a light source cooling or a light source assembly | SIGNIFY HOLDING B.V. |
11047750 | Self-powered sensing of tensile strain using multifunctional mechano-luminescence-optoelectronic composites | NEW MEXICO TECH UNIVERSITY RESEARCH PARK CORPORATION |
11047826 | Chemiresistive sensor and methods of sensing | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11047833 | Method for automatic determination of trend in graphic analysis of turbomachines | PETRÓLEO BRASILEIRO S.A.—PETROBRAS |
11047929 | Signal processing circuit and motor drive system | NIDEC CORPORATION |
11047930 | Hall effect sensors with tunable sensitivity and/or resistance | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11048023 | Tunable hyperbolic metamaterials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11048029 | Color conversion panel, manufacturing method of the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11048030 | Spectrally selective panel | TROPIGLAS TECHNOLOGIES LTD |
11048032 | Manufacturing method for metal grating, metal grating and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11048035 | Light-emitting module | NICHIA CORPORATION |
11048092 | Display device and head-mounted display | SHARP KABUSHIKI KAISHA |
11048113 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048117 | Display device | -- |
11048162 | Method and apparatus for neutral beam processing based on gas cluster ion beam technology | EXOGENESIS CORPORATION |
11048352 | Display device | SAMSUNG DISPLAY CO., LTD. |
11048365 | Display device including mesh lines overlapping contact holes | SAMSUNG DISPLAY CO., LTD. |
11048371 | Organic light emitting display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11048460 | Display module including a light diffusion agent and display apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11049185 | Systems and methods for impact resistant and photovoltaic windows | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11049437 | Semiconductor device, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049445 | Electronic devices with narrow display borders | APPLE INC. |
11049452 | Light-emitting driving circuit, driving method, organic light-emitting display panel and device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11049455 | Display device, electronic device, and toggling circuit | LG DISPLAY CO., LTD. |
11049456 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11049466 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049483 | Acoustic sensor having a housing and a diaphragm element situated on this housing | ROBERT BOSCH GMBH |
11049537 | Additive patterning of semiconductor film stacks | APPLIED MATERIALS, INC. |
11049651 | Electronic component and method for manufacturing same | MURATA MANUFACTURING CO., LTD. |
11049718 | Fabrication of group III-nitride semiconductor devices | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11049722 | Methods and materials for modifying the threshold voltage of metal oxide stacks | APPLIED MATERIALS, INC. |
11049725 | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride | CORPORATION FOR NATIONAL RESEARCH INITIATIVES |
11049729 | Dry etching method, semiconductor device manufacturing method, and chamber cleaning method | CENTRAL GLASS COMPANY, LIMITED |
11049733 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049756 | Thermal pad for etch rate uniformity | -- |
11049759 | Micro LED transfer head | POINT ENGINEERING CO., LTD. |
11049764 | Method for fabricating a semiconductor device | -- |
11049769 | Self-aligned interconnection for integrated circuits | MICRON TECHNOLOGY, INC. |
11049773 | Art trench spacers to enable fin release for non-lattice matched channels | INTEL CORPORATION |
11049775 | Semiconductor device having FinFET with work function layers and method of manufacturing the same | -- |
11049779 | Carrier for chip packaging and manufacturing method thereof | -- |
11049784 | Semiconductor device for use in harsh media | MELEXIS TECHNOLOGIES NV |
11049785 | Semiconductor device and fabrication method thereof | FUJI ELECTRIC CO., LTD. |
11049788 | Integrated circuit chip device with thermal control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11049799 | Semiconductor structure and method for forming the same | -- |
11049802 | Semiconductor device and method of manufacture | -- |
11049807 | Three-dimensional memory device containing tubular blocking dielectric spacers | SANDISK TECHNOLOGIES LLC |
11049810 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11049811 | Forming interlayer dielectric material by spin-on metal oxide deposition | -- |
11049813 | Interconnection structure, fabricating method thereof, and semiconductor device using the same | -- |
11049818 | Electromagnetic wave attenuator and electronic device | KABUSHIKI KAISHA TOSHIBA |
11049820 | Crack suppression structure for HV isolation component | TEXAS INSTRUMENTS INCORPORATED |
11049846 | Integrated circuit chip, method of manufacturing the integrated circuit chip, and integrated circuit package and display apparatus including the integrated circuit chip | SAMSUNG ELECTRONICS CO., LTD. |
11049849 | Arrangement of LEDs on a leadframe | LUMILEDS LLC |
11049852 | ESD protection circuit with isolated SCR for negative voltage operation | TEXAS INSTRUMENTS INCORPORATED |
11049853 | ESD protection device with breakdown voltage stabilization | TEXAS INSTRUMENTS INCORPORATED |
11049854 | MIMCAP creation and utilization methodology | AMPERE COMPUTING LLC |
11049855 | Tunable capacitive compensation for RF switch FET stacks | PSEMI CORPORATION |
11049856 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11049857 | Nanosheet CMOS semiconductor device and the method of manufacturing the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11049858 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049860 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11049864 | Apparatuses including capacitor structures, and related memory devices, electronic systems, and methods | MICRON TECHNOLOGY, INC. |
11049868 | Stacked type semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11049869 | Semiconductor device with recess and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11049879 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11049881 | Method for manufacturing a top-gate self-aligned indium-tin-zinc oxide thin-film transistor | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11049882 | Display device | JAPAN DISPLAY INC. |
11049886 | Thin-film transistor array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049887 | Layer stack for display applications | APPLIED MATERIALS, INC. |
11049890 | Stacked field-effect transistors having proximity electrodes | SKYWORKS SOLUTIONS, INC. |
11049893 | Optical filter array | VIAVI SOLUTIONS INC. |
11049894 | Solder mask dam design | OMNIVISION TECHNOLOGIES, INC. |
11049899 | Encapsulation structure of image sensing chip, and encapsulation method therefor | CHINA WAFER LEVEL CSP CO., LTD. |
11049900 | Monolithically integrated nanoemitter light source assembly | ANALOG DEVICES, INC. |
11049901 | Display apparatus including light-receiving device | LG DISPLAY CO., LTD. |
11049902 | Light-emitting element wafer, light emitting element, electronic apparatus, and method of producing light-emitting element wafer | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049903 | Integrated system chip with magnetic module | -- |
11049904 | RRAM structure and method of fabricating the same | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11049905 | Memory device and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049906 | Imaging device, manufacturing device, and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049907 | High resolution low power consumption OLED display with extended lifetime | UNIVERSAL DISPLAY CORPORATION |
11049908 | Light-emitting element, display module, lighting module, light-emitting device, display device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049909 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11049911 | Light-emitting device and image display apparatus including the same | DIC CORPORATION |
11049912 | Organic light emitting display and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11049913 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049914 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049916 | Organic light-emitting display apparatus having a pixel-defining layer with an under-cut structure and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11049917 | OLED display panel, a method for fabricating the same, and a display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049918 | Organic light emitting display device and method of fabricating thereof | LG DISPLAY CO., LTD. |
11049919 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11049921 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11049922 | Flexible array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049923 | OLED display panel and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049925 | Display device | LG DISPLAY CO., LTD. |
11049926 | Stretchable display device | LG DISPLAY CO., LTD. |
11049927 | Display device | SAMSUNG DISPLAY CO., LTD. |
11049928 | Organic light emitting diode display having an extension substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049929 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11049930 | Semiconductor structure and method of manufacturing the same | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11049931 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11049932 | Semiconductor isolation structures comprising shallow trench and deep trench isolation | GLOBALFOUNDRIES U.S. INC. |
11049933 | Creation of stress in the channel of a nanosheet transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049934 | Transistor comprising a matrix of nanowires and methods of making such a transistor | GLOBALFOUNDRIES U.S. INC. |
11049935 | Non-planar field effect transistor devices with low-resistance metallic gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049936 | High ruggedness heterojunction bipolar transistor structure | -- |
11049937 | Gate structures for semiconductor devices | -- |
11049938 | P-type lateral double diffused MOS transistor and method of manufacturing the same | DB HITEK CO., LTD. |
11049939 | Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation | SEMIWISE LIMITED |
11049940 | Method and structure for forming silicon germanium finFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049941 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11049942 | Power semiconductor device | -- |
11049943 | Method for forming III-nitride semiconductor device and the III-nitride semiconductor device | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11049944 | High voltage thin-film transistor and method of manufacturing the same | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO |
11049945 | Semiconductor device structure and method for forming the same | -- |
11049946 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049947 | Non-volatile memory and manufacturing method for the same | NEXCHIP SEMICONDUCTOR CO., LTD. |
11049948 | Vertical thin film transistor with perforated or comb-gate electrode configuration | SOLSONA ENTERPRISE, LLC |
11049949 | Gate-all-around gradient-doped nano-sheet complementary inverter and method of making the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11049950 | Trench power seminconductor device and manufacturing method thereof | -- |
11049951 | Coating liquid for forming oxide or oxynitride insulator film, oxide or oxynitride insulator film, field-effect transistor, and method for producing the same | RICOH COMPANY, LTD. |
11049952 | Integrated enhancement/depletion mode HEMT | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11049953 | Nanosheet transistor | TESSERA, INC. |
11049954 | Fin field-effect transistors and methods of forming the same | -- |
11049955 | Epi semiconductor material structures in source/drain regions of a transistor device formed on an SOI substrate | GLOBALFOUNDRIES U.S. INC. |
11049956 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049957 | LDMOS device with sinker link | MONOLITHIC POWER SYSTEMS, INC. |
11049958 | Semiconductor power device and manufacturing method thereof | -- |
11049959 | FinFET with dummy fins and methods of making the same | -- |
11049960 | Gallium nitride (GaN) based transistor with multiple p-GaN blocks | TEXAS INSTRUMENTS INCORPORATED |
11049961 | High electron mobility transistor and methods for manufacturing the same | -- |
11049962 | Silicon carbide power devices | GENESIC SEMICONDUCTOR INC. |
11049963 | Silicon carbide semiconductor device and power converter | MITSUBISHI ELECTRIC CORPORATION |
11049964 | Silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11049965 | Semiconductor device and alternator using the same | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
11049966 | Silicon carbide semiconductor device and method of manufacturing the same | DENSO CORPORATION |
11049967 | DMOS transistor having thick gate oxide and STI and method of fabricating | TEXAS INSTRUMENTS INCORPORATED |
11049968 | Semiconductor device and method of manufacturing a semiconductor device | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11049969 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11049970 | Semiconductor device and manufacturing method thereof | -- |
11049971 | Semiconductor device having epitaxial structure | -- |
11049972 | Formation method of semiconductor device with low resistance contact | -- |
11049973 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11049974 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049975 | Dual-gate thin film transistor, manufacturing method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11049976 | Thin-film transistor, oxide semiconductor film, and sputtering target | ULVAC, INC. |
11049977 | Oxide material and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049978 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11049979 | Long channel nanosheet FET having tri-layer spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049980 | Integrated MIM diode | TEXAS INSTRUMENTS INCORPORATED |
11049981 | Photovoltaic device and solar cell string including photovoltaic devices | SHARP KABUSHIKI KAISHA |
11049982 | Solar cell element | KYOCERA CORPORATION |
11049983 | Conductive paste and solar cell | NAMICS CORPORATION |
11049984 | Optimized static radiation collector | SOLIGHT LTD |
11049985 | Photo detection device using resonance and related method | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11049986 | Solar cell and a solar panel for generating electrical power from sunlight | HAT TEKNOLOJI A.S. |
11049987 | Solar module and method of manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11049988 | High photoelectric conversion efficiency solar cell and method for manufacturing high photoelectric conversion efficiency solar cell | SHIN-ETSU CHEMICAL CO., LTD. |
11049989 | Colorless luminescent solar concentrator, free of heavy metals, based on at least ternary chalcogenide semiconductor nanocrystals with absorption extending to the near infrared region | UNIVERSITA' DEGLI STUDI DI MILANO—BICOCCA |
11049990 | Heterostructure optoelectronic device for emitting and detecting electromagnetic radiation, and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
11049991 | Manufacturing method of an optical module | LITE-ON SINGAPORE PTE. LTD. |
11049992 | Dual wavelength light emitting device, dual wavelength light transceiving device and display | -- |
11049993 | Method for preparing aluminum nitride-zinc oxide ultraviolet detecting electrode | -- |
11049994 | Light emitting diodes with n-polarity and associated methods of manufacturing | MICRON TECHNOLOGY, INC. |
11049995 | High-efficiency long-wavelength light-emitting device | SEOUL VIOSYS CO., LTD. |
11049997 | Optoelectronic device comprising three-dimensional semiconductor structures with a wider single-crystal portion | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11049998 | Electroluminescent display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11049999 | Template, nitride semiconductor ultraviolet light-emitting element, and method of manufacturing template | SOKO KAGAKU CO., LTD. |
11050000 | Light-emitting element and manufacturing method thereof | -- |
11050001 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11050002 | Method for producing a semiconductor chip and semiconductor chip | OSRAM OLED GMBH |
11050003 | Narrow-band red phosphors for LED lamps | INTEMATIX CORPORATION |
11050004 | Micro panchromatic QLED array device based on quantum dot transfer process of deep silicon etching templates, and preparation method therefor | NANJING UNIVERSITY |
11050005 | Phosphor and light emitting device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11050006 | Display device and light source module having high color conversion efficiency thereof | -- |
11050007 | Light emitting device | NICHIA CORPORATION |
11050008 | Display apparatus and method of manufacturing the same | SEOUL SEMICONDUCTOR CO., LTD. |
11050009 | Methods for annealing qubits with an antenna chip | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050010 | Flux-biasing superconducting quantum processors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050011 | Sensor assembly for gas turbine engines | RAYTHEON TECHNOLOGIES CORPORATION |
11050012 | Method to protect electrodes from oxidation in a MEMS device | -- |
11050014 | Memory device | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11050015 | Storage device and method for manufacturing storage device | TOSHIBA MEMORY CORPORATION |
11050016 | Semiconductor devices including spin-orbit torque line and contact plug | SAMSUNG ELECTRONICS CO., LTD. |
11050017 | Semiconductor device and method for fabricating the same | -- |
11050018 | Memory device | -- |
11050019 | Memory devices including phase change material elements | OVONYX MEMORY TECHNOLOGY, LLC |
11050020 | Methods of forming devices including multi-portion liners | MICRON TECHNOLOGY, INC. |
11050021 | Method for manufacturing resistive random access memory structure | -- |
11050022 | Radio frequency (RF) switches having phase-change material (PCM) and heat management for increased manufacturability and performance | NEWPORT FAB, LLC |
11050023 | CBRAM with controlled bridge location | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11050024 | Method of manufacturing surface-modified polymer film and method of fabricating organic electronic device comprising the same | CENTER FOR ADVANCED SOFT ELECTRONICS |
11050025 | Material for organic electroluminescent device and organic electroluminescent device including the same | SAMSUNG DISPLAY CO., LTD. |
11050026 | Spiro compound and organic light-emitting element comprising same | LG CHEM, LTD. |
11050027 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11050028 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11050030 | OLED display and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050031 | Nanoscale light emitting diode, and methods of making same | UNIVERSITY OF PITTSBURGH-OF THE COMMONWEALTH SYSTEM OF HIGHER EDUCATION |
11050032 | Light-emitting element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11050033 | Light-emitting film, production method thereof, and a light emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11050034 | Quantum dot light emitting diode and quantum dot display device including the same | LG DISPLAY CO., LTD. |
11050035 | Light emitting diode and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11050036 | Electrode contacts | IGNIS INNOVATION INC. |
11050037 | Full-screen display device based on flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050038 | Display device with enhanced damage resistance and method for manufacturing the same | LG DISPLAY CO., LTD. |
11050039 | Organic light emitting display device and method for manufacturing cover window thereof | LG DISPLAY CO., LTD. |
11050040 | Method for encapsulating display substrate and display device having a photo-isomerization material layer between first and second barrier walls | BOE TECHNOLOGY GROUP CO., LTD. |
11050041 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11050042 | Display panel motherboard, display panel and method of manufacturing the same | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11050043 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11050044 | Electronic devices with light sensors and displays | APPLE INC. |
11050045 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11050291 | Systems and methods for energy storage using phosphorescence and waveguides | NIMBUS ENGINEERING INC. |
11050339 | Integrated circuit with multiple gallium nitride transistor sets | EFFICIENT POWER CONVERSION CORPORATION |
11050407 | Electronic devices formed in a cavity between substrates | SKYWORKS SOLUTIONS, INC. |
11050798 | Methods for establishing peer-to-peer communications using distributed call ledgers | MITEL NETWORKS CORPORATION |
11050810 | Method and apparatus for transmitting and receiving image data for virtual-reality streaming service | SAMSUNG ELECTRONICS CO., LTD. |
11051099 | Sound reproduction device and sound reproduction system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11051113 | Piezoelectric acoustic MEMS transducer and fabrication method thereof | STMICROELECTRONICS S.R.L. |
11051114 | Transducer | BAE SYSTEMS PLC |
11051394 | Optical module and method of manufacturing optical module comprising an optical active device disposed in the recess of a component mounting block | SHUNSIN TECHNOLOGY (ZHONG SHAN) LIMITED |
11051405 | Flexible display | -- |
11051626 | Foothold including thermoelectric module | LG ELECTRONICS INC. |
11052425 | Ultrasonic probe with heat dissipation | KONICA MINOLTA, INC. |
11052824 | Rear view device and vehicle with such rear view device | SMR PATENTS S.à.R.L. |
11053116 | MEMS acoustic pressure sensor device and method for making same | -- |
11053161 | Glass fluorescent powder slice with multi-layer structure and preparation method therefor, and light-emitting device | APPOTRONICS CORPORATION LIMITED |
11053228 | Condensed cyclic compound, composition including the condensed cyclic compound, and organic light-emitting device including the condensed cyclic compound | SAMSUNG ELECTRONICS CO., LTD. |
11053229 | Compound, material for organic electroluminescent element, organic electroluminescent element, and electronic device | IDEMITSU KOSAN CO., LTD. |
11053268 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11053382 | Resin composition, laminate, semiconductor wafer with resin composition layer, substrate for mounting semiconductor with resin composition layer, and semiconductor device | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11053435 | Quantum dot (QD) delivery method | OSRAM OPTO SEMICONDUCTORS GMBH |
11053436 | Surface modified nanoparticles | NANOCO TECHNOLOGIES, LTD. |
11053437 | Compound, material for organic electroluminescent devices, organic electroluminescent device and electronic device | IDEMITSU KOSAN CO., LTD. |
11053438 | Fluoride-based phosphors for light emitting device | INDUSTRY-ACADEMIA COOPERATION GROUP OF SEJONG UNIVERSITY |
11053579 | Fine metal mask, display substrate, and alignment method therefor | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11053607 | Silicon carbide epitaxial substrate and method for manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11054088 | Light emitting bulb | -- |
11054090 | High gamut index solid-state white light emitting devices | INTEMATIX CORPORATION |
11054109 | Single-emitter lighting device that outputs a minimum amount of power to produce integrated radiance values sufficient for deactivating pathogens | KENALL MANUFACTURING COMPANY |
11054110 | Single-emitter lighting device that outputs a minimum amount of power to produce integrated radiance values sufficient for deactivating pathogens | KENALL MANUFACTURING COMPANY |
11054112 | Ceramic phosphor with lateral light barriers | LUMILEDS LLC |
11054259 | Angular velocity sensor, sensor element, and multi-axis angular velocity sensor | KYOCERA CORPORATION |
11054260 | Vibration type angular velocity sensor | DENSO CORPORATION |
11054312 | Radiation-defect mitigation in InAs/GaSb strained-layer superlattice infrared detectors and related methods | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11054327 | Microelectromechanical piezoresistive pressure sensor with self-test capability and corresponding manufacturing process | STMICROELECTRONICS S.R.L. |
11054384 | Sensor system and methods of making | NANODX, INC. |
11054385 | Gas sensor | HITACHI METALS, LTD. |
11054386 | VeSFET chemical sensor and methods of use thereof | THE CHARLES STARK DRAPER LABORATORY, INC. |
11054463 | Method and system for measuring thermal stability factor of magnetic tunnel junction device, semiconductor integrated circuit, and production management method for semiconductor integrated circuit | TOHOKU UNIVERSITY |
11054490 | Magnetic field detection device | TDK CORPORATION |
11054533 | Sensor chip | FORSCHUNGSZENTRUM JUELICH GMBH |
11054552 | Optoelectronic lighting apparatus and display device | OSRAM OLED GMBH |
11054593 | Chip-scale optoelectronic transceiver with microspringed interposer | PALO ALTO RESEARCH CENTER INCORPORATED |
11054594 | Optical module | HISENSE BROADBAND MULTIMEDIA TECHNOLOGIES CO., LTD. |
11054673 | Photonic devices | RAYTHEON BBN TECHNOLOGIES CORP. |
11054694 | Lens, light source device, backlight unit, and electronic device | LG DISPLAY CO., LTD. |
11054695 | Chip-mounted board, display device, and method for producing chip-mounted board | SHARP KABUSHIKI KAISHA |
11054706 | Display device | SAMSUNG DISPLAY CO., LTD. |
11054716 | Scanning device | AMI RESEARCH & DEVELOPMENT, LLC |
11054861 | Electronic devices having displays with expanded edges | APPLE INC. |
11054937 | Display device having detection electrode | JAPAN DISPLAY INC. |
11054950 | Display device and touch sensor | SAMSUNG DISPLAY CO., LTD. |
11055612 | Voltage controlled highly linear resistive elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055625 | Quantum bits by electrostatic gating superconducting rings | IMEC VZW |
11056025 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056052 | Display device and electronic apparatus | SONY CORPORATION |
11056139 | Semi-flexible structure for micro-positioning a write/read head | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056181 | Strap cell design for static random access memory (SRAM) array | -- |
11056187 | Memory device with read-write-read memory controller | SAMSUNG ELECTRONICS CO., LTD. |
11056188 | Three dimensional nonvolatile memory device including channel structure and resistance change memory layer | SK HYNIX INC. |
11056265 | Magnetic field generation with thermovoltaic cooling | CALAGEN, INC. |
11056287 | Isodiketopyrrolopyrrole dye and use thereof | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11056309 | Method and device for implanting ions in wafers | MI2-FACTORY GMBH |
11056322 | Method and apparatus for determining process rate | LAM RESEARCH CORPORATION |
11056324 | System and method for particle control in MRAM processing | -- |
11056338 | Method for printing wide bandgap semiconductor materials | THE JOHNS HOPKINS UNIVERSITY |
11056341 | Optical semiconductor element and method of manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11056342 | Method for silicidation of semiconductor device, and corresponding semiconductor device | STMICROELECTRONICS (CROLLES 2) SAS |
11056363 | Electromagnetic tool for transferring micro devices and methods of transfer | LUX NOUR TECHNOLOGIES, INC. |
11056368 | Chip transferring method and the apparatus thereof | -- |
11056375 | Micro LED carrier board | -- |
11056376 | Removing an organic sacrificial material from a two-dimensional material | IMEC VZW |
11056382 | Cavity formation within and under semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11056384 | Method for forming contact plug | -- |
11056386 | Two-dimensional (2D) self-aligned contact (or via) to enable further device scaling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056391 | Subtractive vFET process flow with replacement metal gate and metallic source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056392 | FinFET devices having gate stacks with protruding parts and method of forming the same | -- |
11056394 | Methods for fabricating FinFETs having different fin numbers and corresponding FinFETs thereof | -- |
11056396 | Gate-all-around devices having gate dielectric layers of varying thicknesses and method of forming the same | -- |
11056397 | Directional spacer removal for integrated circuit structures | INTEL CORPORATION |
11056398 | Forming interconnect without gate cut isolation blocking opening formation | GLOBALFOUNDRIES U.S. INC. |
11056399 | Source and drain EPI protective spacer during single diffusion break formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056400 | Semiconductor device and method | -- |
11056401 | Semiconductor device and method for manufacturing the same | -- |
11056402 | Integrated circuit chip and manufacturing method therefor, and gate drive circuit | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11056406 | Stack of multiple deposited semiconductor layers | APPLIED MATERIALS, INC. |
11056413 | Combined inductor and heat transfer device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056428 | Semiconductor device extension insulation | -- |
11056430 | Thin film based semiconductor devices and methods of forming a thin film based semiconductor device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11056434 | Semiconductor device having specified p-type dopant concentration profile | -- |
11056441 | Electromagnetic shielding of compact electronic modules | APPLE INC. |
11056449 | Guard ring structures and their methods of fabrication | INTEL CORPORATION |
11056472 | Stretchable display device | LG DISPLAY CO., LTD. |
11056473 | Micro light source array, display device having the same, and method of manufacturing display device | SAMSUNG ELECTRONICS CO., LTD. |
11056475 | Semiconductor module | FUJI ELECTRIC CO., LTD. |
11056477 | Semiconductor device having a first cell row and a second cell row | SOCIONEXT INC. |
11056478 | Metal gate structure cutting process | -- |
11056480 | Method of forming a TVS semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11056482 | Semiconductor device with electrostatic discharge protection | KEY FOUNDRY CO., LTD |
11056484 | Semiconductor device with multiple trench structures | MITSUBISHI ELECTRIC CORPORATION |
11056485 | Semiconductor device having three-dimensional structure and method of manufacturing the same | SK HYNIX INC. |
11056486 | Semiconductor device with multiple threshold voltage and method of fabricating the same | -- |
11056488 | Metal-oxide-semiconductor device | -- |
11056489 | Integrated circuit devices including vertical field-effect transistors (VFETs) | SAMSUNG ELECTRONICS CO., LTD. |
11056490 | Process enhancement using double sided epitaxial on substrate | TEXAS INSTRUMENTS INCORPORATED |
11056491 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056493 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056495 | Structure of memory device having floating gate with protruding structure | -- |
11056499 | Semiconductor memory device | SK HYNIX INC. |
11056500 | Semiconductor memory device | SK HYNIX INC. |
11056501 | Three-dimensional NAND memory device with source line comprising metallic and semiconductor layers | TOSHIBA MEMORY CORPORATION |
11056502 | Semiconductor device including multi-stack structure | SAMSUNG ELECTRONICS CO., LTD. |
11056504 | Memory device | -- |
11056508 | Ferroelectric memory device | SK HYNIX INC. |
11056509 | Display device having a plurality of thin-film transistors with different semiconductors | LG DISPLAY CO., LTD. |
11056510 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056514 | Manufacturing method for display device | JAPAN DISPLAY INC. |
11056515 | Logic circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056516 | Power storage element, manufacturing method thereof, and power storage device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056517 | Monolithic thin film elements and performance electronics, solar powered systems and fabrication | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11056525 | Semiconductor photomultiplier | SENSL TECHNOLOGIES LTD |
11056527 | Metal oxide interface passivation for photon counting devices | GENERAL ELECTRIC COMPANY |
11056529 | Image-sensor chip-scale package and method for manufacture | OMNIVISION TECHNOLOGIES, INC. |
11056531 | Method of fabricating a monolithic sensor device from a layered structure | THE UNIVERSITY COURT OF THE UNIVERSITY OF GLASGOW |
11056532 | Techniques for monolithic co-integration of polycrystalline thin-film bulk acoustic resonator devices and monocrystalline III-N semiconductor transistor devices | INTEL CORPORATION |
11056533 | Bipolar junction transistor device with piezoelectric material positioned adjacent thereto | GLOBALFOUNDRIES U.S. INC. |
11056534 | One selector one resistor MRAM crosspoint memory array fabrication methods | SANDISK TECHNOLOGIES LLC |
11056535 | Non-volatile memory element arrays in a wheatstone bridge arrangement | GLOBALFOUNDRIES U.S. INC. |
11056536 | Semiconductor device and method for fabricating the same | -- |
11056537 | Self-aligned gate contact integration with metal resistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056539 | Photoelectric conversion element and solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11056540 | Plasmonic PHOLED arrangement for displays | UNIVERSAL DISPLAY CORPORATION |
11056541 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11056542 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11056543 | Display panel and manufacturing method thereof | -- |
11056545 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11056546 | Display device and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11056547 | Organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11056548 | Display panel | -- |
11056549 | Active matrix organic light emitting diode panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056550 | Display panel, manufacturing method thereof, and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056551 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056552 | Display panel and method of manufacturing same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056553 | Organic light emitting diode display substrate, manufacturing method thereof, and display device comprising organic light emitting diode display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11056554 | Display device | SONY CORPORATION |
11056555 | Semiconductor device having 3D inductor and method of manufacturing the same | -- |
11056556 | Metal-insulator-metal capacitive structure and methods of fabricating thereof | -- |
11056557 | Semiconductor device including a semi-insulating layer contacting a first region at a first surface of a semiconductor layer | KABUSHIKI KAISHA TOSHIBA |
11056558 | Semiconductor device and semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11056559 | Gas sensor and method for manufacturing same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY ERICA CAMPUS |
11056560 | Hetero-epitaxial output device array with serial connections | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11056561 | Silicided source/drain terminals for field-effect transistors | GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG. |
11056563 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056564 | Method of manufacturing a memory device | -- |
11056565 | Flash memory device and method | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
11056566 | Split gate memory device and method of fabricating the same | -- |
11056567 | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures | ASM IP HOLDING B.V. |
11056568 | Method for manufacturing horizontal-gate-all-around devices with different number of nanowires | -- |
11056569 | Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
11056570 | Nanosheet transistor with dual inner airgap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056571 | Memory cells and integrated structures | MICRON TECHNOLOGY, INC. |
11056572 | Semiconductor device and method for manufacturing the same | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11056573 | Implantation and annealing for semiconductor device | -- |
11056574 | Stacked semiconductor device and method of forming same | IMEC VZW |
11056575 | Power semiconductor device with alternating source region and body contact region and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11056576 | Method of manufacturing semiconductor device | -- |
11056577 | Thin-film transistor and manufacturing method for the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056578 | Method of forming shaped source/drain epitaxial layers of a semiconductor device | -- |
11056579 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11056580 | Semiconductor device and manufacturing method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11056581 | Trench-gate insulated-gate bipolar transistors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11056582 | Bidirectional phase controlled thyristor (BiPCT)—a new semiconductor device concept | ABB POWER GRIDS SWITZERLAND AG |
11056583 | OR gate based on electron interference | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11056584 | Semiconductor device | DENSO CORPORATION |
11056585 | Small pitch super junction MOSFET structure and method | IPOWER SEMICONDUCTOR |
11056586 | Techniques for fabricating charge balanced (CB) trench-metal-oxide-semiconductor field-effect transistor (MOSFET) devices | GENERAL ELECTRIC COMPANY |
11056587 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11056588 | Vertical transport field effect transistor with bottom source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056589 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056590 | Sensing device for high voltage applications | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11056591 | Epitaxial structures of semiconductor devices that are independent of local pattern density | GLOBALFOUNDRIES U.S. INC. |
11056592 | Silicon substrate modification to enable formation of thin, relaxed, germanium-based layer | INTEL CORPORATION |
11056593 | Semiconductor devices with metal contacts including crystalline alloys | INTEL CORPORATION |
11056594 | Semiconductor device having fin structures | -- |
11056595 | Semiconductor device and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11056596 | Semiconductor device and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
11056597 | Photoelectric conversion device, photosensor, power generation device, and photoelectric conversion method | RIKEN |
11056598 | Solar cell | LG ELECTRONICS INC. |
11056599 | Micro-scale concentrated photovoltaic module | THE ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11056600 | Photoelectric conversion element, photoelectric conversion element module, electronic device, and power supply module | RICOH COMPANY, LTD. |
11056601 | Solar cell | PANASONIC CORPORATION |
11056602 | Device, system, and method for selectively tuning nanoparticles with graphene | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11056603 | Photodetectors with controllable resonant enhancement | -- |
11056604 | Photodiode of avalanche breakdown having mixed composite charge layer | -- |
11056605 | Detection panel and manufacturing method thereof | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056606 | Photodetector and method of manufacture | VISIONARY SEMICONDUCTOR INC. |
11056607 | Complex sensing device packaging structure and packaging method | -- |
11056608 | Infrared detection film, infrared detection sensor and infrared detection display apparatus including the infrared detection film, and method of making the infrared detection film | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11056610 | Method of forming a metal silicide transparent conductive electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056611 | Mesa formation for wafer-to-wafer bonding | FACEBOOK TECHNOLOGIES, LLC |
11056612 | Light emitting element | NICHIA CORPORATION |
11056613 | Method for production of quantum rods with precisely controllable wavelength of emission | THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11056614 | Micro light-emitting diode chip | -- |
11056615 | Method for manufacturing light emitting module with concave surface light guide plate | NICHIA CORPORATION |
11056617 | Manufacturing method of light-emitting device having a recess defined by a base and lateral surfaces of a first and a second wall | NICHIA CORPORATION |
11056618 | Light emitting device with high near-field contrast ratio | LUMILEDS LLC |
11056619 | Quantum dot materials and method of manufacturing thereof | -- |
11056620 | Light emitting device and plant cultivation method | NICHIA CORPORATION |
11056621 | Optoelectronic device | OSRAM OLED GMBH |
11056622 | Light source module and backlight unit having the same | SEOUL SEMICONDUCTOR CO., LTD. |
11056623 | Light-emitting device and method of manufacturing light-emitting device | NICHIA CORPORATION |
11056624 | Method of manufacturing package and method of manufacturing light-emitting device | NICHIA CORPORATION |
11056625 | Clear coating for light emitting device exterior having chemical resistance and related methods | CREELED, INC. |
11056626 | Micro light emitting device display apparatus and method of fabricating the same | -- |
11056627 | Light emitting device | NICHIA CORPORATION |
11056628 | Method of producing an optoelectronic semiconductor chip and optoelectronic semiconductor chip | OSRAM OLED GMBH |
11056629 | Mounting an LED element on a flat carrier | LUMILEDS LLC |
11056630 | Display module having glass substrate on which side wirings are formed and manufacturing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11056631 | Thermoelectric conversion module | YAMAHA CORPORATION |
11056632 | Thermoelectric conversion substrate, thermoelectric conversion module and method for producing thermoelectric conversion substrate | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11056633 | Rational method for the powder metallurgical production of thermoelectric components | EVONIK OPERATIONS GMBH |
11056634 | Josephson magnetic memory with a semiconductor-based magnetic spin valve | MICROSOFT TECHNOLOGY LICENSING, LLC |
11056635 | Electronic component housing package, electronic device, and electronic module | KYOCERA CORPORATION |
11056636 | Oscillator, method of manufacturing oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11056637 | Metal strip and coil coating process | VOESTALPINE STAHL GMBH |
11056638 | Method of manufacturing an inkjet print head and an inkjet print head with induced crystal phase change actuation | CANON PRODUCTION PRINTING HOLDING B.V. |
11056639 | Magnetoresistance effect element | TDK CORPORATION |
11056640 | Magnetoresistive memory device including a high dielectric constant capping layer and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11056641 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11056642 | Magnetoresistance effect element | TDK CORPORATION |
11056643 | Magnetic tunnel junction (MTJ) hard mask encapsulation to prevent redeposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056644 | Phase-change memory cell with vanadium oxide based switching layer | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11056645 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11056646 | Memory device having programmable impedance elements with a common conductor formed below bit lines | ADESTO TECHNOLOGIES CORPORATION |
11056647 | Ion-based nanoelectric memory | THE AEROSPACE CORPORATION |
11056648 | Semiconductor device including variable resistance element | SK HYNIX INC. |
11056649 | Transition metal doped germanium-antimony tellurium (GST) memory device components and composition | MICRON TECHNOLOGY, INC. |
11056650 | Film of quantum dot, method for patterning the same and quantum dot light emitting device using the same | FOUNDATION OF SOONGSIL UNIVERSITY-INDUSTRY COOPERATION |
11056651 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11056652 | Compounds and organic electronic devices | MERCK PATENT GMBH |
11056653 | Organic electroluminescence device | HODOGAYA CHEMICAL CO., LTD. |
11056654 | Carbazole-based compound and organic light emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11056655 | Organic compound for optoelectric device and organic optoelectric device and display device | SAMSUNG SDI CO., LTD. |
11056656 | Organoboron complexes and their use in organic electroluminescent devices | MERCK PATENT GMBH |
11056657 | Organic electroluminescent materials and devices | UNIVERSITY DISPLAY CORPORATION |
11056658 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11056659 | Display panels and display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11056660 | Organic optoelectronic component and method for producing the same | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
11056661 | Color conversion layer, manufacturing method thereof, and display panel | -- |
11056662 | Organic light-emitting device and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11056663 | Electroluminescent device having color layers in patterned pixel define layer | -- |
11056664 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11056665 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11056666 | Display panel, fabricating method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056668 | Display device | JAPAN DISPLAY INC. |
11056669 | Flip-chip light emitting diode and manufacturing method thereof | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056670 | Organic light-emitting diode (OLED) display and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11056671 | Backplate having graphene layer on polyethylene terephthalate layer and flexible display panel thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056672 | Display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11056673 | Light emitting device | PIONEER CORPORATION |
11056674 | Organic light-emitting display device including protective layer having edges over pixel-defining layer | SAMSUNG DISPLAY CO., LTD. |
11056675 | Display panel and display device comprising the same | LG DISPLAY CO., LTD. |
11056676 | Method of brightness enhancement layer with sub-wavelength structure for a light-emitting element | -- |
11056677 | Display substrate, method and device for manufacturing display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11056683 | Electrode plate processing device | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11056796 | Directly flat-attached switching component for active frequency selective surface and fabricating method thereof | -- |
11056812 | Method of manufacturing an actuator device | BROTHER KOGYO KABUSHIKI KAISHA |
11056933 | Transceiver assembly for free space power transfer and data communication system | PHION TECHNOLOGIES CORP. |
11056985 | Microelectromechanical system and control method to control a piezoelectric drive based on an admittance or impedance of the piezoelectric drive | ROBERT BOSCH GMBH |
11056997 | Universal photovoltaic laminate | SUNPOWER CORPORATION |
11057018 | Acoustically coupled resonator notch and bandpass filters | INFINEON TECHNOLOGIES AG |
11057019 | Non-volatile adjustable phase shifter using non-volatile radio frequency (RF) switch | NEWPORT FAB, LLC |
11057033 | Hybrid power module | CREE, INC. |
11057078 | Wireless communication system | CANON KABUSHIKI KAISHA |
11057183 | Nonvolatile semiconductor devices including non-circular shaped channel patterns and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11057223 | Anti-counterfeit communication system | -- |
11057551 | Image capturing and display apparatus and wearable device | CANON KABUSHIKI KAISHA |
11057554 | Display device with hollowed part in backlight | SHARP KABUSHIKI KAISHA |
11057991 | Waterproof stretchable optoelectronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11058021 | Cover window for display device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11058396 | Low voltage, low power MEMS transducer with direct interconnect capability | EXO IMAGING INC. |
11059277 | Curved screen laminating apparatus and laminating method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11059781 | Compound, material for organic electroluminescent elements, organic electroluminescent element, and electronic device | IDEMITSU KOSAN CO., LTD. |
11059972 | Curable organopolysiloxane composition and optical semiconductor device | DOW SILICONES CORPORATION |
11059978 | Chlorinated copper phthalocyanine pigments | SUN CHEMICAL CORPORATION |
11059986 | Composition comprising a nanosized light emitting material | MERCK PATENT GMBH |
11060025 | Oxynitride fluorescent material, light emitting device, and method for producing oxynitride fluorescent material | NICHIA CORPORATION |
11060026 | Electronic device including quantum dots | SAMSUNG ELECTRONICS CO., LTD. |
11060672 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11060689 | Light-emitting devices, headlamps for vehicles, and vehicles including the same | SAMSUNG ELECTRONICS CO., LTD. |
11060698 | Phosphor, light emitting device package, and electronic apparatus | LG DISPLAY CO., LTD. |
11060702 | Lighting system with lens assembly | ECOSENSE LIGHTING INC. |
11060846 | Scatterometry based methods and systems for measurement of strain in semiconductor structures | KLA CORPORATION |
11060904 | Electronic device and ultraviolet light measuring method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11060908 | Display module and method for monitoring backlight brightness comprising an array substrate with plural gate lines, data lines and a photosensitive unit | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11060916 | Adjustable hyperspectral detection chip enhanced by multi-resonance plasmonic mechanism | SOUTHEAST UNIVERSITY |
11060922 | Optical detector | TRINAMIX GMBH |
11060988 | Track pin communication system and method | CATERPILLAR INC. |
11060997 | Quantum dot biosensor | LG CHEM, LTD. |
11061064 | Semiconductor device and method for detecting cracks | -- |
11061086 | Magnetic device with magnetic structure and micro-fluidic structure | ANALOG DEVICES GLOBAL |
11061117 | Devices with ultra-small vertical cavity surface emitting laser emitters incorporating beam steering | SENSE PHOTONICS, INC. |
11061137 | Proximity detection device and method comprising a pulse transmission circuit to transmit into a scene plural optical pulses with different pulse durations during a detection period | STMICROELECTRONICS (GRENOBLE 2) SAS |
11061146 | Nanosheet radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061176 | Polarizer, polarizing plate, and image display apparatus | NITTO DENKO CORPORATION |
11061221 | Micromechanical component, method for manufacturing a micromechanical component, and method for exciting a movement of an adjustable part about a rotational axis | ROBERT BOSCH GMBH |
11061263 | Touch-panel-equipped display device | SHARP KABUSHIKI KAISHA |
11061276 | Laser array display | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11061333 | Manufacturing method of semiconductor device and semiconductor processing system | -- |
11061435 | Display device | SAMSUNG DISPLAY CO., LTD. |
11061439 | Folding device display | SAMSUNG DISPLAY CO., LTD. |
11061440 | Deformable display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11061457 | Dynamic sharing of power among USB type-c power delivery (USB-C/PD) ports | CYPRESS SEMICONDUCTOR CORPORATION |
11061498 | Display module | SAMSUNG DISPLAY CO., LTD. |
11061505 | Display device | LG DISPLAY CO., LTD. |
11061519 | Pseudo-piezoelectric d33 vibration device and display integrating the same | -- |
11062075 | Integrated circuit and method for manufacturing same | -- |
11062114 | Display device including sensor | LG DISPLAY CO., LTD. |
11062204 | Voltage controlled highly linear resistive elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062205 | Hybrid neuromorphic computing display | UNIVERSAL DISPLAY CORPORATION |
11062576 | Anti-theft protection system for solar panel | JAIN IRRIGATION SYSTEMS LIMITED |
11062653 | Display apparatus and operation method for display panel thereof | -- |
11062666 | Semiconductor device and electronic device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11062752 | Spin orbit torque memory devices and methods of fabrication | INTEL CORPORATION |
11062767 | Techniques for programming neural memory unit using cell conditioning | MICRON TECHNOLOGY, INC. |
11062771 | Variable resistance memory with lattice array using enclosing transistors | OVONYX MEMORY TECHNOLOGY, LLC |
11062818 | Stacking structure having material layer on graphene layer and method of forming material layer on graphene layer | SAMSUNG ELECTRONICS CO., LTD. |
11062826 | Nanocomposite magnetic materials for magnetic devices and systems | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11062876 | Evaluation method and evaluation apparatus for electronic device | TOHOKU UNIVERSITY |
11062890 | Photocathodes with an enhancement layer and method of making the same | TRIAD NATIONAL SECURITY, LLC |
11062902 | Heterostructure system and method of fabricating the same | RAMOT AT TEL-AVIV UNIVERSITY LTD. |
11062907 | Nitride semiconductor device | FUJI ELECTRIC CO., LTD. |
11062908 | Contact structure | -- |
11062911 | Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic | TESSERA, INC. |
11062923 | Apparatus to control transfer parameters during transfer of semiconductor devices | ROHINNI, LLC |
11062932 | Method of transferring micro devices | MIKRO MESA TECHNOLOGY CO., LTD. |
11062937 | Dielectric isolation for nanosheet devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062940 | Organometallic precursors, methods of forming a layer using the same and methods of manufacturing semiconductor devices using the same | SAMSUNG ELECTRONICS CO., LTD. |
11062941 | Contact conductive feature formation and structure | -- |
11062945 | Methods for reducing contact depth variation in semiconductor fabrication | -- |
11062946 | Self-aligned contact on a semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062949 | Method of manufacturing power device with improved the utilization rate of wafer area | -- |
11062950 | Electronic devices and systems, and methods for making and using the same | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11062951 | Method of manufacturing of a field effect transistor having a junction aligned with spacers | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11062953 | Semiconductor device and method for fabricating the same | -- |
11062954 | Semiconductor device and method for fabricating the same | -- |
11062955 | Vertical transistors having uniform channel length | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062956 | Low resistance source-drain contacts using high temperature silicides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062957 | FinFET device with wrapped-around epitaxial structure and manufacturing method thereof | -- |
11062959 | Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062960 | Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062961 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11062962 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11062965 | Flipped vertical field-effect-transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062973 | Synthetic diamond heat spreaders | ELEMENT SIX TECHNOLOGIES LIMITED |
11062977 | Shield structure for backside through substrate vias (TSVs) | -- |
11062989 | Assemblies which include wordlines over gate electrodes | MICRON TECHNOLOGY, INC. |
11063014 | Semiconductor devices including a metal silicide layer and methods for manufacturing thereof | INFINEON TECHNOLOGIES AG |
11063018 | Semiconductor device assemblies with electrically functional heat transfer structures | MICRON TECHNOLOGY, INC. |
11063024 | Method to form a 3D semiconductor device and structure | MONLITHIC 3D INC. |
11063026 | Display module and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11063029 | Method for forming an electro-optical system | STMICROELECTRONICS S.R.L. |
11063032 | Semiconductor device layout | -- |
11063034 | Capacitor structures | MICRON TECHNOLOGY, INC. |
11063035 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11063036 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11063037 | Devices, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11063039 | Semiconductor device structure and method for forming the same | -- |
11063040 | Quantum dot devices | INTEL CORPORATION |
11063042 | Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures | -- |
11063043 | Method for forming fin field effect transistor (FinFet) device structure | -- |
11063044 | Metal gate modulation to improve kink effect | -- |
11063046 | Multi-die fine grain integrated voltage regulation | APPLE INC. |
11063047 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063052 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION SHANGHAI, CHINA |
11063053 | Integrated circuit and static random access memory thereof | -- |
11063058 | Memory device with metal gate | -- |
11063059 | Semiconductor structures including dielectric materials having differing removal rates | MICRON TECHNOLOGY, INC. |
11063063 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11063064 | Semiconductor memory device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11063066 | C-axis alignment of an oxide film over an oxide semiconductor film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063071 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
11063072 | Image capturing apparatus and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11063073 | Apparatus and methods for curved focal plane array | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11063075 | Semiconductor image sensor including separate low-voltage and high-voltage regions | SAMSUNG ELECTRONICS CO., LTD. |
11063079 | Germanium based focal plane array for the short infrared spectral regime | TRIEYE LTD. |
11063082 | Methods of making semiconductor X-ray detector | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11063083 | Light-shielded cameras and methods of manufacture | OMNIVISION TECHNOLOGIES, INC. |
11063084 | Method for manufacturing light-emitting element | NICHIA CORPORATION |
11063086 | Semiconductor devices and methods for manufacturing the same | -- |
11063087 | Light-emitting device | -- |
11063088 | Magnetic memory devices and methods of fabrication | INTEL CORPORATION |
11063089 | Resistive memory device with meshed electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063090 | Image sensor and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11063092 | Display device | SAMSUNG DISPLAY CO., LTD. |
11063093 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11063094 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063095 | Array substrate, display panel having the same, and method of fabricating array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11063096 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11063097 | Transparent display device | LG DISPLAY CO., LTD. |
11063098 | Method for fabricating display panel having carbon quantum dot layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063100 | Display device to prevent a cathode electrode from being shorted | LG DISPLAY CO., LTD. |
11063101 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
11063102 | Light emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063104 | Light emitting display device | LG DISPLAY CO., LTD. |
11063105 | Display panel and fabrication method, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11063106 | Top emission device and organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11063109 | Display unit | JOLED INC. |
11063110 | Conductive pattern with tapered angle, display device including the same, and method of manufacturing conductive pattern | SAMSUNG DISPLAY CO., LTD. |
11063111 | Semiconductor structure and manufacturing method for the same | -- |
11063112 | DRAM interconnect structure having ferroelectric capacitors exhibiting negative capacitance | STMICROELECTRONICS, INC. |
11063113 | Capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
11063114 | III-N to rare earth transition in a semiconductor structure | IQE PLC |
11063115 | Semiconductor device and method of making thereof | GENERAL ELECTRIC COMPANY |
11063116 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11063117 | Semiconductor device structure having carrier-trapping layers with different grain sizes | -- |
11063118 | TeraHertz capable integrated circuit | AVEROSES INCORPORATED |
11063119 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11063120 | Metal-graphene structures forming a lattice of interconnected segments | TEXAS INSTRUMENTS INCORPORATED |
11063122 | Silicon carbide semiconductor device and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11063123 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11063124 | High electron mobility transistor and fabrication method thereof | -- |
11063125 | Metal oxide film and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063126 | Metal contact isolation for semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063127 | Semiconductor element and semiconductor device provided with the same | ROHM CO., LTD. |
11063128 | Conformal source and drain contacts for multi-gate field effect transistors | -- |
11063129 | Self-limiting fin spike removal | ELPIS TECHNOLOGIES INC. |
11063130 | Semiconductor device and semiconductor circuit | KABUSHIKI KAISHA TOSHIBA |
11063131 | Ferroelectric or anti-ferroelectric trench capacitor with spacers for sidewall strain engineering | INTEL CORPORATION |
11063132 | Semiconductor device layout structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11063133 | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11063134 | Vertical transistors with top spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063135 | Semiconductor device and method for fabricating the same | -- |
11063136 | Semiconductor device structures with composite spacers and fabrication methods thereof | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11063137 | Asymmetric spacer for low capacitance applications | INTEL CORPORATION |
11063138 | Quantum dot devices | INTEL CORPORATION |
11063139 | Heterojunction bipolar transistors with airgap isolation | GLOBALFOUNDRIES U.S. INC. |
11063140 | Complementary transistor structures formed with the assistance of doped-glass layers | GLOBALFOUNDRIES U.S. INC. |
11063141 | Insulated gate field effect bipolar transistor and manufacturing method thereof | -- |
11063142 | Semiconductor device including silicon carbide body and method of manufacturing | INFINEON TECHNOLOGIES AG |
11063143 | Insulated-gate semiconductor device and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
11063144 | Silicon carbide semiconductor component | INFINEON TECHNOLOGIES AG |
11063145 | Silicon carbide semiconductor device and method for manufacturing same | DENSO CORPORATION |
11063146 | Back-to-back power field-effect transistors with associated current sensors | TEXAS INSTRUMENTS INCORPORATED |
11063147 | Forming bottom source and drain extension on vertical transport FET (VTFET) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063148 | High voltage depletion mode MOS device with adjustable threshold voltage and manufacturing method thereof | -- |
11063149 | Semiconductor device and manufacturing method thereof | -- |
11063150 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11063151 | Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures | INTEL CORPORATION |
11063152 | Semiconductor device and method | -- |
11063153 | Thin-film transistor structure and manufacturing method thereof, and display panel having the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063154 | TFT circuit board and display device having the same | JAPAN DISPLAY INC. |
11063155 | Display device including thin film transistor with active layer portions having different thicknesses | SAMSUNG DISPLAY CO., LTD. |
11063156 | Memory device and manufacturing method thereof | -- |
11063157 | Trench capacitor profile to decrease substrate warpage | -- |
11063158 | Sensors having resistive elements | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11063159 | Methods for routing electrical interconnections and resultant structures | FLIR SYSTEMS, INC. |
11063160 | Solar cell module | KANEKA CORPORATION |
11063161 | Monolithically integrated high voltage photovoltaics with textured surface formed during the growth of wide bandgap materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063162 | Current generation from radiation with diamond diode-based devices for detection or power generation | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11063163 | Infrared photo-detector with low turn-on voltage | HRL LABORATORIES, LLC |
11063164 | Method and materials to manufacture heterojunctions, diodes, and solar cells | -- |
11063165 | Optocoupler | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11063166 | System and method for shingling wafer strips connected in parallel | SUNPOWER CORPORATION |
11063168 | Inverted multijunction solar cells with distributed bragg reflector | SOLAERO TECHNOLOGIES CORP. |
11063169 | Substrate structuring methods | APPLIED MATERIALS, INC. |
11063170 | Two-step hole etching process | AZUR SPACE SOLAR POWER GMBH |
11063171 | Light emitting device, method of manufacturing light emitting device, and projector | SEIKO EPSON CORPORATION |
11063172 | Method for producing a device with light emitting and/or light receiving diodes and with self-aligned collimation grid | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11063173 | Method of manufacturing light emitting device | NICHIA CORPORATION |
11063174 | Light emitting diode and manufacturing method of light emitting diode | SAMSUNG ELECTRONICS CO., LTD. |
11063175 | Display device, substrate for display device and method for repairing display device | SEOUL SEMICONDUCTOR CO., LTD. |
11063176 | Light emitting device including covering member and first and second metal layers | NICHIA CORPORATION |
11063177 | Process for producing adjacent chips comprising LED wires and device obtained by the process | ALEDIA |
11063178 | Semiconductor heterostructure with improved light emission | SENSOR ELECTRONIC TECHNOLOGY, INC. |
11063179 | Light emitting structures with selective carrier injection into multiple active layers | OSTENDO TECHNOLOGIES, INC. |
11063180 | Support structure with sacrifice structure for light-emitting diode and manufacturing method thereof | -- |
11063181 | Patterned epitaxial substrate and semiconductor structure | -- |
11063182 | Optoelectronic component and method of manufacturing an optoelectronic component | OSRAM OLED GMBH |
11063183 | Light emitting element | NICHIA CORPORATION |
11063184 | Light emitting diode and fabrication method thereof | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063185 | Light emitting diode with zinc oxide layer and method of fabricating the same | SEOUL VIOSYS CO., LTD. |
11063186 | Method for producing light wavelength conversion member, light wavelength conversion member, light wavelength conversion component and light emitting device | NGK SPARK PLUG CO., LTD. |
11063187 | Light emitting device | NICHIA CORPORATION |
11063188 | Light emitting device | NICHIA CORPORATION |
11063189 | Cyan phosphor-converted LED module | LUMILEDS LLC |
11063190 | Light-emitting diode package component | KAISTAR LIGHTING(XIAMEN) CO., LTD. |
11063191 | Forming a multicolor phosphor-converted LED array | LUMILEDS LLC |
11063192 | Light emitting device with protected fluorescent substance | NICHIA CORPORATION |
11063193 | Colour micro-LED display apparatus | REALD SPARK, LLC |
11063194 | Ultra-small LED electrode assembly | SAMSUNG DISPLAY CO., LTD. |
11063195 | Electronic device and method for manufacturing the same | -- |
11063197 | Compound, thermoelectric conversion material, and method for producing compound | SUMITOMO CHEMICAL COMPANY, LIMITED |
11063198 | Metallic junction thermoelectric generator | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11063199 | Internally heated concentrated solar power (CSP) thermal absorber | THE BOEING COMPANY |
11063200 | Device for guiding charge carriers and use thereof | -- |
11063201 | Method for fabricating superconducting devices using a focused ion beam | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11063202 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
11063203 | Apparatus and method for poling a piezoelectric film | QUALCOMM INCORPORATED |
11063204 | Method of manufacture for single crystal acoustic resonator devices using micro-vias | AKOUSTIS, INC. |
11063205 | Vibration actuator and method for manufacturing the same | CANON KABUSHIKI KAISHA |
11063206 | Semiconductor device and method for fabricating the same | -- |
11063207 | Semiconductor device and method for fabricating the same | -- |
11063208 | Embedded MRAM fabrication process for ion beam etching with protection by top electrode spacer | -- |
11063209 | Method and system for providing magnetic junctions utilizing oxygen blocking, oxygen adsorber and tuning layer(s) | SAMSUNG ELECTRONICS CO., LTD. |
11063210 | Spin-orbit-torque magnetization rotational element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11063211 | Method for manufacturing an integrated magnetoresistive device | STMICROELECTRONICS S.R.L. |
11063212 | Magnetic tunnel junction device and formation method thereof | -- |
11063213 | Method for manufacturing memory device | -- |
11063214 | Two-terminal reversibly switchable memory device | HEFEI RELIANCE MEMORY LIMITED |
11063215 | Spacial arrangments of and critical dimensions for bit line contacts of three-dimensional phase-change memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11063216 | Confined phase change memory with double air gap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063217 | Semiconductor device | -- |
11063218 | Method of fabricating semiconductor devices using a two-step gap-fill process | SAMSUNG ELECTRONICS CO., LTD. |
11063219 | Organic photoelectric conversion element, and solar cell module and sensor provided with the same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11063220 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11063221 | Materials for electronic devices | MERCK PATENT GMBH |
11063222 | Organic semiconducting material and use thereof in organic devices | HELIATEK GMBH |
11063223 | Organic electron transport material and organic electroluminescent element using same | DYDEN CORPORATION |
11063224 | Organic electroluminescent device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11063225 | Light-emitting element, light-emitting device, electronic device, and lighting device including a nitrogen-containing six-membered heteroaromatic skeleton | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063226 | Organic electronic element comprising compound for organic electronic element and an electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11063227 | Electronic switching element | MERCK PATENT GMBH |
11063228 | Metal-assisted delayed fluorescent emitters employing benzo-imidazo-phenanthridine and analogues | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11063229 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11063230 | Flexible display apparatus | LG DISPLAY CO., LTD. |
11063231 | Light emitting device and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11063232 | Light-emitting element, light-emitting device, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063233 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11063234 | Organic light emitting diode display panel and method for manufacturing the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063235 | Display panel comprising auxiliary electrode layer and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063236 | Light-emitting element and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063237 | Flexible organic light-emitting display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11063238 | Display device | SAMSUNG DISPLAY CO., LTD. |
11063239 | Barrier film laminate for a touch-sensing display, method of manufacture, and displays comprising the barrier film laminate | SHPP GLOBAL TECHNOLOGIES B.V. |
11063240 | Display device having a buffer layer comprising a plurality of sub layers and interfaces | SAMSUNG DISPLAY CO., LTD. |
11063241 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11063242 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11063243 | Display apparatus and electronic device | SONY CORPORATION |
11063244 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11063245 | Display apparatus | LG DISPLAY CO., LTD. |
11063246 | Manufacturing method of organic light emitting diode back plate and the organic light emitting diode back plate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063375 | Connection instrument | ZEON CORPORATION |
11063404 | Bidirectionally emitting semiconductor laser devices | NLIGHT, INC. |
11063439 | Method and system for applying electric fields to multiple solar panels | SOLARLYTICS, INC. |
11063551 | Solar cell module and roof structure | KANEKA CORPORATION |
11063552 | Solar module | -- |
11063558 | Direct-current tuning of bulk acoustic wave resonator devices | TEXAS INSTRUMENTS INCORPORATED |
11063559 | High-implant channel semiconductor device and method for manufacturing the same | -- |
11063571 | Packaged electronic components | ZHUHAI CRYSTAL RESONANCE TECHNOLOGIES CO., LTD. |
11063599 | Apparatus and method for automatic search of sub-sampling phase locked loop (SS-PLL) locking acquisition | SAMSUNG ELECTRONICS CO., LTD. |
11063772 | Multi-cell per bit nonvolatile memory unit | -- |
11064276 | Panel bottom member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11064585 | Systems for providing tunable white light with high color rendering | ECOSENSE LIGHTING, INC. |
11064590 | Optoelectronic component, method for manufacturing an optoelectronic component and method for operating an optoelectronic component | OSRAM OLED GMBH |
11064608 | Display device | LG DISPLAY CO., LTD. |
11064610 | Laminated magnetic core inductor with insulating and interface layers | FERRIC INC. |
11064620 | Cover window for display device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11065662 | Press forming method for compound material | OHSUNG DISPLAY CO, LTD. |
11065723 | Laser etching apparatus and a method of laser etching using the same | SAMSUNG DISPLAY CO., LTD. |
11065859 | Device and method for disassembling solar cell module | KOREA INSTITUTE OF ENERGY RESEARCH |
11066294 | Micro-electro-mechanical actuator device of piezoelectric type and apparatus integrating the micro-electro-mechanical actuator device | STMICROELECTRONICS S.R.L. |
11066351 | Organic compound, three-dimensional organic framework formed by using organic compound, separation sieve and optical layer, which comprise organic framework, and optical device comprising optical layer as optical amplification layer | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11066382 | Organic compound and organic electroluminescent device comprising same | SOLUS ADVANCED MATERIALS CO., LTD. |
11066418 | Compound and thin film transistor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11066431 | Complex and method for producing same | KYOTO UNIVERSITY |
11066597 | Intraband transition-based infrared device of nonstoichiometric quantum dots | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11066600 | Lutetium nitride-based phosphor and light emitting device comprising same | GRIREM ADVANCED MATERIALS CO., LTD. |
11066739 | Sputtering target, method for manufacturing sputtering target, and method for forming thin film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11066742 | Vapor deposition mask | SHARP KABUSHIKI KAISHA |
11066757 | Diamond substrate and freestanding diamond substrate | SHIN-ETSU CHEMICAL CO., LTD. |
11067242 | Phosphor module | LG ELECTRONICS INC. |
11067245 | Light device, especially a signal lamp, for a motor vehicle | VARROC LIGHTING SYSTEMS, S.R.O. |
11067250 | Light emitting device and integrated light emitting device | NICHIA CORPORATION |
11067251 | Illumination device | COELUX S.R.L. |
11067266 | Heat dissipating LED light structure | -- |
11067269 | System and method for backlight integration with electrical contact foil in piezoelectric haptic keyboard | DELL PRODUCTS L.P. |
11067422 | Thermal fluid flow sensor | CAMBRIDGE GAN DEVICES LIMITED |
11067434 | Fast detector of electromagnetic radiation | LASER POINT S.R.L. |
11067459 | Stress sensor structure and a manufacturing method thereof | NATIONAL CENTER FOR ADVANCED PACKAGE |
11067643 | Magnetic field sensor and method for making same | MELEXIS TECHNOLOGIES NV |
11067670 | Heterogeneously integrated chip-scale lidar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11067731 | Transfer body for optical film, optical film, organic electroluminescent display device, and method for manufacturing optical film | ZEON CORPORATION |
11067747 | Deposited Si photodetectors for silicon nitride waveguide based optical interposer | CISCO TECHNOLOGY, INC. |
11067801 | Head-mounted display device | SAMSUNG DISPLAY CO., LTD. |
11067856 | Method of manufacturing impact resistant display apparatus | SAMSUNG DISPLAY CO., LTD. |
11067864 | Display device, liquid crystal display device, and organic EL display device | SHARP KABUSHIKI KAISHA |
11067879 | Ultra-dense LED projector using thinned gallium nitride | TECTUS CORPORATION |
11067884 | Through-display optical transmission, reception, or sensing through micro-optic elements | APPLE INC. |
11068029 | Flexible display apparatus | LG DISPLAY CO., LTD. |
11068090 | Electroluminescent display panel with reduced thickness, production method, driving method and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11068099 | Touch sensor and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11068104 | Touch panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11068136 | Application fulfillment platform with automated license management mechanisms | AMAZON TECHNOLOGIES, INC. |
11068166 | Hybrid memory device using different types of capacitors and operating method thereof | MICRON TECHNOLOGY, INC. |
11068777 | Voltage controlled highly linear resistive elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069264 | Cover structure, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11069268 | Flexible display panel and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11069288 | Mitigating shorted pixels in an organic light emitting display panel | LG DISPLAY CO., LTD. |
11069294 | Organic light-emitting diode (OLED) display and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11069389 | Magnetic memory and magnetic memory recording method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069390 | Spin-orbit torque magnetoresistive random access memory with magnetic field-free current-induced perpendicular magnetization reversal | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11069405 | Semiconductor memory device | KIOXIA CORPORATION |
11069407 | Semiconductor memory device | KIOXIA CORPORATION |
11069419 | Test line letter for embedded non-volatile memory technology | -- |
11069475 | Compact isolated inductors | PSEMI CORPORATION |
11069485 | Photoelectric conversion element | RICOH COMPANY, LTD. |
11069513 | Charged particle beam apparatus | KIOXIA CORPORATION |
11069524 | Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices | LUMILEDS LLC |
11069525 | Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices | LUMILEDS LLC |
11069527 | Laser assisted SiC growth on silicon | BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY |
11069528 | Semiconductor device and method | -- |
11069529 | Semiconductor device with at least one lower-surface side lifetime control region | FUJI ELECTRIC CO., LTD. |
11069530 | Etching platinum-containing thin film using protective cap layer | TEXAS INSTRUMENTS INCORPORATED |
11069531 | Replacement gate methods that include treating spacers to widen gate | -- |
11069532 | Method for manufacturing nickel silicide | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11069533 | CMP system and method of use | -- |
11069534 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11069544 | Rapid thermal processing method and apparatus for programming the pinned layer of spintronic devices | MULTIDIMENSION TECHNOLOGY CO., LTD. |
11069555 | Die attach systems, and methods of attaching a die to a substrate | ASSEMBLEON B.V. |
11069558 | Dummy fin structures and methods of forming same | -- |
11069559 | Semiconductor structure and method of forming same | NEXCHIP SEMICONDUCTOR CORPORATION |
11069569 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11069575 | Semiconductor device and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069576 | Method of forming multi-threshold voltage devices using dipole-high dielectric constant combinations and devices so formed | SAMSUNG ELECTRONICS CO., LTD. |
11069577 | Nanosheet transistors with different gate dielectrics and workfunction metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069578 | Method of manufacturing a semiconductor device | -- |
11069579 | Semiconductor device and method | -- |
11069609 | Techniques for forming vias and other interconnects for integrated circuit structures | INTEL CORPORATION |
11069616 | Horizontal programmable conducting bridges between conductive lines | TOKYO ELECTRON LIMITED |
11069617 | Semiconductor device and nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11069621 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11069634 | Amplifier and amplification apparatus | FUJITSU LIMITED |
11069635 | Radio frequency transistor amplifiers and other multi-cell transistors having isolation structures | CREE, INC. |
11069638 | Method for fabricating the electronic component, and method for transposing a micro-element | -- |
11069641 | Integrated circuit package and display device using the same | LG DISPLAY CO., LTD. |
11069645 | Electronic component module | MURATA MANUFACTURING CO., LTD. |
11069648 | Semiconductor structure and method for obtaining light emitting diodes reconstituted over a carrier substrate | IMEC VZW |
11069655 | Semiconductor device including two or more chips mounted over wiring substrate | MICRON TECHNOLOGY, INC. |
11069660 | Display device | -- |
11069663 | Method of producing an optoelectronic semiconductor component, and optoelectronic semiconductor component | OSRAM OLED GMBH |
11069664 | Micro-LED module and method for fabricating the same | LUMENS CO., LTD. |
11069665 | Trimmable banked capacitor | APPLE INC. |
11069667 | Wafer level proximity sensor | STMICROELECTRONICS PTE LTD |
11069669 | Micro LED display panel and method for making same | -- |
11069674 | Semiconductor device | INFINEON TECHNOLOGIES AG |
11069676 | Semiconductor device and method for fabricating the same | -- |
11069677 | Semiconductor device comprising metal-insulator-metal (MIM) capacitor | GLOBALFOUNDRIES INC. |
11069678 | Logic gate cell structure | QORVO US, INC. |
11069679 | Reducing gate resistance in stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069680 | FinFET-based integrated circuits with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069681 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11069682 | Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods | STMICROELECTRONICS, INC. |
11069684 | Stacked field effect transistors with reduced coupling effect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069685 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069686 | Techniques for enhancing vertical gate-all-around FET performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069688 | Vertical transistor with eDRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069689 | Manufacturing method of semiconductor memory device | -- |
11069691 | Memory cell array with large gate widths | GLOBALFOUNDRIES U.S. INC. |
11069692 | FinFET SRAM cells with dielectric fins | -- |
11069693 | Method for improving control gate uniformity during manufacture of processors with embedded flash memory | -- |
11069695 | Floating gate test structure for embedded memory device | -- |
11069697 | 3D memory semiconductor devices and structures | MONOLITHIC 3D INC. |
11069699 | NAND memory cell string having a stacked select gate structure and process for forming same | CYPRESS SEMICONDUCTOR CORPORATION |
11069702 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11069705 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069706 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11069707 | Variable die size memory device and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11069709 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11069712 | Three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069713 | Semiconductor memory element, other elements, and their production methods | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11069714 | Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit | -- |
11069715 | Memory structure | -- |
11069716 | Glass substrate for display and method for producing same | AVANSTRATE INC. |
11069717 | Metal oxide and field-effect transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069718 | Display device, display module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069721 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11069722 | Active matrix substrate and method of manufacturing same | SHARP KABUSHIKI KAISHA |
11069726 | Method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11069737 | Shallow trench textured regions and associated methods | SIONYX, LLC |
11069738 | Infrared detector and infrared sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11069739 | Imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069741 | Electric field controllable spin filter tunnel junction magnetoresistive memory devices and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11069742 | Crossbar array circuit with parallel grounding lines | TETRAMEM INC. |
11069743 | Non-volatile memory elements with a multi-level cell configuration | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11069744 | Steep-switch vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069745 | Memory device | TOSHIBA MEMORY CORPORATION |
11069746 | Electronic device | SK HYNIX INC. |
11069747 | Display device and electronic device having multiple overlapping display panels | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069748 | Organic electroluminescence device and electronic apparatus | SONY CORPORATION |
11069749 | Pixel display module and mask for manufacturing the pixel display module | KUNSHAN GO-VISIONOX OPTO-ELECTRONLCS CO., LTD. |
11069750 | Flexible color filter, flexible organic light emitting display device comprising same, and manufacturing method therefor | DONGWOO FINE-CHEM CO., LTD. |
11069751 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11069752 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11069753 | Display apparatus and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069754 | Display device | TIANMA MICROELECTRONICS CO., LTD. |
11069755 | Flexible display panel and display apparatus including electrochromic part | SAMSUNG DISPLAY CO., LTD. |
11069757 | Organic light emitting diode display panel and method for making same | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
11069758 | Organic light-emitting diode display substrate, method for manufacturing organic light-emitting diode display substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069759 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
11069760 | Display device with through hole defined in electronic element setup region corresponding to electronic elements | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069761 | Display panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11069762 | Display device | SAMSUNG DISPLAY CO., LTD. |
11069763 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11069764 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069765 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069766 | Display panel with irregular shape and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11069768 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11069769 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11069770 | Carrier injection control fast recovery diode structures | IPOWER SEMICONDUCTOR |
11069771 | Semiconductor device | ROHM CO., LTD. |
11069772 | Techniques for fabricating planar charge balanced (CB) metal-oxide-semiconductor field-effect transistor (MOSFET) devices | GENERAL ELECTRIC COMPANY |
11069773 | Contact-to-gate monitor pattern and fabrication thereof | -- |
11069774 | Shallow trench isolation structure and semiconductor device with the same | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11069775 | Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069776 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069777 | Manufacturing method of self-aligned DMOS body pickup | MONOLITHIC POWER SYSTEMS, INC. |
11069778 | Silicon carbide components and methods for producing silicon carbide components | INFINEON TECHNOLOGIES AG |
11069779 | Silicon carbide semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11069780 | Coating liquid for forming oxide, method for producing oxide film, and method for producing field-effect transistor | RICOH COMPANY, LTD. |
11069781 | Crystalline semiconductor film, plate-like body and semiconductor device | FLOSFIA INC. |
11069782 | Semiconductor device comprising a gradually increasing field dielectric layer and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11069783 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11069784 | Semiconductor device and method of manufacture | -- |
11069785 | Semiconductor device and fabrication method thereof | -- |
11069786 | Controlling execution of software by combining secure boot and trusted boot features | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069787 | GaN-based microwave power device with large gate width and manufacturing method thereof | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11069788 | Semiconductor device | TOYODA GOSEI CO., LTD. |
11069789 | Varied silicon richness silicon nitride formation | MONTEREY RESEARCH, LLC |
11069790 | Quantum tunneling matter-wave transistor system | COLDQUANTA, INC. |
11069791 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11069792 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11069793 | Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers | -- |
11069794 | Trench power transistor and method of producing the same | -- |
11069795 | Transistors with channel and sub-channel regions with distinct compositions and dimensions | INTEL CORPORATION |
11069796 | Manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069797 | Ruggedized symmetrically bidirectional bipolar power transistor | IDEAL POWER INC. |
11069798 | Ballistic transport device and corresponding component | STMICROELECTRONICS S.R.L. |
11069799 | Amorphous metal hot electron transistor | AMORPHYX, INCORPORATED |
11069800 | Single electron transistor with gap tunnel barriers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069801 | Semiconductor device, electronic apparatus, and method of manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069802 | Field effect transistor including gradually varying composition channel | SAMSUNG ELECTRONICS CO., LTD. |
11069803 | Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11069804 | Integration of HVLDMOS with shared isolation region | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11069805 | Embedded JFETs for high voltage applications | -- |
11069806 | Integrated circuit including a low-noise amplifying circuit with asymmetrical source and drain regions and a logic circuit with symmetrical source and drain regions | -- |
11069807 | Ferroelectric structure for semiconductor devices | -- |
11069808 | Negative capacitance field effect transistor and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11069809 | Soi FinFET fins with recessed fins and epitaxy in source drain region | GLOBALFOUNDRIES U.S. INC. |
11069810 | Semiconductor device having a shaped epitaxial region | -- |
11069811 | Semiconductor device structure and method for forming the same | -- |
11069812 | Fin field-effect transistor device and method of forming the same | -- |
11069813 | Localized heating in laser annealing process | -- |
11069814 | Transistor having vertical structure and electric device | LG DISPLAY CO., LTD. |
11069815 | Radiation hardened thin-film transistors | AUBURN UNIVERSITY |
11069816 | Semiconductor device and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069817 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069818 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069819 | Field-effect transistors with channel regions that include a two-dimensional material on a mandrel | GLOBALFOUNDRIES U.S. INC. |
11069820 | FinFET devices having active patterns and gate spacers on field insulating layers | SAMSUNG ELECTRONICS CO., LTD. |
11069821 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069822 | Transition metal chalcogenide van der waals films, methods of making same, and apparatuses and devices comprising same | CORNELL UNIVERSITY |
11069823 | Photoelectric conversion device, photoelectric conversion system, and movable object comprising a comparison unit to compare the count value of pulse with a predetermined threshold value | CANON KABUSHIKI KAISHA |
11069824 | Optical sensor device and method of manufacturing the same | ABLIC INC. |
11069825 | Optoelectronic devices formed over a buffer | IQE PLC |
11069826 | Photosensitive device with electric shutter | EMBERION OY |
11069827 | Semiconductor device | ROHM CO., LTD. |
11069828 | Method for manufacturing photoelectric conversion device | KANEKA CORPORATION |
11069829 | Light-emitting element, method of manufacturing the same and display device comprising light-emitting element | SAMSUNG DISPLAY CO., LTD. |
11069830 | Quantum-confined stark effect (QCSE) modulator and photonics structure incorporating the QCSE modulator | GLOBALFOUNDRIES U.S. INC. |
11069831 | Light emitting device | NICHIA CORPORATION |
11069832 | Resonant cavity strained III-V photodetector and LED on silicon substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069833 | Resonant cavity strained III-V photodetector and LED on silicon substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069834 | Optoelectronic device having a boron nitride alloy electron blocking layer and method of production | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11069835 | Optoelectronic semiconductor chip and method of manufacturing the same | OSRAM OLED GMBH |
11069836 | Methods for growing light emitting devices under ultra-violet illumination | LUMILEDS LLC |
11069837 | Sub pixel light emitting diodes for direct view display and methods of making the same | GLO AB |
11069838 | Light-emitting device with light-emitting element mounted on supporting member and display apparatus | ROHM CO, LTD. |
11069839 | Optical component package and device using same | POINT ENGINEERING CO., LTD. |
11069841 | Multilayer ceramic converter with stratified scattering | OSRAM OPTO SEMICONDUCTORS GMBH |
11069842 | Method for producing an optoelectronic semiconductor component and optoelectronic semiconductor component | OSRAM OLED GMBH |
11069843 | Light-emitting device | NICHIA CORPORATION |
11069844 | Light emitting device and method for manufacturing light emitting device | OSRAM OLED GMBH |
11069845 | Light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
11069846 | Ultraviolet ray emitting device having maximized electrode area for improved heat dissipation | SEOUL VIOSYS CO., LTD. |
11069847 | Thermoelectric module | HYUNDAI MOTOR COMPANY |
11069848 | Methods for fabrication, manufacture and production of an autonomous electrical power source | FACE INTERNATIONAL CORPORATION |
11069849 | Shadow mask sidewall tunnel junction for quantum computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069850 | Magnetic memory device and manufacturing method of the same | TOSHIBA MEMORY CORPORATION |
11069851 | Semiconductor device having a vertical hall element with a buried layer | ABLIC INC. |
11069852 | Magnetoresistance effect element | TDK CORPORATION |
11069853 | Methods for forming structures for MRAM applications | APPLIED MATERIALS, INC. |
11069854 | Laser anneal for MRAM encapsulation enhancement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069855 | Dielectric barrier at non-volatile memory tile edge | INTEL CORPORATION |
11069856 | Solution for organic EL, method of producing organic EL device and organic EL device | JOLED INC. |
11069857 | Display device and method of inspecting the same | SAMSUNG DISPLAY CO., LTD. |
11069858 | Amine-based compound and organic light emitting device comprising same | LG CHEM, LTD. |
11069859 | Fluorene-based compound, organic light-emitting device using same and method for preparing same | LG CHEM, LTD. |
11069860 | Composition of matter for use in organic light-emitting diodes | KYULUX, INC. |
11069861 | Mixture, organic electroluminescence device and electronic equipment | IDEMITSU KOSAN CO., LTD. |
11069862 | Material for organic electroluminescence device and organic electroluminescence device using the same | IDEMITSU KOSAN CO., LTD. |
11069863 | Organic thin film and organic thin film transistor and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11069864 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11069865 | Flexible display panel and fabrication method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069866 | Active device substrate | -- |
11069867 | Electronically pure single chirality semiconducting single-walled carbon nanotube for large scale electronic devices | ATOM H2O, LLC |
11069868 | Semiconductor structure, semiconductor device, photodetector and spectrometer | TSINGHUA UNIVERSITY |
11069869 | Photoelectric conversion element and method for producing the same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11069870 | High efficiency graphene/wide band-gap semiconductor heterojunction solar cells | DIMEROND TECHNOLOGIES, LLC |
11069871 | Organic white light emitting element | CANON KABUSHIKI KAISHA |
11069872 | Delocalizer and light emitting device using the same | -- |
11069873 | Formation of a two-layer via structure to mitigate damage to a display device | -- |
11069874 | Light emitting element, light emitting device, and electronic apparatus | SEIKO EPSON CORPORATION |
11069875 | Encapsulating method and encapsulating structure of OLED display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11069876 | Organic electroluminescence display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
11069877 | Display apparatus and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11069878 | Display unit with moisture proof film outside of seal section and electronic apparatus with said display unit | JOLED, INC. |
11069879 | Organic light emitting diode display device with micro lenses | LG DISPLAY CO., LTD. |
11069880 | Display device and fabrication method thereof | SAMSUNG DISPLAY CO., LTD. |
11069881 | Flexible organic light-emitting diode display | LG DISPLAY CO., LTD. |
11069882 | Optical unit and display device | SEIKO EPSON CORPORATION |
11069964 | Transparent film antenna | DONGWOO FINE-CHEM CO., LTD. |
11070046 | Short-circuit protection circuit for self-arc-extinguishing type semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11070123 | Energy storage and energy storage device | THE BOEING COMPANY |
11070129 | Ultra low-voltage circuits | OREGON STATE UNIVERSITY |
11070149 | System for converting electromagnetic radiation to electrical energy using metamaterials | REDWAVE ENERGY, INC. |
11070167 | Systems and methods for reworking shingled solar cell modules | SUNPOWER CORPORATION |
11070175 | Power amplification module | MURATA MANUFACTURING CO., LTD. |
11070184 | Piezoelectric acoustic resonator manufactured with piezoelectric thin film transfer process | AKOUSTIS, INC. |
11070191 | Torsional mode quartz crystal device | STATEK CORPORATION |
11070193 | Elastic wave device, radio-frequency front-end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11070513 | DNS-based method of transmitting data | ZEDLY, INC. |
11070748 | Infrared detector, infrared imaging apparatus using the same, and controlling method of infrared detector | FUJITSU LIMITED |
11070752 | Imaging device including first and second imaging cells and camera system | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11071193 | Device for producing a non-thermal atmospheric pressure plasma and active space comprising such a device | RELYON PLASMA GMBH |
11071194 | Longitudinally joined superconducting resonating cavities | FERMI RESEARCH ALLIANCE, LLC |
11071218 | Electronic devices having sliding expandable displays | APPLE INC. |
11071224 | Functional panel, method for manufacturing the same, module, data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11071933 | Filter and air-conditioning device | MURATA MANUFACTURING CO., LTD. |
11072153 | Composition and laminated body | DAIKIN INDUSTRIES, LTD. |
11072442 | Space flight habitation support appliance | THE BOEING COMPANY |
11072530 | Compound semiconductor and use thereof | LG CHEM, LTD. |
11072555 | Glass member | COORSTEK KK |
11072603 | Compound for organic electronic element, organic electronic element using the same, and an electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11072604 | Compound for organic electronic element, organic electronic element using the same, and an electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11072622 | Synthesis and use of precursors for ALD of tellurium and selenium thin films | ASM INTERNATIONAL N.V. |
11072623 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11072714 | Nanotube solution treated with molecular additive, nanotube film having enhanced adhesion property, and methods for forming the nanotube solution and the nanotube film | NANTERO, INC. |
11072727 | Adhesive member, display device including the same and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11072848 | High temperature sputtered stoichiometric titanium nitride thin films | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11072854 | Substrate fixing carrier, evaporation device and evaporation method | BOE TECHNOLOGY GROUP CO., LTD. |
11072872 | Core shell particle, method of producing core shell particle, and film | FUJIFILM CORPORATION |
11073256 | Light-emitting device | NICHIA CORPORATION |
11073306 | Lens, solar cell unit and joining method for a solar cell unit | AZUR SPACE SOLAR POWER GMBH |
11073434 | Manufacturing method for shear and normal force sensor | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11073483 | Display device and bonding accuracy detection method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11073575 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION |
11073645 | Temperature sensor | NITTO DENKO CORPORATION |
11073654 | Light emitting module with recesses in light guide plate | NICHIA CORPORATION |
11073712 | Electronic device display for through-display imaging | APPLE INC. |
11073725 | Method of manufacturing light emitting module, and light emitting module | NICHIA CORPORATION |
11073727 | Low blue light displays | ECOSENSE LIGHTING, INC. |
11073729 | Liquid crystal display device, semiconductor device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11073911 | Providing haptic feedback | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11073913 | Device for producing haptic feedback | TDK ELECTRONICS AG |
11073928 | Display device | LG DISPLAY CO., LTD. |
11073955 | Display device | SAMSUNG DISPLAY CO., LTD. |
11074296 | Query generation assist method and query generation assist apparatus | HITACHI, LTD. |
11074835 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11074858 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11074950 | Multistate magnetic memory element using metamagnetic materials | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11074951 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11075070 | Monocrystalline semiconductor wafer and method for producing a semiconductor wafer | SILTRONIC AG |
11075077 | Nitride semiconductor template and nitride semiconductor device | SCIOCS COMPANY LIMITED |
11075078 | Method for making a semiconductor device including a superlattice within a recessed etch | ATOMERA INCORPORATED |
11075081 | Semiconductor device with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075082 | Semiconductor device and manufacturing method thereof | -- |
11075083 | Si-passivated GE gate stack | IMEC VZW |
11075086 | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride | CORPORATION FOR NATIONAL RESEARCH INITIATIVES |
11075088 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075089 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075107 | Semiconductor structure and manufacturing method thereof | -- |
11075108 | Mechanism for FinFET well doping | -- |
11075109 | Radio frequency silicon on insulator structure with superior performance, stability, and manufacturability | -- |
11075110 | Transistor trench with field plate structure | NXP USA, INC. |
11075119 | Vertically stacked transistors in a pin | INTEL CORPORATION |
11075120 | FinFET device and method | -- |
11075121 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11075123 | Method for forming isolation structure having improved gap-fill capability | -- |
11075124 | Semiconductor device with profiled work-function metal gate electrode and method of making | -- |
11075125 | Semiconductor device and manufacturing method thereof | -- |
11075128 | Modules incorporating encapsulation layers | UTICA LEASECO, LLC |
11075129 | Substrate processing carrier | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075134 | Semiconductor device with a portion including silicon and nitrogen and method of manufacturing | INFINEON TECHNOLOGIES AG |
11075135 | Semiconductor structure and method of forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11075137 | High power module package structures | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075157 | IC having trench-based metal-insulator-metal capacitor | TEXAS INSTRUMENTS INCORPORATED |
11075158 | MIM structure | -- |
11075162 | Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics | -- |
11075163 | Vertical NAND string multiple data line memory | MICRON TECHNOLOGY, INC. |
11075164 | Semiconductor device including a conductive feature over an active region | -- |
11075172 | Process for manufacturing a strained semiconductor device and corresponding strained semiconductor device | STMICROELECTRONICS S.R.L. |
11075176 | Semiconductor device and method | -- |
11075177 | Integrated circuit comprising a substrate equipped with a trap-rich region, and fabricating process | STMICROELECTRONICS (CROLLES 2) SAS |
11075180 | Semiconductor device and method of manufacturing the semiconductor device | AOI ELECTRONICS CO., LTD. |
11075192 | Microelectronic diode with optimised active surface | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075194 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11075195 | Integrated hybrid standard cell structure with gate-all-around device | -- |
11075196 | Integrated resistor for semiconductor device | POWER INTEGRATIONS, INC. |
11075198 | Stacked transistor architecture having diverse fin geometry | INTEL CORPORATION |
11075199 | Method of forming semiconductor structure | -- |
11075200 | Integrated device with vertical field-effect transistors and hybrid channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075201 | Tuning tensile strain on FinFET | -- |
11075202 | Bottom fin trim isolation aligned with top gate for stacked device architectures | INTEL CORPORATION |
11075203 | Semiconductor structure | -- |
11075206 | SRAM source-drain structure | QUALCOMM INCORPORATED |
11075208 | IC including standard cells and SRAM cells | -- |
11075209 | Semiconductor device including an electrode lower layer and an electrode upper layer and method of manufacturing semiconductor device | ROHM CO., LTD. |
11075212 | Semiconductor device and method of manufacturing | -- |
11075214 | NOR memory cell with vertical floating gate | GREENLIANT IP, LLC |
11075216 | Non-volatile memory | SAMSUNG ELECTRONICS CO., LTD. |
11075220 | Semiconductor device | KIOXIA CORPORATION |
11075221 | Thin-film transistor substrate having overlapping thin-film transistor | SAMSUNG DISPLAY CO., LTD. |
11075223 | Thin film transistor array panel with integrated gate driver including noise removal unit | SAMSUNG DISPLAY CO., LTD. |
11075226 | Display device | -- |
11075227 | Display substrate and method of manufacturing same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075228 | Display substrate, method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075230 | Thin film transistor, manufacturing method thereof, array substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075232 | Display device, manufacturing method of display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075233 | Semiconductor device and fabricating method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11075242 | Semiconductor devices for image sensing | -- |
11075246 | Method for generation of electrical power within a three-dimensional integrated structure and corresponding link device | STMICROELECTRONICS (ROUSSET) SAS |
11075247 | Circuit structure and method for resistive RAM with self aligned contacts in zero-via layer | GLOBALFOUNDRIES U.S. INC. |
11075248 | Organic light emitting display apparatus | LG DISPLAY CO., LTD. |
11075249 | Method for producing organic electroluminescent display device comprising polydiacetylene layers | SAKAI DISPLAY PRODUCTS CORPORATION |
11075250 | Light-emitting device package, display device including the same, and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11075251 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11075252 | Display device | SAMSUNG DISPLAY CO., LTD. |
11075253 | Organic light-emitting display device | LG DISPLAY CO., LTD. |
11075254 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11075255 | Display panel, display device, input/output device, and data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075256 | Display panel having fingerprint recognition function, manufacturing method and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075258 | Display substrate, manufacturing method thereof, corresponding display panel and encapsulation method for the same | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11075259 | Display device having reduced cell seal area and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11075260 | Substrate comprising recessed interconnects and a surface mounted passive component | QUALCOMM INCORPORATED |
11075261 | Structure for use in a metal-insulator-metal capacitor | IMEC VZW |
11075262 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11075263 | Semiconductor device, and method for manufacturing semiconductor device | ROHM CO, , LTD. |
11075264 | Super junction power semiconductor devices formed via ion implantation channeling techniques and related methods | CREE, INC. |
11075265 | Trigate device with full silicided epi-less source/drain for high density access transistor applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075266 | Vertically stacked fin semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075267 | Semiconductor device with low random telegraph signal noise | -- |
11075268 | Transistors with separately-formed source and drain | GLOBALFOUNDRIES U.S. INC. |
11075269 | Semiconductor device and manufacturing method thereof | -- |
11075270 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11075271 | Stepped field plates with proximity to conduction channel and related fabrication methods | CREE, INC. |
11075272 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
11075273 | Nanosheet electrostatic discharge structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075274 | Conductive line construction, memory circuitry, and method of forming a conductive line construction | MICRON TECHNOLOGY, INC. |
11075275 | Metal gate fill for short-channel and long-channel semiconductor devices | -- |
11075276 | Methods and apparatus for n-type metal oxide semiconductor (NMOS) metal gate materials using atomic layer deposition (ALD) processes with metal based precursors | APPLIED MATERIALS, INC. |
11075277 | Manufacture of self-aligned power devices | GENESIC SEMICONDUCTOR INC. |
11075278 | 3D capacitor based on fin structure having low-resistance surface and method of manufacturing same | -- |
11075279 | Metal gate and contact plug design and method forming same | -- |
11075280 | Self-aligned gate and junction for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075281 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075282 | Semiconductor structure and fabrication method thereof | -- |
11075283 | Dielectric constant reduction of gate spacer | -- |
11075284 | Semiconductor structure and forming method thereof | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11075285 | Insulated gate power semiconductor device and method for manufacturing such a device | ABB POWER GRIDS SWITZERLAND AG |
11075286 | Hybrid finfet structure with bulk source/drain regions | INTEL CORPORATION |
11075287 | Semiconductor structure and forming method thereof | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11075288 | Thin film transistor, manufacturing method therefor, array substrate and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11075289 | Heterojunction bipolar transistor including ballast resistor and semiconductor device | MURATA MANUFACTURING CO., LTD. |
11075290 | Power semiconductor device having a cross-trench arrangement | INFINEON TECHNOLOGIES AG |
11075291 | Isolation structure for IGBT devices having an integrated diode | INFINEON TECHNOLOGIES AUSTRIA AG |
11075292 | Insulated gate bipolar transistor, and manufacturing method therefor | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11075293 | Qubit-detector die assemblies | INTEL CORPORATION |
11075294 | Protective insulator for HFET devices | POWER INTEGRATIONS, INC. |
11075295 | Wide bandgap semiconductor device | CREE, INC. |
11075296 | Trench gate MOSFET and method of manufacturing the same | -- |
11075297 | Semiconductor device and method of manufacturing semiconductor device | ROHM CO., LTD. |
11075298 | LDMOS integrated circuit product | GLOBALFOUNDRIES U.S. INC. |
11075299 | Transistor gate having tapered segments positioned above the fin channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075300 | Semiconductor device, method for manufacturing the same, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075301 | Nanosheet with buried gate contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075303 | Oxide semiconductor compound, semiconductor element provided with layer of oxide semiconductor compound, and laminated body | TOKYO INSTITUTE OF TECHNOLOGY |
11075304 | Thin-film transistor and fabrication method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075305 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11075306 | Filled through silicon vias for semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075307 | Compact electro-optical devices with laterally grown contact layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075308 | Vanadium-containing electrodes and interconnects to transparent conductors | PHAROS MATERIALS, INC. |
11075309 | Sinterable composition for use in solar photovoltaic cells | -- |
11075310 | Secondary optic for concentrating photovoltaic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075311 | Photovoltaic structure for a roadway | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075312 | Solar cell module and method for manufacturing solar cell module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11075313 | Optoelectronic devices manufactured using different growth substrates | UTICA LEASECO, LLC |
11075314 | Doped absorption photodiode | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11075315 | Optical semiconductor element and method of manufacturing optical semiconductor element | HAMAMATSU PHOTONICS K.K. |
11075316 | Method of bifacial cell fabrication | SOLAROUND LTD. |
11075317 | Smoothed doped layer for solar cell | IMEC VZW |
11075318 | Buffer layer film-forming method and buffer layer | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11075319 | Microelectronic workpiece processing systems and associated methods of color correction | MICRON TECHNOLOGY, INC. |
11075320 | Method of manufacturing nitride semiconductor light-emitting element | NICHIA CORPORATION |
11075321 | Semiconductor device | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11075322 | AlInN film, two-dimensional photonic crystal resonator, method for manufacturing these, and semiconductor light-emitting element | OSAKA UNIVERSITY |
11075323 | Method of producing a radiation-emitting component and radiation-emitting component | OSRAM OLED GMBH |
11075324 | Method of producing an outcoupling element for an optoelectronic component and outcoupling element | OSRAM OLED GMBH |
11075326 | Semiconductor light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
11075327 | Hybrid chip-on-board LED module with patterned encapsulation | LUMILEDS LLC |
11075328 | Method of forming conductive area at top surface of light-emitting diode | MIKRO MESA TECHNOLOGY CO., LTD. |
11075329 | Display panel with light emitting diode (LED) power transfer structure and display apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11075330 | Package structure and electronic device | -- |
11075331 | Thermoelectric device having circuitry with structural rigidity | GENTHERM INCORPORATED |
11075332 | Tactile temperature control | BAYERISCHE MOTOREN WERKE AKTIENGESELLSCHAFT |
11075333 | Apparatus and method for converting thermal energy into electrical energy | LEIBNIZ-INSTITUT FÜR FESTKÖRPER-UND WERKSTOFFFORSCHUNG DRESDEN E.V. |
11075334 | Spin-orbit-torque magneto-resistive random access memory with stepped bottom electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075335 | Techniques for MRAM MTJ top electrode connection | -- |
11075336 | Magnetic random access memory and manufacturing method thereof | -- |
11075337 | Integrated circuit devices based on metal ion migration and methods of fabricating same | IMEC VZW |
11075338 | Resistive memory cell structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075339 | Correlated electron material (CEM) devices with contact region sidewall insulation | CERFE LABS, INC. |
11075340 | Mask for thin film deposition, and fabrication method thereof | SAMSUNG DISPLAY CO., LTD. |
11075341 | Organic microcavity photodetectors with narrow and tunable spectral response | CAMBRIDGE DISPLAY TECHNOLOGY LIMITED |
11075342 | Spiro-type compound and organic light emitting diode comprising same | LG CHEM, LTD. |
11075343 | Organic light emitting compounds and organic light emitting devices including the same | SFC CO., LTD. |
11075344 | Organic device and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11075345 | Organometallic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11075346 | Highly efficient OLED devices with very short decay times | UDC IRELAND LIMITED |
11075347 | Flexible display device | LG DISPLAY CO., LTD. |
11075348 | Thin film transistor and thin film transistor array and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11075349 | Photoelectric conversion element, imaging device, optical sensor and method of manufacturing photoelectric conversion element | SONY CORPORATION |
11075350 | Sequential processing with vapor treatment of thin films of organic-inorganic perovskite materials | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11075351 | Packaging structure with groove | -- |
11075352 | Metal amides for use as HIL for an organic light-emitting diode (OLED) | NOVALED GMBH |
11075353 | Organic light-emitting diode display panel and manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075354 | Display panel and method for manufacturing thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11075355 | Light-emitting device | PIONEER CORPORATION |
11075356 | Display substrate, manufacturing method thereof, display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11075357 | Edge barrier film for electronic devices | UNIVERSAL DISPLAY CORPORATION |
11075358 | Display apparatus and mobile terminal | SAMSUNG DISPLAY CO., LTD. |
11075359 | Display panel and fabrication method thereof | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11075360 | Display panel, display device and method for manufacturing display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11075361 | Organic electroluminescent device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11075362 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11075363 | OLED device having enhancement layer(s) | UNIVERSAL DISPLAY CORPORATION |
11075364 | Display device | LG DISPLAY CO., LTD. |
11075365 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075522 | Control system and photovoltaic system and micro-grid using the same and method thereof | ABB SCHWEIZ AG |
11075591 | Device for integrating electric conductors into low-frequency electric tank circuits | DR. HIELSCHER GMBH |
11075603 | Integrated LC oscillator and method thereof | -- |
11075615 | Crystal vibration element, and crystal vibrator equipped with crystal vibration element | MURATA MANUFACTURING CO., LTD. |
11075627 | Methods and circuitry for driving a device | TEXAS INSTRUMENTS INCORPORATED |
11076078 | Solid-state imaging device with uneven structures and method for manufacturing the same, and electronic apparatus | SONY CORPORATION |
11076081 | Device for acquiring a 2D image and a depth image of a scene | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11076474 | Process for producing ozone and apparatus for ozone generation | EPCOS AG |
11076475 | Apparatus and method for generating a non-thermal atmospheric pressure plasma | TDK ELECTRONICS AG |
11076494 | Foldable display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11076495 | Electronic device with hinge defining an asymmetrical service loop for a flexible display and corresponding systems and methods | MOTOROLA MOBILITY LLC |
11076519 | Selective inking head for semiconductor devices | FACEBOOK TECHNOLOGIES, LLC |
11076769 | Human body wearable device and operation method thereof | LG INNOTEK CO., LTD. |
11076775 | Strain sensor unit and skin sensor module comprising the same | AMOREPACIFIC CORPORATION |
11076826 | Ultrasound imaging apparatus and control method thereof | SAMSUNG MEDISON CO., LTD. |
11077469 | Method for sorting silicon wafers according to their bulk lifetime | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11077525 | Method of processing a silicon carbide containing crystalline substrate, silicon carbide chip, and processing chamber | INFINEON TECHNOLOGIES AG |
11078071 | Haptic actuators fabricated by roll-to-roll processing | ENCITE LLC |
11078120 | Oxide sintered body, sputtering target and oxide semiconductor film | IDEMITSU KOSAN CO., LTD. |
11078122 | Ceramic material comprising a pseudo-cubic phase, a process for preparing and uses of the same | XAAR TECHNOLOGY LIMITED |
11078210 | Heterocyclic compound and organic light emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11078373 | Infrared-light-transmitting ink of dark color, and infrared-light-reflecting sheet obtained using same | DAI NIPPON PRINTING CO., LTD. |
11078388 | Adhesive composition, sealing sheet, and sealed body | LINTEC CORPORATION |
11078413 | Organic-inorganic hybrid perovskites, devices, and methods | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11078428 | Generating a soft sensor for crude stabilization in the petroleum industry | SAUDI ARABIAN OIL COMPANY |
11078597 | Method for making epitaxial structure | TSINGHUA UNIVERSITY |
11079077 | LED lighting system and installation methods | LYNK LABS, INC. |
11079091 | Lens and method of producing a lens | SMR PATENTS S.à.R.L. |
11079093 | Light emitting device, display device, and lighting device | SATURN LICENSING LLC |
11079094 | Light emitting device with a light-transmissive member | NICHIA CORPORATION |
11079270 | Optical sensor and apparatus comprising an optical sensor having a photodetector and a semiconductor guard ring are dimensioned so that a fill factor of each pixel is less than or equal to 50% | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11079281 | Cold stage actuation of optical elements including an optical light shield and a lenslet array connected to a cold finger | UVIA GROUP LLC |
11079354 | Josephson toroidal vortex quantum superconductive/memcapacitive and superconductive/memristive devices of making and their applications at room temperature thereto | -- |
11079427 | Inspection device, inspection system, intelligent power module, inspection method, and computer program product | KABUSHIKI KAISHA TOSHIBA |
11079476 | Light-receiving element and distance measurement module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11079518 | Transparent tunable optical elements with structurally-modified electroactive polymer | FACEBOOK TECHNOLOGIES, LLC |
11079529 | N4 phase retardation film, display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11079539 | Semiconductor device and manufacturing method of the same | RENESAS ELECTRONICS CORPORATION |
11079590 | Modulating retroreflective piezoelectric multilayer film | ANDREW SIMON FILO |
11079611 | Optical module for protecting human eyes | -- |
11079620 | Optimization of electronic display areas | FLEXTERRA, INC. |
11079622 | Display panel having reflectors in light adjusting layer and drive method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11079627 | Liquid crystal display apparatus | SHARP KABUSHIKI KAISHA |
11079639 | Liquid crystal display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079646 | Display device off-axis luminance reduction uniformity | REALD SPARK, LLC |
11079666 | Light source device and projector | SEIKO EPSON CORPORATION |
11079816 | System and method for vapor chamber directional heat dissipation for a piezoelectric keyboard assembly | DELL PRODUCTS L.P. |
11079879 | Flexible touch display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11079885 | Display device having touch sensor | LG DISPLAY CO., LTD. |
11079997 | Display apparatus and methods | NANLUMENS ACQUISITION, INC. |
11080021 | Amplifying, generating, or certifying randomness | CAMBRIDGE QUANTUM COMPUTING LIMITED |
11080453 | Integrated circuit fin layout method, system, and structure | -- |
11080455 | Layout design of integrated circuit with through-substrate via | -- |
11080501 | Fingerprint sensing display apparatus | LG DISPLAY CO., LTD. |
11081028 | Light-emitting device assembly, method of producing the same, and display apparatus | SONY CORPORATION |
11081045 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081053 | Electronic devices having displays with compensation for oxide transistor threshold voltage | APPLE INC. |
11081063 | Organic light emitting display device and method of testing the same | SAMSUNG DISPLAY CO., LTD. |
11081153 | Magnetic memory device with balancing synthetic anti-ferromagnetic layer | -- |
11081154 | Synthetic magnetic pinning element having strong antiferromagnetic coupling | -- |
11081155 | MRAM reference current | -- |
11081159 | Memory cell arrangement and methods thereof | FERROELECTRIC MEMORY GMBH |
11081173 | Via formation for cross-point memory | MICRON TECHNOLOGY, INC. |
11081174 | Set/reset methods for crystallization improvement in phase change memories | SANDISK TECHNOLOGIES LLC |
11081175 | Semiconductor device and memory device | KIOXIA CORPORATION |
11081252 | Electrophoretic deposition (EPD) of radioisotope and phosphor composite layer for hybrid radioisotope batteries and radioluminescent surfaces | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11081273 | Magnetic field generation with thermovoltaic cooling | CALAGEN, INC. |
11081276 | Electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11081285 | Electrically conductive electret and associated electret-based power source and self-powered structure | EAST AMHERST |
11081291 | Photosensor including photoelectric conversion layer containing perovskite compound, and optical detection device including the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081292 | Method for producing a film of light-absorbing material with a perovskite-like structure | JOINT STOCK COMPANY KRASNOYARSK HYDROPOWER PLANT (JSC KRASNOYARSK HPP) |
11081293 | Manufacturing method of a composite photovoltaic structure | -- |
11081326 | Sputtering target and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081335 | Methods for forming electronic devices from nanomaterials | MILARA INCORPORATED |
11081336 | Method of making graphene and graphene devices | VAON, LLC |
11081337 | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials | VERSUM MATERIALS U.S., LLC |
11081339 | Single-crystal rare earth oxide grown on III-V compound | -- |
11081343 | Sub-stoichiometric metal-oxide thin films | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081346 | Semiconductor structure having a group iii-v semiconductor layer comprising a hexagonal mesh crystalline structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081347 | Method for manufacturing silicon-carbide semiconductor element | KWANSEI GAKUIN EDUCATIONAL FOUNDATION |
11081354 | Fin patterning methods for increased process margins | -- |
11081355 | Semiconductor device and method of manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11081356 | Method for metal gate cut and structure thereof | -- |
11081357 | Semiconductor device and method for fabricating the same including re-growth process to form non-uniform gate dielectric layer | SK HYNIX INC. |
11081363 | Guard ring structure of semiconductor arrangement | -- |
11081364 | Reduction of crystal growth resulting from annealing a conductive material | MICRON TECHNOLOGY, INC. |
11081365 | Treatment to interface between metal film and BARC or photoresist | -- |
11081386 | High resistivity SOI wafers and a method of manufacturing thereof | -- |
11081393 | Method for splitting semiconductor wafers | INFINEON TECHNOLOGIES AG |
11081395 | Fin field effect transistor having air gap and method for manufacturing the same | -- |
11081396 | Semiconductor device and method | -- |
11081398 | Method and structure to provide integrated long channel vertical FinFet device | GLOBALEOUNDRIES U.S. INC. |
11081399 | Method of producing microelectronic components | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081400 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081401 | Semiconductor device and method for manufacturing the same | -- |
11081402 | Replacement gate process for semiconductor devices | -- |
11081403 | Methods of forming contact features in field-effect transistors | -- |
11081404 | Source/drain for gate-all-around devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081408 | Methods for wafer warpage control | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11081410 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11081423 | Power distribution by a working fluid contained in a conduit | THE BOEING COMPANY |
11081432 | Semiconductor device with semiconductor element and electrodes on different surfaces | ROHM CO., LTD. |
11081444 | Integrated circuit with guard ring | -- |
11081452 | Field effect transistor and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11081454 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081471 | LED module with hermetic seal of wavelength conversion material | LUMILEDS LLC |
11081473 | Semiconductor device package and method of manufacturing the same | -- |
11081475 | Integrated circuit structure and method for reducing polymer layer delamination | -- |
11081477 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081480 | Semiconductor structure, capacitor structure thereof and manufacturing method of the same | -- |
11081481 | Semiconductor device with an IGBT region and a non-switchable diode region | INFINEON TECHNOLOGIES AG |
11081482 | Fabrication of vertical fin field effect transistors having top air spacers and a self aligned top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081483 | CMOS circuit with a group III-nitride transistor and method of providing same | INTEL CORPORATION |
11081484 | IC unit and method of manufacturing the same, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11081485 | Monolithic integrated circuit device having gate-sinking pHEMTs | -- |
11081486 | Integrated circuit having memory cell array including barriers, and method of manufacturing same | OVONYX MEMORY TECHNOLOGY, LLC |
11081488 | Integrated circuit with vertically structured capacitive element, and its fabricating process | STMICROELECTRONICS (ROUSSET) SAS |
11081491 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081492 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11081494 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
11081495 | Integrated structures | MICRON TECHNOLOGY, INC. |
11081497 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081498 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081500 | Semiconductor structure and method of forming the same | -- |
11081501 | Thin film transistor and method of fabricating the same, array substrate and method of fabricating the same, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081502 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081504 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081505 | Semiconductor device and manufacturing method of the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081507 | Semiconductor device and method for manufacturing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11081508 | Solid state imaging element and electronic device | SONY CORPORATION |
11081517 | Active matrix substrate, x-ray imaging panel with the same, and method of manufacturing the same | SHARP KABUSHIKI KAISHA |
11081519 | Light emitting device, projector, and method of manufacturing light emitting device | SEIKO EPSON CORPORATION |
11081520 | Luminescence diode with first and second layer sequences having an arrangement of microprisms and method for producing the same | OSRAM OLED GMBH |
11081521 | Process for manufacturing a plurality of crystalline semiconductor islands having a variety of lattice parameters | SOITEC |
11081522 | Wiring line layout in a semiconductor memory device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081523 | Memory devices and methods of forming memory devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11081525 | Storage device | TOSHIBA MEMORY CORPORATION |
11081526 | Nonvolatile memory device | KIOXIA CORPORATION |
11081527 | Solid-state image pickup device and manufacturing method thereof | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081528 | Imaging device including photoelectric conversion layer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11081529 | Display device | SONY CORPORATION |
11081532 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081533 | Display apparatus and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11081535 | Display panel, method for manufacturing the same, and display device | BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD. |
11081536 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081538 | Organic light emitting diode display device having a circuit structure buried in a substrate thereof | SAMSUNG DISPLAY CO., LTD. |
11081540 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11081541 | Method of providing partial electrical shielding | INTEL CORPORATION |
11081542 | Buried MIM capacitor structure with landing pads | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081543 | Multi-spheroid BEOL capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081544 | Method of manufacturing a semiconductor device comprising first and second field stop zone portions | INFINEON TECHNOLOGIES AG |
11081545 | Semiconductor device | ROHM CO., LTD. |
11081546 | Isolation structure for stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081547 | Method for making superimposed transistors | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081548 | Bipolar transistor | -- |
11081549 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11081550 | Tunnel field-effect transistor having a stacked structure including a first active region and a second active region | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11081551 | Method for producing a graphene-based sensor | INFINEON TECHNOLOGIES AG |
11081553 | Method of forming split gate memory cells | SILICON STORAGE TECHNOLOGY, INC. |
11081554 | Insulated gate semiconductor device having trench termination structure and method | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11081555 | Electronic devices with ultra-high dielectric constant passivation and high mobility materials | OHIO STATE INNOVATION FOUNDATION |
11081556 | Silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11081557 | Memory and method for forming the same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11081558 | LDMOS with high-k drain STI dielectric | TEXAS INSTRUMENTS INCORPORATED |
11081559 | Backside contact of a semiconductor device | QUALCOMM INCORPORATED |
11081560 | Semiconductor devices and methods for forming the same | -- |
11081561 | Field-effect transistors with vertically-serpentine gates | GLOBALFOUNDRIES U.S. INC. |
11081562 | Semiconductor device with a programmable contact and method for fabricating the same | -- |
11081563 | Formation of silicide contacts in semiconductor devices | -- |
11081564 | Semiconductor device and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11081565 | Memory modules and memory packages including graphene layers for thermal management | MICRON TECHNOLOGY, INC. |
11081566 | Self-aligned contacts for vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081567 | Replacement-channel fabrication of III-V nanosheet devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081568 | Protective bilayer inner spacer for nanosheet devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081569 | Resistor loaded inverter structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081570 | Transistors with lattice matched gate structure | INTEL CORPORATION |
11081571 | Structure and formation method of semiconductor device structure with a dummy fin structure | -- |
11081572 | Integrated circuit heat dissipation using nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081573 | Semiconductor element | MURATA MANUFACTURING CO., LTD. |
11081574 | IGBT power device | SUZHOU ORIENTAL SEMICONDUCTOR CO., LTD. |
11081575 | Insulated gate bipolar transistor device and method for manufacturing the same | ZHONG SHAN HONSON ELECTRONIC TECHNOLOGIES LIMITED |
11081576 | Insulated-gate semiconductor device and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
11081577 | Electronic device including two-dimensional electron gas and method of fabricating the same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY ERICA CAMPUS |
11081578 | III-V depletion mode semiconductor device | CAMBRIDGE GAN DEVICES LIMITED |
11081579 | High electron mobility transistor and method of fabricating the same | -- |
11081580 | High-voltage semiconductor devices and methods for manufacturing the same | -- |
11081581 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081582 | High voltage (HV) metal oxide semiconductor field effect transistor (MOSFET) in semiconductor on insulator (SOI) technology | QUALCOMM INCORPORATED |
11081583 | FinFET with dielectric isolation after gate module for improved source and drain region epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081584 | Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices | -- |
11081585 | Via structure with low resistivity and method for forming the same | -- |
11081586 | Thin film transistor and method for manufacturing the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11081587 | Thin film transistor and method for manufacturing the same, display panel and method for manufacturing the same | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081588 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11081589 | Semiconductor device and manufacturing method thereof | -- |
11081590 | Metal oxide semiconductor field effect transistor with crystalline oxide layer on a III-V material | SAMSUNG ELECTRONICS CO., LTD. |
11081591 | Semiconductor device and display unit | JOLED INC. |
11081592 | Semiconductor device and manufacturing method thereof | -- |
11081593 | Integration of graphene and boron nitride hetero-structure device | TEXAS INSTRUMENTS INCORPORATED |
11081594 | Thin film transistor and display panel using the same | LG DISPLAY CO., LTD. |
11081595 | Multi-gate transistor and memory device using the same | -- |
11081596 | Semiconductor device and manufacturing device of the same | RENESAS ELECTRONICS CORPORATION |
11081597 | Lateral schottky diode with high breakdown voltage capability | CHENGDU MONOLITHIC POWER SYSTEMS CO., LTD. |
11081598 | Trench MOS Schottky diode | TAMURA CORPORATION |
11081599 | Single photon avalanche diode and array of single photon avalanche diodes | AMS AG |
11081600 | Light filter structure | -- |
11081601 | Single-step metal bond and contact formation for solar cells | SUNPOWER CORPORATION |
11081602 | Optical semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11081603 | Organic solar cell and manufacturing method therefor | LG CHEM, LTD. |
11081604 | Device and method for bowtie photoconductive antenna for terahertz wave detection | TON DUC THANG UNIVERSITY |
11081605 | Semiconductor laminate, light-receiving element, and method for manufacturing semiconductor laminate | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11081606 | Flexible and rollable photovoltaic cell having enhanced properties of mechanical impact absorption | SOLARPAINT LTD. |
11081607 | Solar panel comprising notably a structure and at least two photovoltaic cells | CENTRE NATIONAL D'ETUDES SPATIALES |
11081608 | Apparatus and method for determining an order of power devices in power generation systems | SOLAREDGE TECHNOLOGIES LTD. |
11081609 | Solar cell structure and composition and method for forming the same | THE BOEING COMPANY |
11081610 | Anode up—cathode down silicon and germanium photodiode | NEWPORT FAB, LLC |
11081611 | Photodetector architectures for efficient fast-gating comprising a control system controlling a current drawn by an array of photodetectors with a single photon avalanche diode | HI LLC |
11081612 | Avalanche photodiode | SHARP KABUSHIKI KAISHA |
11081613 | Gallium nitride based ultra-violet sensor with intrinsic amplification and method of operating same | TOWER SEMICONDUCTOR LTD. |
11081614 | Integrated sensor of ionizing radiation and ionizing particles | LFOUNDRY S.R.L. |
11081615 | Protection method for through-holes of a semiconductor wafer | AZUR SPACE SOLAR POWER GMBH |
11081616 | Method for producing a CdTe solar cell | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11081617 | Solar battery device and method for manufacturing solar battery device | KYOCERA CORPORATION |
11081618 | Buried activated p-(Al,In)GaN layers | GALLIUM ENTERPRISES PTY LTD |
11081619 | Light-emitting element and method of manufacturing same | NICHIA CORPORATION |
11081620 | Method of producing a semiconductor component | OSRAM OLED GMBH |
11081621 | Display panel | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11081622 | III-nitride multi-wavelength LED for visible light communication | LUMILEDS LLC |
11081623 | Oxygen controlled PVD AlN buffer for GaN-based optoelectronic and electronic devices | APPLIED MATERIALS, INC. |
11081624 | Method of manufacturing light emitting device having light guiding member in groove | NICHIA CORPORATION |
11081625 | Packaged LEDs with phosphor films, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11081626 | Light emitting diode packages | CREELED, INC. |
11081627 | Semiconductor device and method for manufacturing the same | NICHIA CORPORATION |
11081628 | White-appearing semiconductor light-emitting devices having a temperature sensitive low-index particle layer | LUMILEDS LLC |
11081629 | Light-emitting component and method for producing a light-emitting component | OSRAM OPTO SEMICONDUCTORS GMBH |
11081630 | Light emitting device package with a coating layer | LUMILEDS LLC |
11081631 | Asymmetrically shaped light-emitting device, backlight module using the same, and method for manufacturing the same | -- |
11081632 | Micro-LED chips and methods for manufacturing the same and display devices | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11081633 | Thermoelectric generation device | KELK LTD. |
11081634 | Use of selective hydrogen etching technique for building topological qubits | MICROSOFT TECHNOLOGY LICENSING, LLC |
11081635 | Method and apparatus for driving a piezoelectric transducer with stored charge recovery | MAXIM INTEGRATED PRODUCTS, INC. |
11081636 | Piezoelectric actuator | BROTHER KOGYO KABUSHIKI KAISHA |
11081637 | Laminate structure, piezoelectric element, and method of manufacturing piezoelectric element | FUJIFILM CORPORATION |
11081638 | Piezoelectric element, vibrator, vibration wave motor, optical apparatus, and electronic apparatus | CANON KABUSHIKI KAISHA |
11081639 | Piezoelectric element manufacturing method | KONICA MINOLTA, INC. |
11081640 | Magnetic random access memory bottom electrode self-aligned to underlying interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081641 | Magnetoresistance effect element, magnetic memory, and method for manufacturing magnetoresistance effect element | TOHOKU UNIVERSITY |
11081642 | MTJ CD variation by HM trimming | -- |
11081643 | Bevel metal removal using ion beam etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081644 | Apparatuses including electrodes having a conductive barrier material and methods of forming same | MICRON TECHNOLOGY, INC. |
11081645 | Mask assembly with surface roughened mask sheet at welding location, method of manufacturing the same, and method of manufacturing display device using the same | SAMSUNG DISPLAY CO., LTD. |
11081646 | Coating composition, method for producing organic electroluminescent device using same, and organic electroluminescent device produced thereby | LG CHEM, LTD. |
11081647 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11081648 | Organic compound, and organic light emitting diode and organic light emitting display device including the same | LG DISPLAY CO., LTD. |
11081649 | Compound for organic electronic element, organic electronic element using same, and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11081650 | Spiro compound and organic light-emitting element comprising same | LG CHEM, LTD. |
11081651 | Organic semiconductor element, organic semiconductor composition, method of manufacturing organic semiconductor film, organic semiconductor film, and compound and polymer using the same | FUJIFILM CORPORATION |
11081652 | Organic light-emitting diode comprising different matrix compounds in the first and second electron transport layer | NOVALED GMBH |
11081653 | Heterocyclic compound and organic light emitting element using same | LT MATERIALS CO., LTD. |
11081654 | Blue light TADF material, preparation method thereof and electroluminescent device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081655 | Heterocyclic compound and organic light emitting device using the same | LG CHEM, LTD. |
11081657 | Radiation detector | KABUSHIKI KAISHA TOSHIBA |
11081658 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11081659 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11081660 | Display device and support film structure for display device | SAMSUNG DISPLAY CO., LTD. |
11081661 | Flexible organic light-emitting diode panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081662 | Photoelectric conversion element and solar cell | RICOH COMPANY, LTD. |
11081663 | Organic electroluminescent display panel with auxiliary electrodes, method for manufacturing the same, and display device using the same | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11081664 | Organic electroluminescent element having stacked light emitting units | XIANYANG CHVT NEW DISPLAY TECHNOLOGY CO., LTD. |
11081665 | Display device having buffer layer | JAPAN DISPLAY INC. |
11081666 | Film material and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081667 | OLED display motherboard with crack stop slits | BOE TECHNOLOGY GROUP CO., LTD. |
11081668 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081669 | Encapsulation film | LG CHEM, LTD. |
11081670 | Display device | SAMSUNG DISPLAY CO., LTD. |
11081671 | OLED encapsulation structure, display device and method for manufacturing OLED encapsulation structure | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081672 | Resin film for electronic devices, and electronic device | ZEON CORPORATION |
11081673 | Reflective display device | LG DISPLAY CO., LTD. |
11081674 | Organic EL panel-use transparent resin layer, organic EL panel, organic EL lighting device, and organic EL display | HOTALUX, LTD. |
11081675 | Display unit and electronic apparatus | JOLED INC. |
11081676 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11081678 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11081679 | Method for forming an organic electroluminescence (EL) element with annealing temperatures for different pixels | MERCK PATENT GMBH |
11081680 | Pixel structure, method for forming the same, and display screen | SEEYA OPTRONICS CO., LTD. |
11081889 | Systems and methods for remote or local shut-off of a photovoltaic system | TIGO ENERGY, INC. |
11081956 | Thermoelectric generator with starting circuit | THE SWATCH GROUP RESEARCH AND DEVELOPMENT LTD |
11082000 | Thermophotovoltaic panel and a method for making a thermophotovoltaic panel | ALMA MATER STUDIORUM UNIVERSITA DI BOLOGNA |
11082011 | RF power amplifier performance by clipping prevention of large PAPR signals | HARMONIC, INC. |
11082024 | Temperature stable mems resonator | SITIME CORPORATION |
11082025 | Joined body of piezoelectric material substrate and support substrate | NGK INSULATORS, LTD. |
11082026 | Joined body of piezoelectric material substrate and support substrate | NGK INSULATORS, LTD. |
11082027 | Acoustic wave device | TAIYO YUDEN CO., LTD. |
11082028 | 3D-printed protective shell structures with support columns for stress sensitive circuits | TEXAS INSTRUMENTS INCORPORATED |
11082039 | GaN transistor with integrated drain voltage sense for fast overcurrent and short circuit protection | GAN SYSTEMS INC. |
11082040 | Devices and methods for improving voltage handling and/or bi-directionality of stacks of elements when connected between terminals | PSEMI CORPORATION |
11082041 | Switching circuit and operation method | -- |
11082375 | Object replication inside collaboration systems | SAP SE |
11082788 | Composite electrode, acoustic sensor using the same, and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11083054 | Flexible display panel and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11083059 | Lumiphoric arrangements for light emitting diode packages | CREELED, INC. |
11083060 | Lighting apparatus and lighting system including the same | SEOUL SEMICONDUCTOR CO., LTD. |
11083116 | Cooler for display, and display device having same | LG ELECTRONICS INC. |
11083259 | Solar-powered charging umbrella with USB ports | ZON, INC. |
11083433 | Method of manufacturing high frequency ultrasound transducer having an ultrasonic lens with integral central matching layer | FUJIFILM SONOSITE, INC. |
11083589 | Multi-walled placeholder | BIEDERMANN TECHNOLOGIES GMBH & CO. KG |
11084100 | Laser-assisted manufacturing system and associated method of use | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11084127 | Laser lift off method and laser lift off system | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11084211 | Additive manufacturing print-heads for exotic material applications | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
11084265 | Manufacturing system for laminated film and manufacturing method for laminated film | SHANJIN OPTOELECTRONICS (SUZHOU) CO., LTD. |
11084735 | System and method for sorbtion distillation | -- |
11084806 | Compound for organic optoelectronic device, composition for organic optoelectronic device, organic optoelectronic device, and display device | SAMSUNG SDI CO., LTD. |
11084838 | Organic electroluminescent materials and device | UNIVERSAL DISPLAY CORPORATION |
11084923 | Fluoropolymer compositions comprising a copolymer of vinylidene fluoride and trifluoroethylene and a fluorinated elastomer | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11084928 | Transparent siloxane encapsulant and adhesive | INKRON OY |
11084979 | Europium-activated alkaline-earth chloroapatite phosphor and light-emitting device thereof | TOSHIBA MATERIALS CO., LTD. |
11084980 | Phosphor and light-emitting equipment using phosphor | MITSUBISHI CHEMICAL CORPORATION |
11085061 | Compositions comprising a GH61 polypeptide having cellulolytic enhancing activity and a liquor and method of using thereof | NOVOZYMES, INC. |
11085110 | Mask and method of manufacturing the same | LG DISPLAY CO., LTD. |
11085128 | Dopant concentration control in silicon melt to enhance the ingot quality | -- |
11085130 | Method for producing nanostructures | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11085347 | Drive unit for a motor vehicle having a combined arrangement of a cyclic process device and a thermoelectric generator | VOLKSWAGEN AKTIENGESELLSCHAFT |
11085605 | Lighting apparatus | NICHIA CORPORATION |
11085627 | Elongated modular heatsink with coupled light source luminaire | EXPOSURE ILLUMINATION ARCHITECTS, INC. |
11085760 | Shape measurement sensor | HAMAMATSU PHOTONIC K.K. |
11085976 | Redundant sensor fault detection | MELEXIS TECHNOLOGIES SA |
11086013 | Micro-optics for imaging module with multiple converging lenses per channel | OUSTER, INC. |
11086030 | Radiation imaging apparatus, manufacturing method thereof, and radiation imaging system | CANON KABUSHIKI KAISHA |
11086047 | Narrow-band frequency filters and splitters, photonic sensors, and cavities having pre-selected cavity modes | THE TRUSTEES OF PRINCETON UNIVERSITY |
11086056 | Micro-optical assemblies including transparent substrates having graphic layer and method of making thereof | 3M INNOVATIVE PROPERTIES COMPANY |
11086064 | Light emitting unit, display, and lighting apparatus | SATURN LICENSING LLC |
11086175 | Display device and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11086219 | Negative-type photosensitive resin composition, cured film, display device that includes the cured film, and production method therefor | TORAY INDUSTRIES, INC. |
11086364 | Display device, electronic device, and system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11086431 | Display device and method for providing haptic feedback by display device | SAMSUNG DISPLAY CO., LTD. |
11086439 | Display panel with metal mesh units and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11086467 | Display device | SAMSUNG DISPLAY CO., LTD. |
11087107 | Ultrasonic sensor with bi-poled or uni-poled transmitter/receiver | QUALCOMM INCORPORATED |
11087110 | Display device including an optical fingerprint sensor | SAMSUNG DISPLAY CO., LTD. |
11087646 | Electrode or wiring comprising amorphous metal layer, flexible display device comprising the same and manufacturing method thereof | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION, SEJONG CAMPUS |
11087670 | Electronic device display with monitoring circuitry utilizing a crack detection resistor | APPLE INC. |
11087671 | Pixel structure | -- |
11087675 | Display device, operation method thereof, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11087678 | Organic light-emitting display | SAMSUNG DISPLAY CO., LTD. |
11087696 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11087699 | Display device | SAMSUNG DISPLAY CO., LTD. |
11087810 | Free layer structure in magnetic random access memory (MRAM) for Mo or W perpendicular magnetic anisotropy (PMA) enhancing layer | -- |
11087811 | NVM synaptic element with gradual reset capability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11087831 | Gate-all-around memory devices | -- |
11087839 | Nonvolatile memory device with vertical string including semiconductor and resistance change layers, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11087840 | Method of operating resistive memory device to increase read margin | SAMSUNG ELECTRONICS CO., LTD. |
11087842 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. |
11087909 | Electronic component, electronic apparatus, and method for manufacturing electronic component | TAIYO YUDEN CO., LTD. |
11087927 | Substrates employing surface-area amplification, for use in fabricating capacitive elements and other devices | MURATA MANUFACTURING CO., LTD. |
11087952 | Linear structure for displacement transmission, and one-dimensional and three-dimensional micro movement device using same | KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE |
11087976 | Kesterite material of CZTS, CZTSe or CZTSSe type | HALDOR TOPSØE A/S |
11087977 | P-type oxide semiconductor and method for manufacturing same | FLOSFIA INC |
11087978 | Oxide semiconductor layer and preparation method thereof, device, substrate and means | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087981 | Poly-silicon layer and method of manufacturing the same, methods of manufacturing thin film transistor and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11087984 | Selective deposition by laser heating for forming a semiconductor structure | -- |
11087986 | Semiconductor device manufacturing method and semiconductor device | FUJI ELECTRIC CO., LTD. |
11087987 | Semiconductor device and method | -- |
11087988 | Semiconductor device structure with silicide and method for forming the same | -- |
11087990 | Semiconductor device with a stacked structure and a capping insulation layer | SAMSUNG ELECTRONICS CO., LTD. |
11087991 | Integrated structures, capacitors and methods of forming capacitors | MICRON TECHNOLOGY, INC. |
11087996 | Dry cleaning apparatus and dry cleaning method | SAMSUNG ELECTRONICS CO., LTD. |
11088010 | Temporary bonding method with thermoplastic adhesive incorporating a rigid ring | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11088014 | Semiconductor device, method, and multi-wafer deposition apparatus | -- |
11088018 | Method of forming contacts in a semiconductor device | -- |
11088019 | Method to create air gaps | LAM RESEARCH CORPORATION |
11088022 | Different isolation liners for different type FinFETs and associated isolation feature fabrication | -- |
11088024 | Forming a thin film resistor (TFR) in an integrated circuit device | MICROCHIP TECHNOLOGY INCORPORATED |
11088025 | Contact structure for semiconductor device | -- |
11088026 | Wimpy device by selective laser annealing | ELPIS TECHNOLOGIES INC. |
11088027 | Transistor structure | -- |
11088028 | Fin field-effect transistor device and method of forming the same | -- |
11088029 | Gate stack treatment | -- |
11088030 | Semiconductor device and a method for fabricating the same | -- |
11088031 | Semiconductor and method of fabricating the same | KEY FOUNDRY CO., LTD. |
11088032 | Electronic device based on two-dimensional semiconductor and method for manufacturing electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11088033 | Low resistance source-drain contacts using high temperature silicides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088034 | Gate structures for semiconductor devices | -- |
11088035 | Fabrication of thin-film encapsulation layer for light emitting device | KATEEVA, INC. |
11088036 | Atom probe tomography specimen preparation | -- |
11088040 | Cell-like floating-gate test structure | -- |
11088044 | Compound semiconductor device and fabrication method therefor, and amplifier | FUJITSU LIMITED |
11088045 | Semiconductor device having a cooling body with a groove | MITSUBISHI ELECTRIC CORPORATION |
11088050 | 3D semiconductor device with isolation layers | MONOLITHIC 3D INC. |
11088060 | Package module including a plurality of electronic components and semiconductor chip(s) embedded in a single package | SAMSUNG ELECTRONICS CO., LTD. |
11088073 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11088074 | Semiconductor device and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
11088075 | Layout structures with multiple fingers of multiple lengths | GLOBALFOUNDRIES U.S. INC. |
11088078 | Semiconductor device and method for manufacturing the same | -- |
11088083 | DC and AC magnetic field protection for MRAM device using magnetic-field-shielding structure | -- |
11088084 | Electromagnetic shielding metal-insulator-metal capacitor structure | -- |
11088085 | Layout to reduce noise in semiconductor devices | -- |
11088118 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11088119 | High efficiency chip-on-board light-emitting diode | BRIDGELUX, INC. |
11088120 | Panel for display by micro LED and method for making same | -- |
11088121 | Printed LED arrays with large-scale uniformity | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11088122 | Method and device for manufacturing flexible light emission device | SAKAI DISPLAY PRODUCTS CORPORATION |
11088123 | Package system having laterally offset and ovelapping chip packages | MARVELL ISRAEL (M.I.S.L) LTD. |
11088125 | IPD modules with flexible connection scheme in packaging | -- |
11088126 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11088127 | Multichannel monostatic rangefinder | WAYMO LLC |
11088133 | Electrostatic discharge protection device | SK HYNIX INC. |
11088136 | Semiconductor device and manufacturing method thereof | -- |
11088137 | Method for enlarging tip portion of a fin-shaped structure | -- |
11088138 | Semiconductor device for testing characteristics of transistors and method for testing semiconductor device | THE INDUSTRY & ACADEMIC COOPERATION IN CHUNGNAM NATIONAL UNIVERSITY (IAC) |
11088139 | Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088141 | Semiconductor device and method for fabricating the same | -- |
11088144 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088148 | Semiconductor memory devices including separate upper and lower bit line spacers | SAMSUNG ELECTRONICS CO., LTD. |
11088150 | Semiconductor device and manufacturing method thereof | -- |
11088151 | 4Cpp SRAM cell and array | -- |
11088152 | Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11088154 | Ferroelectric device and methods of fabrication thereof | -- |
11088155 | Method for fabricating split-gate non-volatile memory | NEXCHIP SEMICONDUCTOR CO., LTD |
11088156 | Memory cells with extended erase gate, and process of fabrication | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11088158 | SONOS memory and method for manufacturing the same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11088159 | Inter-digitated capacitor in flash technology | -- |
11088162 | Semiconductor memory device and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
11088163 | Semiconductor devices including upper and lower selectors | SAMSUNG ELECTRONICS CO., LTD. |
11088166 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11088167 | Transistor, three dimensional memory device including such transistor and method of fabricating such memory device | -- |
11088168 | Semiconductor devices and methods of fabrication | MICRON TECHNOLOGY, INC. |
11088169 | Integrated assemblies having thicker semiconductor material along one region of a conductive structure than along another region, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11088170 | Three-dimensional ferroelectric memory array including integrated gate selectors and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11088173 | Method for making displays | -- |
11088174 | Display substrate with gate insulation layers having different thicknesses, manufacturing method of the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088175 | Display panel, method for driving the same, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11088180 | Conductive wire structure and manufacturing method thereof, array substrate and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088183 | Manufacturing method of low temperature poly-silicon (LTPS) thin film transistor (TFT) substrate and the LTPS TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088184 | Array substrate and method of manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11088189 | High light absorption structure for semiconductor image sensor | -- |
11088191 | Photoelectric conversion device having isolation portions, and imaging system and moving body having photoelectric conversion device | CANON KABUSHIKI KAISHA |
11088195 | Solid-state image pickup element, method of manufacturing solid-state image pickup element, and electronic apparatus | SONY CORPORATION |
11088197 | Light emitting device and manufacturing method of the light emitting device | SAMSUNG DISPLAY CO., LTD. |
11088198 | Display device and manufacturing method of display device | SAMSUNG DISPLAY CO., LTD. |
11088199 | Semiconductor device | -- |
11088200 | Lattice matched seed layer to improve PMA for perpendicular magnetic pinning | -- |
11088201 | Magnetic tunneling junction (MTJ) element with an amorphous buffer layer and its fabrication process | -- |
11088202 | Method of forming memory cell | -- |
11088203 | 3D RRAM cell structure for reducing forming and set voltages | -- |
11088204 | Three terminal selectors for memory applications and their methods of fabrication | INTEL CORPORATION |
11088205 | High-density field-enhanced ReRAM integrated with vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088206 | Methods of forming a phase change memory with vertical cross-point structure | SANDISK TEHNOLOGIES LLC |
11088207 | Solid-state image sensor, photoelectric conversion film, electron blocking layer, imaging apparatus, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11088208 | Display apparatus | LG DISPLAY CO., LTD. |
11088210 | Display device including a first pixel, a second pixel and a third pixel at least partially separated from each of the first pixel and the second pixel | SAMSUNG DISPLAY CO., LTD. |
11088211 | Display substrate, manufacturing method thereof, and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088212 | OLED display substrate, manufacturing method and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088213 | Display substrate, display apparatus, method of controlling display substrate, and method of fabricating display substrate | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11088214 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
11088216 | Color control member and display device employing the same | SAMSUNG DISPLAY CO., LTD. |
11088217 | OLED module and display device having the same | LG DISPLAY CO., LTD. |
11088218 | Electric panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11088220 | Display device including touch sensor and method of manufacturing the same | LG DISPLAY CO., LTD. |
11088221 | Display device including a blocking unit | SAMSUNG DISPLAY CO., LTD. |
11088222 | Display device comprising a thin glass material layer | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088224 | Display substrate, method for manufacturing the same and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088225 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088226 | Display substrate, display apparatus, method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11088227 | Display panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11088228 | Light-emitting device and light-emitting system | PIONEER CORPORATION |
11088231 | Organic light emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088232 | Display device | SAMSUNG DISPLAY CO., LTD. |
11088234 | Array substrate and manufacturing method thereof, and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088236 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11088237 | Self-light emitting display device | LG DISPLAY CO., LTD. |
11088239 | Cap structure for trench capacitors | -- |
11088240 | Capacitor structure | SAMSUNG ELECTRONICS CO., LTD. |
11088241 | Pin diode including a conductive layer, and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
11088242 | Crystal, crystalline oxide semiconductor, semiconductor film containing crystalline oxide semiconductor, semiconductor device including crystal and/or semiconductor film and system including semiconductor device | FLOSFIA INC. |
11088243 | Semiconductor device | ROHM CO., LTD. |
11088244 | Devices having substrates with selective airgap regions | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11088245 | Integrated circuit device with source/drain barrier | -- |
11088246 | Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor | -- |
11088247 | Method of fabrication of a semiconductor device including one or more nanostructures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11088248 | LDD-free semiconductor structure and manufacturing method of the same | -- |
11088249 | Semiconductor device with implant and method of manufacturing same | -- |
11088250 | Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same | -- |
11088251 | Source/drain contacts for semiconductor devices and methods of forming | -- |
11088252 | Three-dimensional memory device with a silicon carbon nitride interfacial layer in a charge storage layer and methods of making the same | SANDISK TECHNOLOGIES LLC |
11088253 | Gate structure of semiconductor device and manufacturing method therefor | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11088254 | Semiconductor device and method of manufacturing the same | -- |
11088255 | Semiconductor devices | -- |
11088256 | Semiconductor devices | -- |
11088257 | Semiconductor device and method of manufacturing the same | -- |
11088258 | Method of forming multiple-Vt FETs for CMOS circuit applications | SAMSUNG ELECTRONICS CO., LTD. |
11088259 | Method of manufacturing an electronic component including multiple quantum dots | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11088260 | On-chip integrated temperature protection device based on gel electrolyte | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088261 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11088262 | Radical etching in gate formation | -- |
11088263 | Method of forming vertical field effect transistor device | IMEC VZW |
11088264 | Self-aligned channel-only semiconductor-on-insulator field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088265 | Semiconductor structure having a repaired dielectric layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11088267 | Semiconductor device with diode and silicon controlled rectifier (SCR) | -- |
11088268 | Methods and devices for fabricating and assembling printable semiconductor elements | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11088269 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11088270 | Microwave transistor with a patterned gate structure and manufacturing method thereof | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. . |
11088271 | High electron mobility transistor and method for fabricating the same | -- |
11088272 | Semiconductor device | ROHM CO., LTD. |
11088273 | Semiconductor device with integrated clamp diode | NEXPERIA B.V. |
11088274 | Semiconductor device structure and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11088275 | Method for operating a superjunction transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11088276 | Silicon carbide semiconductor device | HITACHI, LTD. |
11088277 | Power MOSFETs structure | -- |
11088278 | Precise junction placement in vertical semiconductor devices using etch stop layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088279 | Channel strain formation in vertical transport FETS with dummy stressor materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088280 | Transistor and method of forming same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088281 | Semiconductor arrangement and method of manufacture | -- |
11088282 | TFT substrate, scanned antenna having TFT substrate, and method for manufacturing TFT substrate | SHARP KABUSHIKI KAISHA |
11088283 | Thin film transistor, method of fabricating thin film transistor and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11088284 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11088285 | Oxide semiconductor field effect transistor and forming method thereof | -- |
11088286 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088287 | Thin film transistor and manufacturing method thereof, array substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088288 | Stacked-nanosheet semiconductor structures with support structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088289 | NAND flash memory with vertical cell stack structure and method for manufacturing same | MOSAID TECHNOLOGIES INCORPORATED |
11088290 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
11088291 | Ultra-low reflectance broadband omni-directional anti-reflection coating | RENSSELAER POLYTECHNIC INSTITUTE |
11088292 | Methods of forming a colored conductive ribbon for integration in a solar module | THE SOLARIA CORPORATION |
11088293 | Methods and apparatus for producing copper-indium-gallium-selenium (CIGS) film | APPLIED MATERIALS, INC. |
11088294 | Photovoltaic cell assembly, photovoltaic cell array, and solar cell assembly | BYD COMPANY LIMITED |
11088295 | Group III nitride based LED structures including multiple quantum wells with barrier-well unit interface layers | CREELED, INC. |
11088296 | Light-emitting diode substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088297 | Method of separating a component using predetermined breaking position and a component obatined by such method | OSRAM OLED GMBH |
11088298 | Light-emitting device | -- |
11088299 | Group 13 element nitride layer, free-standing substrate and functional element | NGK INSULATORS, LTD. |
11088300 | Optoelectronic device | ALEDIA |
11088301 | Display device using semiconductor light-emitting element | LG ELECTRONICS INC. |
11088302 | Light-emitting device | OSRAM OPTO SEMICONDUCTORS GMBH |
11088303 | Light emitting device | TOYODA GOSEI CO., LTD. |
11088304 | Display device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088305 | Method for forming light-transmissive member including pressing die into resin body and irradiating resin body with ultraviolet rays | NICHIA CORPORATION |
11088306 | Light-emitting devices and methods for manufacturing the same | -- |
11088307 | Semiconductor light-emitting device | ROHM CO., LTD. |
11088308 | Junction structure | TDK CORPORATION |
11088309 | Thermoelectric conversion element and thermoelectric conversion module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11088310 | Through-silicon-via fabrication in planar quantum devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088311 | Three-dimensional integration for qubits on multiple height crystalline dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088312 | Method for the in situ production of Majorana material superconductor hybrid networks and to a hybrid structure which is produced using the method | FORSCHUNGSZENTRUM JUELICH GMBH |
11088313 | Layered body | MITSUI CHEMICALS, INC. |
11088314 | Ultrasonic transducer and method for manufacturing the same, display substrate and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11088315 | Piezoelectric MEMS microphone | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11088316 | Helical dielectric elastomer actuator | EMBRY-RIDDLE AERONAUTICAL UNIVERSITY, INC. |
11088317 | Structures and methods for shielding magnetically sensitive components | EVERSPIN TECHNOLOGIES, INC. |
11088318 | Spin orbit torque magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
11088319 | Magnetic tunnel junction including a free layer structure and magnetic memory device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11088320 | Fabrication of large height top metal electrode for sub-60nm magnetoresistive random access memory (MRAM) devices | -- |
11088321 | Highly selective ion beam etch hard mask for sub 60nm MRAM devices | -- |
11088322 | Capacitive and ohmic terminals in a phase-change material (PCM) radio frequency (RF) switch | NEWPORT FAB, LLC |
11088323 | Top electrode last scheme for memory cell to prevent metal redeposit | -- |
11088324 | Controlled deposition of materials using a differential pressure regime | UNIVERSAL DISPLAY CORPORATION |
11088325 | Organic vapor jet micro-print head with multiple gas distribution orifice plates | UNIVERSAL DISPLAY CORPORATION |
11088326 | Substrate for use in manufacturing display device and method for forming element on substrate | SAMSUNG DISPLAY CO., LTD. |
11088327 | Method for patterning a coating on a surface and device including a patterned coating | OTI LUMIONICS INC. |
11088328 | Method of analyzing organic semiconductor element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088329 | Carrier substrate and fabricating method thereof, flexible substrate and fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088330 | Compound for organic electronic element, organic electronic element using same, and electronic device comprising same | DUK SAN NEOLUX CO., LTD |
11088332 | Compound, light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088334 | Organic electroluminescent element | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
11088335 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088336 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11088337 | Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors | -- |
11088338 | Excitonic energy transfer to increase inorganic solar cell efficiency | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11088339 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11088340 | Display device and method for manufacturing display device | JAPAN DISPLAY INC. |
11088341 | Organic light emitting diode | SAMSUNG DISPLAY CO., LTD. |
11088342 | Display device | -- |
11088343 | Electronic device including display panel including electrodes having different shapes for respective areas | SAMSUNG ELECTRONICS CO., LTD. |
11088344 | Display including filler member having separation space allowing light to be transmitted to optical adhesive member and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11088345 | Organic light emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088346 | WOLED display panel with CF layer arranged inside thin-film encapsulation layer for reducing thickness and achieving flexibility and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088347 | Light emitting device | -- |
11088348 | Method for producing organic electroluminescent display device comprising polydiacetylene layer | SAKAI DISPLAY PRODUCTS CORPORATION |
11088349 | Display module | SAMSUNG DISPLAY CO., LTD. |
11088350 | Display device and method for manufacturing the same | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11088351 | Display panels and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11088352 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11088353 | Solid-state total reflection display and manufacture method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11088354 | Light-emitting panel and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088355 | Display unit with prevented current leakage, method of manufacturing the same, and method of manufacturing electronic apparatus | SONY CORPORATION |
11088356 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11088508 | Controlling beam divergence in a vertical-cavity surface-emitting laser | LUMENTUM OPERATIONS LLC |
11088637 | Power generating element | TRI-FORCE MANAGEMENT CORPORATION |
11088653 | Solar energy collector having a tree structure | -- |
11088657 | Vibration element, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11088673 | Composite filter device, high-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11088674 | Saw filter manufacturing method and saw filter | DISCO CORPORATION |
11088686 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11088688 | Configurations of composite devices comprising of a normally-on FET and a normally-off FET | LOGISIC DEVICES, INC. |
11088722 | Redundant power line control systems | CRIUS TECHNOLOGY GROUP, LLC |
11089251 | Image sensor and image capturing apparatus | CANON KABUSHIKI KAISHA |
11089256 | Image sensor with correction of detection error | CANON KABUSHIKI KAISHA |
11089406 | Display device | SAMSUNG DISPLAY CO., LTD. |
11089682 | Flexible circuit board, chip package including the same, and electronic device including the chip package | LG INNOTEK CO., LTD. |
11089699 | Rollable display | LG DISPLAY CO., LTD. |
11089737 | Light emission source LED component, horticultural light, and horticultural lighting fixture | VALOYA OY |
11090100 | Catheter with micro-peltier cooling components | BIOSENSE WEBSTER (ISRAEL) LTD. |
11090104 | Surgical generator for ultrasonic and electrosurgical devices | CILAG GMBH INTERNATIONAL |
11090577 | Purification method and purification apparatus | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11090903 | Superhydrophobic and superoleophobic nanosurfaces | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11090917 | Laminate and method for fabricating the same | TOPPAN PRINTING CO., LTD. |
11091366 | Nickel lanthanide alloys for MEMS packaging applications | TEXAS INSTRUMENTS INCORPORATED |
11091386 | Glass frit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11091443 | Flexible piezoelectric and ferroelectric haloimidazole crystals | NORTHWESTERN UNIVERSITY |
11091585 | Polymers containing 3′-(alkoxy)-[2,2′-bithiophene]-3-carbonitrile for high performance organic photovoltaics | PHILLIPS 66 COMPANY |
11091690 | Quantum dots, a composition or composite including the same, and an electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11091691 | Quantum dot composition, quantum dot luminescent material, preparation method thereof and light-emitting device containing the same | NAJING TECHNOLOGY CORPORATION LIMITED |
11091692 | Wavelength conversion luminescent resin composition, method for producing wavelength conversion luminescent resin composition, wavelength conversion member, and light-emitting element | FUJIFILM CORPORATION |
11091696 | Etching composition and method for manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11091829 | Metal mask, metal mask plate and method of manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11092300 | Venting apparatus having a thermoelectric generator for controlling a decorative structure | -- |
11092359 | Photovoltaic-photothermal reaction complementary full-spectrum solar utilization system | INSTITUTE OF ENGINEERING THERMOPHYSICS, CHINESE ACADEMY OF SCIENCES |
11092429 | Film thickness test apparatus and method and vapor deposition device | BOE TECHNOLOGY GROUP CO., LTD. |
11092503 | Sensor unit, motor unit, and vehicle | HONDA MOTOR CO., LTD. |
11092546 | Spectrometer utilizing surface plasmon | SAMSUNG ELECTRONICS CO., LTD. |
11092554 | Adhesion defect detection apparatus and adhesion defect detection method using the same | SAMSUNG DISPLAY CO., LTD. |
11092661 | Scanning ferromagnetic resonance (FMR) for wafer-level characterization of magnetic films and multilayers | -- |
11092671 | Laser diode optical frequency modulation linearization algorithm | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11092677 | Time measurement device and time measurement unit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11092718 | Functional polarizing film and organic light emitting display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11092841 | Color filter and display apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11092856 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11092857 | Thin film transistor substrate | LG DISPLAY CO., LTD. |
11092861 | Electronic device | -- |
11092864 | Display panel and display device | HKC CORPORATION LIMITED |
11092890 | Semiconductor resist composition, and method of forming patterns using the composition | SAMSUNG SDI CO., LTD. |
11092910 | Semiconductor light-emitting device, exposure head, and image forming apparatus | CANON KABUSHIKI KAISHA |
11093064 | Touch panel display | MIKUNI ELECTRON CORPORATION |
11093065 | Display device | SAMSUNG DISPLAY CO., LTD. |
11093072 | Touch display panel, method of driving and manufacturing same, and touch display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11093204 | Display device, display unit, and display system | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11093667 | Method and system for realistic and efficient simulation of light emitting diodes having multi-quantum-wells | PURDUE RESEARCH FOUNDATION |
11093824 | Neuromorphic device and method of driving the same | SAMSUNG ELECTRONICS CO., LTD. |
11093825 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11093863 | Method for ascertaining a time characteristic of a measured variable, prediction system, actuator control system, method for training the actuator control system, training system, computer program, and machine-readable storage medium | MAX-PLANCK-GESELLSCHAFT ZUR FÖRDERUNG DER WISSENSCHAFT E.V. |
11094359 | High retention multi-level-series magnetic random-access memory | SPIN MEMORY, INC. |
11094360 | Storage device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094361 | Transistorless memory cell | -- |
11094373 | Oxide semiconductor based memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094377 | Multi-level self-selecting memory device | MICRON TECHNOLOGY, INC. |
11094378 | Resistance variable memory device including stacked memory cells | SK HYNIX INC. |
11094443 | Electronic component | ROHM CO., LTD. |
11094474 | Photoelectric conversion element, dye-sensitized solar cell, metal complex dye, dye composition, and oxide semiconductor electrode | FUJIFILM CORPORATION |
11094528 | Surface treatment of substrates using passivation layers | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11094530 | In-situ curing of color conversion layer | APPLIED MATERIALS, INC. |
11094531 | Semiconductor structure and method for forming the same | -- |
11094533 | Doped and undoped vanadium oxides for low-k spacer applications | APPLIED MATERIALS, INC. |
11094536 | Method of manufacturing semiconductor elements | NICHIA CORPORATION |
11094537 | Group III nitride composite substrate and method for manufacturing the same, and method for manufacturing group III nitride semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11094538 | Method of forming graphene | SAMSUNG ELECTRONICS CO., LTD. |
11094539 | Method for manufacturing nitride semiconductor substrate and nitride semiconductor substrate | SCIOCS COMPANY LIMITED |
11094540 | Manufacturing method of a pair of different crystallized metal oxide layers | -- |
11094541 | Anti-reflective coating materials | -- |
11094545 | Self-aligned insulated film for high-K metal gate device | -- |
11094549 | Indium phosphide wafer having pits on the back side, method and etching solution for manufacturing the same | BEIJING TONGMEI XTAL TECHNOLOGY CO., LTD. |
11094558 | Doped metal-chalcogenide thin film and method of manufacturing the same | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11094576 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11094578 | Semiconductor structure and method for manufacturing the same | -- |
11094583 | Method of forming a device having a doping layer and device formed | -- |
11094584 | Method of forming semiconductor device including polysilicon structures | -- |
11094585 | Methods of forming a conductive contact structure to a top electrode of an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11094591 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094593 | Semiconductor device including contact structure | SAMSUNG ELECTRONICS CO., LTD. |
11094594 | Semiconductor structure with buried power rail, integrated circuit and method for manufacturing the semiconductor structure | -- |
11094596 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094597 | Structure and formation method of semiconductor device with fin structures | -- |
11094598 | Multiple threshold voltage devices | GLOBALFOUNDRIES U.S. INC. |
11094599 | Semiconductor structure and manufacturing method thereof | -- |
11094614 | Semiconductor chip contact structure, device assembly, and method of fabrication | LITTELFUSE, INC. |
11094617 | Semiconductor package including low side field-effect transistors and high side field-effect transistors and method of making the same | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN), LTD. |
11094619 | Package with component connected with carrier via spacer particles | INFINEON TECHNOLOGIES AG |
11094620 | Integrated capacitor with extended head bump bond pillar | TEXAS INSTRUMENTS INCORPORATED |
11094624 | Semiconductor device having capacitor | SAMSUNG ELECTRONICS CO., LTD. |
11094628 | Techniques for making integrated inductors and related semiconductor devices, electronic systems, and methods | MICROCHIP TECHNOLOGY INCORPORATED |
11094629 | 3D power device and system | IMEC VZW |
11094634 | Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof | -- |
11094664 | Semiconductor device and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094675 | Micro light emitting diode device including different-type epitaxial structures having respective connection portions of different thicknesses | -- |
11094676 | Light emitting device | NICHIA CORPORATION |
11094678 | Light emitting device having insulation pattern | SAMSUNG DISPLAY CO., LTD. |
11094679 | White light source system | KABUSHIKI KAISHA TOSHIBA |
11094681 | Photocoupler and packaging member thereof | KABUSHIKI KAISHA TOSHIBA |
11094687 | Temperature characteristic adjustment circuit | ASAHI KASEI MICRODEVICES CORPORATION |
11094689 | Electronic component including protective diode for electrostatic discharge protection | OSRAM OLED GMBH |
11094691 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094692 | Semiconductor structure having active regions with different dopant concentrations | -- |
11094694 | Buried channel semiconductor device and method for manufacturing the same | -- |
11094695 | Integrated circuit device and method of forming the same | -- |
11094696 | Methods of forming a thyristor-based random access memory using fin structures and elevated layers | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11094697 | Vertical two-transistor single capacitor memory cells and memory arrays | MICRON TECHNOLOGY, INC. |
11094699 | Apparatuses including stacked horizontal capacitor structures and related methods, memory devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11094705 | Methods of forming an array of elevationally-extending strings of memory cells, methods of forming polysilicon, elevationally-extending strings of memory cells individually comprising a programmable charge storage transistor, and electronic components comprising polysilicon | MICRON TECHNOLOGY, INC. |
11094706 | NAND unit cells | MICRON TECHNOLOGY, INC. |
11094707 | NAND unit cells | MICRON TECHNOLOGY, INC. |
11094708 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11094709 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11094715 | Three-dimensional memory device including different height memory stack structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11094716 | Source contact and channel interface to reduce body charging from band-to-band tunneling | INTEL CORPORATION |
11094717 | Transistor and display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094719 | Method of manufacturing display panel, display panel, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094720 | Array substrate having a gate driving circuit with an improved output characteristic and a display apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
11094721 | Method for manufacturing array substrate including forming via holes having different widths using single patterning process | BOE TECHNOLOGY GROUP CO., LTD. |
11094723 | Semiconductor device and method of forming the same | -- |
11094724 | Touch screen panel for sensing touch using TFT photodetectors integrated thereon | -- |
11094731 | Image capturing device and camera | CANON KABUSHIKI KAISHA |
11094736 | Device and method for reducing cracking of material due to thermal mismatch | HRL LABORATORIES, LLC |
11094737 | Flat panel detector | BEIJING BOE SENSOR TECHNOLOGY CO., LTD. |
11094740 | Backboard, display device, and method for fabricating backboard | BOE TECHNOLOGY GROUP CO., LTD. |
11094741 | Display device | SAMSUNG DISPLAY CO., LTD. |
11094742 | Method for producing a photo-emitting and/or photo-receiving device with a metal optical separation grid | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11094743 | Magnetic memory device | TOSHIBA MEMORY CORPORATION |
11094744 | Interconnect landing method for RRAM technology | -- |
11094745 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11094746 | Imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11094750 | Flexible display panel and preparation method thereof | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11094751 | Display panel, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094752 | Display panel and preparation method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094753 | Organic light emitting diode display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11094754 | Organic light emitting display device and method of fabricating thereof | LG DISPLAY CO., LTD. |
11094755 | Display device | -- |
11094756 | OLED integrated digitizer and method of preparing the same | DONGWOO FINE-CHEM CO., LTD. |
11094757 | Display device | SAMSUNG DISPLAY CO., LTD. |
11094758 | Organic light emitting diode (OLED) display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094759 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
11094760 | Method for forming light emitting element pattern and display device using the same | SAMSUNG DISPLAY CO., LTD. |
11094762 | Display device and method for manufacturing the same | LG DISPLAY CO., LTD. |
11094766 | Array substrate, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094767 | Flexible organic light emitting diode device and method of forming the same | -- |
11094770 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094773 | Display device | SAMSUNG DISPLAY CO., LTD. |
11094774 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11094775 | Tiled electronic device having a plurality of display panels and a flexible substrate | -- |
11094776 | Structure and formation method of semiconductor device with magnetic element covered by polymer material | -- |
11094777 | Functional contactor | AMOTECH CO., LTD. |
11094778 | Capacitor with high work function interface layer | SK HYNIX INC. |
11094779 | Semiconductor device having an edge termination region comprising a first edge termination region of a second conductivity type adjacent to a second edge termination region of a first conductivity type | INFINEON TECHNOLOGIES AG |
11094780 | Lateral superjunction transistor device and method for producing thereof | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11094781 | Nanosheet structures having vertically oriented and horizontally stacked nanosheets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094782 | Gate-all-around integrated circuit structures having depopulated channel structures | INTEL CORPORATION |
11094783 | Semiconductor device having a silicon oxide film with a gradual downward inclination and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094784 | Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094785 | Deuterium-based passivation of non-planar transistor interfaces | INTEL CORPORATION |
11094786 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11094787 | Method of manufacturing semiconductor device and semiconductor device | FUJI ELECTRIC CO., LTD. |
11094788 | Semiconductor device and manufacturing method thereof | -- |
11094789 | Thin film transistor and method for manufacturing the same, array substrate, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11094790 | Silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094791 | Vertical transistor device with source/drain regions comprising a twi-dimensional (2D) material and methods of making such vertical transistor devices | GLOBALFOUNDRIES U.S. INC. |
11094792 | Manufacturing method of split gate structure and split gate structure | -- |
11094793 | Thin film transistor substrate, shift register and display device | LG DISPLAY CO., LTD. |
11094794 | Air spacer structures | GLOBALFOUNDRIES U.S. INC. |
11094795 | Semiconductor device and method for manufacturing the same | -- |
11094796 | Transistor spacer structures | -- |
11094797 | Semiconductor structure having a source/drain stressor including a plurality of silicon-containing layers | -- |
11094798 | Vertical FET with symmetric junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094799 | Thin film transistor and manufacturing method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094800 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11094801 | Oxide isolated fin-type field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094802 | Method of manufacturing a semiconductor device and semiconductor device | -- |
11094803 | Nanosheet device with tall suspension and tight contacted gate poly-pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094804 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094805 | Lateral heterojunction bipolar transistors with asymmetric junctions | GLOBALFOUNDRIES U.S. INC. |
11094806 | Fabricating transistors with implanting dopants at first and second dosages in the collector region to form the base region | TEXAS INSTRUMENTS INCORPORATED |
11094807 | Anti-aging architecture for power MOSFET device | STMICROELECTRONICS S.R.L. |
11094808 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11094809 | Power module and reverse-conducting IGBT | FUJI ELECTRIC CO., LTD. |
11094810 | Semiconductor device and manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
11094811 | Semiconductor device and manufacturing method thereof | -- |
11094812 | High electron mobility transistor | SOITEC BELGIUM |
11094813 | Compound semiconductor device, method of manufacturing compound semiconductor device, and amplifier | FUJITSU LIMITED |
11094814 | Semiconductor power device | -- |
11094815 | Semiconductor device and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
11094816 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11094817 | Drain extended NMOS transistor | TEXAS INSTRUMENTS INCORPORATED |
11094818 | Method for making a semiconductor device including a superlattice and an asymmetric channel and related methods | ATOMERA INCORPORATED |
11094819 | Stacked vertical tunnel FET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094820 | Mobile ferroelectric single domain wall implementation of a symmetric resistive processing unit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094821 | Transistor structure and method with strain effect | -- |
11094822 | Source/drain regions for transistor devices and methods of forming same | GLOBALFOUNDRIES U.S. INC. |
11094823 | Stress induction in 3D device channel using elastic relaxation of high stress material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094824 | Forming a sacrificial liner for dual channel devices | TESSERA, INC. |
11094825 | FinFET device with fins of non-uniform width | -- |
11094826 | FinFET device and method of forming same | -- |
11094827 | Semiconductor devices with uniform gate height and method of forming same | GLOBALFOUNDRIES U.S. INC. |
11094828 | Geometry for threshold voltage tuning on semiconductor device | -- |
11094829 | TFT array substrate and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094830 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094831 | Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device | INTEL CORPORATION |
11094832 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11094833 | Semiconductor device including memory using hafnium and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11094834 | Junction field effect transistor (JFET) structure and methods to form same | GLOBALFOUNDRIES U.S. INC. |
11094835 | Silicon carbide substrate, method for manufacturing silicon carbide substrate, and method for manufacturing silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094836 | Charge avalanche photodetector system | FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11094837 | Integrated photodetector | TEXAS INSTRUMENTS INCORPORATED |
11094838 | Texturization method of silicon wafers, product obtained therefrom and preparation method of solar cells | CSI CELLS CO., LTD. |
11094839 | Method and materials to manufacture composite heterojunctions, diodes, and solar cells | -- |
11094840 | Photovoltaic system with non-uniformly cooled photovoltaic cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094841 | Solar cell | ASVB NT SOLAR ENERGY B.V. |
11094842 | Heterojunction photovoltaic device and fabrication method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094843 | High voltage photovoltaics integrated with light emitting diode containing zinc oxide containing layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094844 | Optoelectronic semiconductor chip with two separate light emitting layers | OSRAM OLED GMBH |
11094845 | Method of producing light-emitting diode chips and light-emitting diode chip | OSRAM OLED GMBH |
11094846 | Monolithic nanocolumn structures | -- |
11094847 | Light-emitting device having gap portion between portion of insulating film and side surface of light-emitting layer | NICHIA CORPORATION |
11094848 | Light-emitting diode chip structures | CREELED, INC. |
11094849 | Light emitting diode display | -- |
11094850 | Light emitting device and lighting apparatus having enhanced optical and electrical characteristics by diffusion barrier layer | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11094851 | Light emitting diodes with sensor segment for operational feedback | LUMILEDS LLC |
11094852 | Multiple LED light source lens design in an integrated package | CREE HUIZHOU SOLID STATE LIGHTING COMPANY LIMITED |
11094853 | Passive micro light-emitting diode matrix device with uniform luminance | -- |
11094854 | Light emitting device, resin package, resin-molded body, and methods for manufacturing light emitting device, resin package and resin-molded body | NICHIA CORPORATION |
11094855 | Strain-inducing nanostructures for spectral red-shifting of light emitting devices | VERSITECH LIMITED |
11094856 | Green-emitting phosphors and devices thereof | GENERAL ELECTRIC COMPANY |
11094857 | Method for manufacturing lighting device | ASAHI RUBBER INC. |
11094858 | Tape, encapsulating process and optical device | -- |
11094859 | Light emitting apparatus | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
11094860 | Wafer-level solid state transducer packaging transducers including separators and associated systems and methods | MICRON TECHNOLOGY, INC. |
11094861 | Display device | LG DISPLAY CO., LTD. |
11094862 | Semiconductor device with through holes on bonding parts and bonding method thereof | -- |
11094863 | Light-emitting device, manufacturing method thereof and display module using the same | -- |
11094864 | Light emitting device | NICHIA CORPORATION |
11094865 | Semiconductor device and semiconductor device package | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11094866 | Method for producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
11094867 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11094868 | Method for producing an illumination device and illumination device | OSRAM OLED GMBH |
11094869 | Transparent light emitting device display | LG CHEM, LTD. |
11094870 | Surface-mountable pixel packages and pixel engines | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11094871 | Light-emitting device, light-emitting module and method for manufacturing the same | NICHIA CORPORATION |
11094872 | Apparatus for thermoelectric generation on HVAC pipes | -- |
11094873 | Transmon qubits with self defined junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094874 | Piezoelectric vibration device | MURATA MANUFACTURING CO., LTD. |
11094875 | Methods for manufacturing ultrasound transducers and other components | FUJIFILM SONOSITE, INC. |
11094876 | Piezoelectric steering engine of bistable and control method thereof | XIAN JIAOTONG UNNVERSTTY |
11094877 | Method for making MRAM with small dimension and high qulity | T3MEMORY USA, INC. |
11094878 | Short circuit reduction in magnetic tunnel junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094879 | Structures incorporating and methods of forming metal lines including carbon | MICRON TECHNOLOGY, INC. |
11094880 | Resistive random access memory structure and method for manufacturing the same | -- |
11094881 | Chemical vapor deposition of perovskite thin films | WASHINGTON UNIVERSITY |
11094882 | Method of manufacturing memory device | SAMSUNG ELECTRONICS CO., LTD. |
11094883 | Structure and method to fabricate resistive memory with vertical pre-determined filament | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094884 | Rapid metal oxide layer-specific photonic treatment using UV LED for fabrication of flexible perovskite structures | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11094885 | Fullerene derivatives and photoelectric device and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11094886 | Organic electroluminescent element and electronic device | IDEMITSU KOSAN CO., LTD. |
11094887 | Fluorene-based compound, organic light-emitting device using same and method for preparing same | LG CHEM, LTD. |
11094888 | Organic electroluminescent device using aryl amine derivative containing heterocycle | IDEMITSU KOSAN CO., LTD. |
11094889 | Heterocyclic compound and organic light emitting element comprising same | LG CHEM, LTD. |
11094890 | Organic transistor | LG CHEM, LTD. |
11094891 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11094892 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11094893 | Flexible cover plate of display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094894 | Method for manufacturing a display motherboard | BOE TECHNOLOGY GROUP CO., LTD. |
11094895 | OLED display substrate, display panel and manufacturing method thereof | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094896 | Flexible OLED display panel and method for fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094897 | Flexible display device | CHONGQING BOE DISPLAY TECHNOLOGY CO., LTD. |
11094898 | Supporting structure and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094899 | Method for manufacturing field effect transistor and method for manufacturing wireless communication device | TORAY INDUSTRIES, INC. |
11094900 | Semiconductor device and method for fabricating the same | -- |
11094901 | NDR device and circuit having a negative differential resistance based on organic-inorganic hybrid halide perovskite | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11094902 | High efficiency small molecule tandem photovoltaic devices | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11094903 | Light-emitting element having an organic compound and a transition metal forming SOMO | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094904 | Light emitting display apparatus for improving light extracting efficiency | LG DISPLAY CO., LTD. |
11094905 | Organic light-emitting display panel and electronic device thereof | SHANGHAI TIANMA AM-OLED CO., LTD. |
11094906 | Display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094907 | Single photon source device, a preparation method thereof and applications of the same | ZHEJIANG UNIVERSITY |
11094908 | Lighting apparatus using organic light emitting diode | LG DISPLAY CO., LTD. |
11094909 | Thin film of metal oxide, organic electroluminescent device including the thin film, photovoltaic cell including the thin film and organic photovoltaic cell including the thin film | JAPAN SCIENCE AND TECHNOLOGY AGENCY |
11094910 | Pixel display component, screen display component, display screen, and terminal | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11094911 | Organic light emitting diode display panel and packaging method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094912 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11094913 | Flexible organic light emitting diode (OLED) display panel | -- |
11094914 | Display panel and manufacturing method thereof, display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11094915 | Light emitting device including bus electrodes configured in parallel to directly contact OLED electrodes | PIONEER CORPORATION |
11094916 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11094917 | Cover plate for organic electroluminescent display device and method for manufacturing the same, organic electroluminescent display device and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11094918 | Pixel defining layer, display substrate and manufacturing methods thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11094919 | Method of vacuum drying film layer and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11095248 | Solar junction box | TE CONNECTIVITY SERVICES GMBH |
11095266 | Slanted apodization for acoustic wave devices | QORVO US, INC. |
11095280 | Efficient IGBT switching | EAGLE HARBOR TECHNOLOGIES, INC. |
11095284 | Minimizing ringing in wide band gap semiconductor devices | FORD GLOBAL TECHNOLOGIES, LLC |
11095706 | Secure cloud-based storage system management | PURE STORAGE, INC. |
11095763 | Light-emitting device having multiple curved regions | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11095963 | Display panel and display apparatus including the same | LG DISPLAY CO., LTD. |
11096289 | Manufacturing apparatus for display device and method of using the same | SAMSUNG DISPLAY CO., LTD. |
11096293 | Electronic device and display device applied to the same | SAMSUNG DISPLAY CO., LTD. |
11097436 | Friction control device and method | KONINKLIJKE PHILIPS N.V. |
11097513 | Laminated glass pane and use thereof | SAGE ELECTROCHROMICS, INC. |
11097521 | OLED panel bottom protection film, and organic light-emitting display device comprising same | SAMSUNG DISPLAY CO., LTD. |
11097568 | Optical device, display body, device provided with a display body, optical filter, and method for manufacturing an optical device | TOPPAN PRINTING CO., LTD. |
11097943 | Method for fabricating a membrane device including membrane having wrinkles formed along trenches | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11097947 | Chalcogen-containing compound, its preparation method and thermoelectric element comprising the same | LG CHEM, LTD. |
11098019 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
11098022 | Nitrogen-containing compound, electronic component and electronic device | SHAANXI LIGHTE OPTOELECTRONICS MATERIAL CO. LTD. |
11098050 | Organic semiconducting compounds comprising a tetraazapyrene core | MERCK PATENT GMBH |
11098244 | Composition comprising inorganic nano particle structure, light conversion thin film using the same, and display apparatus using the film | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11098245 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11098246 | Color stable red-emitting phosphors | CURRENT LIGHTING SOLUTIONS, LLC |
11098247 | Solid polymer composition | AVANTAMA AG |
11098249 | Phosphor, preparation method for phosphor, and light emitting device having phosphor | GRIREM ADVANCED MATERIALS CO., LTD. |
11098416 | Doped gallium oxide crystalline material and preparation method and application thereof | SHANGHAI INSTITUTE OF OPTICS AND FINE MECHANICS, CHINESE ACADEMY OF SCIENCES |
11098526 | System and method for installing a transparent organic lighting diode (TOLED) display with transparency control on architectural glass | LUSID, LLC |
11098705 | Piezoelectric element drive circuit | MURATA MANUFACTURING CO., LTD. |
11098855 | Solid state lamp using light emitting strips | QUARKSTAR LLC |
11098865 | Light source, solar cell complex and lighting system including the same | -- |
11099000 | Systems for coherent light detection and related methods | NORTHWESTERN UNIVERSITY |
11099014 | Chip module, signal processing method, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11099066 | Light detection systems having input and output modulators, and methods of use thereof | BECTON, DICKINSON AND COMPANY |
11099136 | 3D graphene optical sensors and methods of manufacture | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11099152 | Backside CMOS compatible BioFET with no plasma induced damage | -- |
11099170 | Parallel DNA detection by solid-state multi-pore systems and associated methods | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11099378 | Wavelength conversion module and projection device | -- |
11099391 | Optical device, display device, and method for manufacturing light emitting element | SONY CORPORATION |
11099393 | Surface emitting light source with lateral variant refractive index profile | FACEBOOK TECHNOLOGIES, LLC |
11099410 | Layered structures, production methods thereof, and liquid crystal display including the same | SAMSUNG ELECTRONICS CO., LTD. |
11099413 | Display device | JAPAN DISPLAY INC. |
11099417 | Electronic display apparatus to mitigate visibility of terminal wiring in non-display area | SAMSUNG DISPLAY CO., LTD. |
11099418 | Display device | SAMSUNG DISPLAY CO., LTD. |
11099424 | High color gamut LED bar with side illumination LED package | DELL PRODUCTS L.P. |
11099432 | Display device | SAMSUNG DISPLAY CO., LTD. |
11099441 | Wire substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11099448 | Off-axis display device | REALD SPARK, LLC |
11099649 | Device having integrated interface system | APPLE INC. |
11099677 | Touch display device | LG DISPLAY CO., LTD. |
11099814 | Neural network semiconductor device and system using the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11100308 | Array substrate and preparation method therefor, fingerprint recognition method, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11100351 | Fingerprint recognition module and electronic device comprising same | LG INNOTEK CO., LTD. |
11100419 | Majorana pair based qubits for fault tolerant quantum computing architecture using superconducting gold surface states | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11100845 | Compensation using optical feedback for self-emissive pixel | APPLE INC. |
11100852 | Display device | LG ELECTRONICS INC. |
11100853 | OLED display machine with illumination function | -- |
11100857 | Display device and electronic apparatus | SONY CORPORATION |
11100861 | Light emitting diode display device | LG DISPLAY CO., LTD. |
11100946 | SOT differential reader and method of making same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11100959 | Variable resistance memory device | SAMSUNG ELECTRONICS CO., LTD. |
11100971 | Ferroelectric domain regulated optical readout mode memory and preparing method thereof | SHANGHAI INSTITUTE OF TECHNICAL PHYSICS OF THE CHINESE ACADEMY OF SCIENCES |
11100982 | Memristive device and method based on ion migration over one or more nanowires | AT&T INTELLECTUAL PROPERTY I, L.P. |
11100995 | Structure of a nonvolatile memory device with a low-voltage transistor fabricated on a substrate | -- |
11101059 | Quench detection in superconducting magnets | TOKAMAK ENERGY LTD |
11101060 | Partially-insulated HTS coils | TOKAMAK ENERGY LTD |
11101079 | Solar cell and solar cell manufacturing method | SEKISUI CHEMICAL CO., LTD. |
11101080 | Photoelectric conversion element and solar cell | RICOH COMPANY, LTD. |
11101081 | Composite photovoltaic structure and manufacturing method thereof | -- |
11101133 | Semiconductor device and manufacturing method thereof | MITSUBISHI ELECTRIC CORPORATION |
11101135 | Semiconductor device and method of manufacture | -- |
11101137 | Method of making reverse conducting insulated gate bipolar transistor | ALPHA AND OMEGA SEMICONDUCTOR INTERNATIONAL LP |
11101143 | Semiconductor device and manufacturing method thereof | -- |
11101149 | Semiconductor fabrication with electrochemical apparatus | -- |
11101158 | Wafer-scale membrane release laminates, devices and processes | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11101159 | Pickup head with photocurable polymers for assembling light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11101160 | Device packaging using a recyclable carrier substrate | SKYWORKS SOLUTIONS, INC. |
11101165 | Method for fabricating semiconductor device comprising a deep trench isolation structure and a trap rich isolation structure in a substrate | -- |
11101166 | Semiconductor device including isolation layers and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11101177 | Semiconductor structure and method for forming the same | -- |
11101180 | Semiconductor device and method of manufacture | -- |
11101181 | Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101182 | Nanosheet transistors with different gate dielectrics and workfunction metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101183 | Gate spacer formation for scaled CMOS devices | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11101187 | Semiconductor device | ROHM CO., LTD. |
11101208 | Metal-insulator-metal (MIM) capacitor | MICROCHIP TECHNOLOGY INCORPORATED |
11101211 | Semiconductor device with backside inductor using through silicon vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101212 | Thin film resistor with punch-through vias | TEXAS INSTRUMENTS INCORPORATED |
11101215 | Tapered connectors for superconductor circuits | PSIQUANTUM CORP. |
11101217 | Buried power rail for transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101218 | Integrated assemblies having metal-containing regions coupled with semiconductor regions | MICRON TECHNOLOGY, INC. |
11101219 | Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101227 | Coupled line structures for wideband applications | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11101247 | Light-emitting device, integrated light-emitting device, and light-emitting module | NICHIA CORPORATION |
11101248 | Light emitting diodes, components and related methods | CREELED, INC. |
11101249 | Multi-chip module with light-emitting diode (LED) chips configured for surface mount technology (SMT) | OSRAM OLED GMBH |
11101251 | Optoelectronic component | OSRAM OLED GMBH |
11101257 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11101258 | Method and apparatus for manufacturing flexible light-emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11101259 | Semiconductor device | DENSO CORPORATION |
11101263 | Resistor with exponential-weighted trim | TEXAS INSTRUMENTS INCORPORATED |
11101265 | Apparatuses and methods for semiconductor circuit layout | MICRON TECHNOLOGY, INC. |
11101266 | 3D device and devices with bonding | MONOLITHIC 3D INC. |
11101267 | Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11101268 | Transistors employing non-selective deposition of source/drain material | INTEL CORPORATION |
11101269 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11101271 | Array of cross point memory cells and methods of forming an array of cross point memory cells | MICRON TECHNOLOGY, INC. |
11101273 | Semiconductor structure having word line disposed over portion of an oxide-free dielectric material in the non-active region | -- |
11101274 | Ferroelectric capacitor, a ferroelectric memory cell, an array of ferroelectric memory cells, and a method of forming a ferroelectric capacitor | MICRON TECHNOLOGY, INC. |
11101275 | Ferroelectric memory array surrounded by ferroelectric dummy capacitors | ROHM CO., LTD. |
11101277 | Process for manufacturing NOR memory cell with vertical floating gate | GREENLIANT IP, LLC. |
11101281 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11101284 | Three-dimensional memory device containing etch stop structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11101285 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101290 | Cross-point multilayer stackable ferroelectric field-effect transistor random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101293 | Semiconductor device and method for manufacturing the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101295 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101299 | Semiconductor display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101300 | Semiconductor device and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101301 | Array substrate and manufacturing method therefor, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11101302 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101304 | Diode and fabrication method thereof, array substrate and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11101308 | Image pickup device, image pickup apparatus, and production apparatus and method | SONY CORPORATION |
11101311 | Photodetector and fabrication method, and imaging sensor | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11101315 | Detector, PET system and X-ray CT system | HAMAMATSU PHOTONICS K.K. |
11101317 | Method of manufacturing element array and method of removing specific element | TDK CORPORATION |
11101318 | Back-side memory element with local memory select transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101319 | Semiconductor storage device including variable resistance elements | TOSHIBA MEMORY CORPORATION |
11101320 | System and method for efficient enhancement of an on/off ratio of a bitcell based on 3T2R binary weight cell with spin orbit torque MJTs (SOT-MTJs) | SAMSUNG ELECTRONICS CO., LTD. |
11101321 | Nonvolatile resistive memory device and manufacturing method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11101322 | RRAM cells in crossbar array architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101323 | RRAM cells in crossbar array architecture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101324 | Memory cell and forming method thereof | -- |
11101325 | Semiconductor memory and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11101326 | Methods of forming a phase change memory with vertical cross-point structure | SANDISK TECHNOLOGIES LLC |
11101328 | Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same | SAMSUNG DISPLAY CO., LTD. |
11101331 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11101332 | OLED display panel and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11101333 | Display device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101335 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11101336 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11101337 | Power and data routing structures for organic light-emitting diode displays | APPLE INC. |
11101339 | Flexible display panel and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11101341 | Light-emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11101342 | Deep trench intersections | TEXAS INSTRUMENTS INCORPORATED |
11101343 | Silicon carbide field-effect transistor including shielding areas | INFINEON TECHNOLOGIES AG |
11101344 | Structure and formation method of semiconductor device structure with gate stack | -- |
11101345 | Semiconductor device | ROHM CO., LTD. |
11101346 | Edge termination designs for semiconductor power devices | HUNTECH SEMICONDUCTOR (SHANGHAI) CO. LTD |
11101347 | Confined source/drain epitaxy regions and method forming same | -- |
11101348 | Nanosheet field effect transistor with spacers between sheets | GLOBALFOUNDRIES U.S. INC. |
11101349 | Lateral power device with reduced on-resistance | EFFICIENT POWER CONVERSION CORPORATION |
11101350 | Integrated circuit with germanium-rich channel transistors including one or more dopant diffusion barrier elements | INTEL CORPORATION |
11101351 | Group III nitride semiconductor device and method of manufacturing group III nitride semiconductor substrate | KABUSHIKI KAISHA TOSHIBA |
11101352 | Quantum dot array devices with shared gates | INTEL CORPORATION |
11101353 | Semiconductor device and method of manufacture | -- |
11101354 | Method for forming semiconductor device structure with metal silicide layer | -- |
11101355 | Semiconductor device, power circuit, and computer | KABUSHIKI KAISHA TOSHIBA |
11101356 | Doped insulator cap to reduce source/drain diffusion for germanium NMOS transistors | INTEL CORPORATION |
11101357 | Asymmetric high-k dielectric for reducing gate induced drain leakage | TESSERA, INC. |
11101358 | Semiconductor device and manufacturing method thereof | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11101359 | Gate-all-around (GAA) method and devices | -- |
11101360 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11101361 | Gate-all-around (GAA) transistor and method of fabricating the same | -- |
11101362 | Semiconductor device and forming method thereof | -- |
11101363 | HEMT transistor of the normally off type including a trench containing a gate region and forming at least one step, and corresponding manufacturing method | STMICROELECTRONICS S.R.L. |
11101364 | Field-effect transistors with diffusion blocking spacer sections | GLOBALFOUNDRIES U.S. INC. |
11101365 | Method for fabricating semiconductor device and semiconductor device fabricated by the same | SAMSUNG ELECTRONICS CO., LTD. |
11101366 | Remote plasma oxide layer | -- |
11101367 | Contact-first field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101368 | Method of forming crystallized semiconductor layer, method of fabricating thin film transistor, thin film transistor, and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11101369 | FinFET device with controlled channel stop layer depth | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11101370 | Method of forming a germanium oxynitride film | ASM IP HOLDING B.V. |
11101371 | Structure and method for vertical tunneling field effect transistor with leveled source and drain | -- |
11101372 | Double-sided vertical power transistor structure | -- |
11101373 | Insulated gate bipolar transistor and manufacturing method thereof | DENSO CORPORATION |
11101374 | Nanosheet gated diode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101375 | Semiconductor device and method of controlling same | KABUSHIKI KAISHA TOSHIBA |
11101376 | Non-planar transition metal dichalcogenide devices | INTEL CORPORATION |
11101377 | Transistor device with heterogeneous channel structure bodies and method of providing same | INTEL CORPORATION |
11101378 | Semiconductor structure having both enhancement mode group III-N high electron mobility transistors and depletion mode group III-N high electron mobility transistors | RAYTHEON COMPANY |
11101379 | Structure for increasing mobility in a high electron mobility transistor | THEREGENIS OF THE UNIVERSITY OF CALIFORNIA |
11101380 | Group III-nitride integrated front-end circuit | INTEL CORPORATION |
11101381 | Structure of high voltage transistor and method for fabricating the same | -- |
11101383 | Semiconductor device with reduced electric field crowding | KABUSHIKI KAISHA TOSHIBA |
11101384 | Power semiconductor device | -- |
11101385 | Fin field effect transistor (FinFET) device structure with air gap and method for forming the same | -- |
11101386 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101387 | Low temperature polysilicon layer, thin film transistor, and method for manufacturing same | -- |
11101388 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11101389 | Dual-use semiconductor device for solar power and data storage | MARVELL ASIA PTE, LTD. |
11101390 | Manufacturing method of sensing module for optical fingerprint sensor | -- |
11101391 | Screen printing apparatus, screen printing method, and electrode formation method of solar battery | SHIN-ETSU CHEMICAL CO., LTD. |
11101392 | Solar cell element and method for manufacturing solar cell element | KYOCERA CORPORATION |
11101393 | Synchronous wired-OR ACK status for memory with variable write latency | RAMBUS INC. |
11101394 | Method of transferring tin sulfide film and photoelectric device using the method | INU RESEARCH & BUSINESS FOUNDATION |
11101395 | Wiring module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11101396 | Complementary conjugated polyelectrolyte complexes as electronic energy relays | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11101397 | Solar cell panel | LG ELECTRONICS INC. |
11101398 | Blister-free polycrystalline silicon for solar cells | SUNPOWER CORPORATION |
11101399 | Single-photon detector having multiple avalanche layers | -- |
11101400 | Method and system for a focused field avalanche photodiode | LUXTERA LLC |
11101401 | Roll-to-roll metallization of solar cells | SUNPOWER CORPORATION |
11101402 | Method of manufacturing light emitting diodes and light emitting diode | OSRAM OLED GMBH |
11101403 | Surface light source | SHENZHEN XIANGYOU TECHNOLOGY CO., LTD |
11101404 | Method for manufacturing semiconductor device and semiconductor device | NICHIA CORPORATION |
11101405 | LED with internally confined current injection area | APPLE INC. |
11101406 | Efficient wide bandgap GaN-based LED chip based on surface plasmon effect and manufacturing method therefor | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11101407 | Light emitting device sealed in a fibrous body to improve manufacturability and electronic device including the light emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101408 | Components and methods for light emitting diode (LED) lighting | CREELED, INC. |
11101409 | Phosphor converted LED | KONINKLIJKE PHILIPS N.V. |
11101410 | LED systems, apparatuses, and methods | CREELED, INC. |
11101411 | Solid-state light emitting devices including light emitting diodes in package structures | CREELED, INC. |
11101412 | Method for producing an output coupling element for an optoelectronic component and output coupling element | OSRAM OLED GMBH |
11101413 | Semiconductor nanoparticles, method of producing the semiconductor nanoparticles, and light-emitting device | NATIONAL UNIVERSITY CORPORATION TOKAI NATIONAL |
11101414 | Method for manufacturing wavelength conversion member, and method for manufacturing light emitting device | NICHIA CORPORATION |
11101415 | Light source apparatus and projector | SEIKO EPSON CORPORATION |
11101416 | Method for producing light emitting device and sealing resin composition for light emitting device | NICHIA CORPORATION |
11101417 | Structures and methods for electrically connecting printed components | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11101418 | Spacer for self-aligned mesa | FACEBOOK TECHNOLOGIES, LLC |
11101419 | Package structure of light-emitting diode and method for packaging light-emitting diode | SHENZHEN YONG YU PHOTOELECTRIC CO, LTD |
11101420 | High performance thermoelectric device and method of manufacturing the same at ultra-high speed | SOUTH UNIVERSITY OF SCIENCE AND TECHNOLOGY OF CHINA |
11101421 | Nano-scale energy conversion device | BIRMINGHAM TECHNOLOGIES, INC. |
11101422 | Pyroelectric infrared sensor device | TOKIN CORPORATION |
11101423 | Method of manufacturing a device comprising a material acquiring an electrical property after have been subjected to an electric polarisation | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11101424 | Ultrasound transducer and manufacturing method thereof | SIEMENS MEDICAL SOLUTIONS USA, INC. |
11101425 | Braided piezoelectric element, fabric-like piezoelectric element using braided piezoelectric element, and device using same | TEIJIN LIMITED |
11101426 | Piezoelectric transformer | EPCOS AG |
11101427 | Piezoelectric substrate, sensor, actuator, biological information acquisition device, and piezoelectric fiber structure | MITSUI CHEMICALS, INC. |
11101428 | Method for the production of a single-crystal film, in particular piezoeletric | SOITEC |
11101429 | Metal etching stop layer in magnetic tunnel junction memory cells | -- |
11101430 | Storage element | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11101431 | Organic EL light-emitting element and manufacturing method thereof | SAKAI DISPLAY PRODUCTS CORPORATION |
11101432 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101433 | Light-emitting material, and delayed fluorescent emitter and organic light-emitting device using same | KYULUX, INC. |
11101434 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11101435 | Tetradentate platinum and palladium complexes based on biscarbazole and analogues | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11101436 | Substrate for flexible device and method for producing the same | TOYO SEIKAN GROUP HOLDINGS, LTD. |
11101437 | Display substrate motherboard, display substrate and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11101438 | Method and apparatus for manufacturing flexible light-emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11101439 | Thin-film PN junctions and applications thereof | WAKE FOREST UNIVERSITY |
11101440 | Organic electroluminescent device | KYUSHU UNIVERSITY, NATIONAL UNIVERSITY CORPORATION |
11101441 | Quantum dot light-emitting diode and manufacturing method thereof | -- |
11101442 | Organic electroluminescence device and amine compound for organic electroluminescence device | SAMSUNG DISPLAY CO., LTD. |
11101443 | Organic electroluminescence display panel, manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11101444 | Sealed structure, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101445 | Flexible OLED display device having a bending angle | -- |
11101446 | Display apparatus and method of manufacturing the display apparatus | SAMSUNG DISPLAY CO., LTD. |
11101447 | Apparatus, method of manufacturing display apparatus, and protective film | LG DISPLAY CO., LTD. |
11101448 | Electronic device having functional layer including particles and binder material | MERCK PATENTS GMBH |
11101449 | Organic light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
11101450 | Display device | JAPAN DISPLAY INC. |
11101451 | Mechanically pre-biased shadow mask and method of formation | EMAGIN CORPORATION |
11101452 | Packaging device and display panel packaging method | BOE TECHNOLOGY GROUP CO., LTD. |
11101453 | Organic light emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11101454 | Method of peeling mother protective film, method of manufacturing organic light-emitting display apparatus, and organic light emitting display apparatus manufactured using the same | SAMSUNG DISPLAY CO., LTD. |
11101455 | Vapor deposition mask, production method therefor, and production method for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11101506 | Mobile device for determining magnitude of light volume, method for controlling mobile device thereof and non-transitory storage medium thereof | KYOCERA CORPORATION |
11101732 | Power management system switched capacitor voltage regulator with integrated passive device | APPLE INC. |
11101744 | Capacitive engine featuring an extrinsic semiconductor | -- |
11101749 | Vibration wave motor and imaging device having vibration wave motor | CANON KABUSHIKI KAISHA |
11101750 | Piezoelectric drive with at least two independent drive sections | PHYSIK INSTRUMENTE (PI) GMBH & CO. KG |
11101768 | Self-powered solar tracker apparatus | NEXTRACKER INC. |
11101803 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11102173 | Systems and methods for routing data using software-defined networks | MCAFEE, LLC |
11102439 | Image sensor employing avalanche diode and pixel circuit and operating method thereof | -- |
11102863 | Multi-channel white light device for providing tunable white light with high color rendering | ECOSENSE LIGHTING INC. |
11102879 | Printed circuit board to dielectric layer transition with controlled impedance and reduced and/or mitigated crosstalk for quantum applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11102893 | Display device | SAMSUNG DISPLAY CO., LTD. |
11102898 | Display module and display device | SAMSUNG DISPLAY CO., LTD. |
11103134 | Enhanced visible near-infrared photodiode and non-invasive physiological sensor | MASIMO SEMICONDUCTOR, INC. |
11103168 | Systems and methods for in vivo detection of electrophysiological and electrochemical signals | NEW YORK UNIVERSITY |
11103960 | Method of separating surface layer of semiconductor crystal using a laser beam perpendicular to the separating plane | -- |
11104099 | Thermally conductive resin sheet having light transmission and method for producing the same | SHIN-ETSU CHEMICAL CO., LTD. |
11104114 | Method for producing a multi-layered structural element, and a multi-layered structural element produced according to said method | EPCOS AG |
11104116 | Method for dismantling solar cell module for recycling | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11104136 | Method for producing film and liquid ejection head | CANON KABUSHIKI KAISHA |
11104572 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11104573 | Semiconductor arrangement with one or more semiconductor columns | -- |
11104645 | Organic molecules for use in optoelectronic devices | CYNORA GMBH |
11104669 | Composition of matter for use in organic light-emitting diodes | KYULUX, INC. |
11104695 | Metal halide perovskites, light-emitting diodes, and methods | THE FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11104696 | Phosphepine matrix compound for a semiconducting material | NOVALED GMBH |
11104845 | Phosphor arrangement and method | VESTEL ELEKTRONIK SANAYI VE TICARET A.S. |
11104846 | Quantum dots in which ionic liquids are ion-bonded and their preparation method | SHIN-A T&C |
11104847 | Cadmium free blue absorbing II-VI quantum dots for thin film applications | NANOSYS, INC. |
11104984 | Evaporation mask and evaporation method | BOE TECHNOLOGY GROUP CO., LTD. |
11104985 | Ultra-fine pattern deposition apparatus, ultra-fine pattern deposition method using the same, and light-emitting display device manufactured by ultra-fine pattern deposition method | LG DISPLAY CO., LTD. |
11104988 | Modular confined organic print head and system | UNIVERSAL DISPLAY CORPORATION |
11105001 | Cathodic corrosion protection with solar panel | -- |
11105197 | Method and apparatus for pre-loading a piezoelectric transducer for downhole acoustic communication | BAKER HUGHES OILFIELD OPERATIONS LLC |
11105473 | LED lamps with improved quality of light | ECOSENSE LIGHTING, INC. |
11105491 | Light-producing assembly for a spotlight and spotlight | ARNOLD & RICHTER CINE TECHNIK GMBH & CO. BETRIEBS KG |
11105679 | Extended hold-off time for SPAD quench assistance | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11105709 | Apparatus for testing display module and method for testing display module | SAMSUNG DISPLAY CO., LTD. |
11105866 | Dynamical isolation of a cryogenic processor | D-WAVE SYSTEMS INC. |
11105867 | Magnetic tunnel junction, magnetoresistive element and spintronics device in which said magnetic tunnel junction is used, and method of manufacturing magnetic tunnel junction | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11105899 | Beam shaping for ultra-small vertical cavity surface emitting laser (VCSEL) arrays | SENSE PHOTONICS, INC. |
11105925 | Accurate photo detector measurements for LIDAR | OUSTER, INC. |
11105937 | Memristor based sensor for radiation detection | KHALIFA UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11105965 | Radiation-sensitive composition, optical filter, laminate, pattern forming method, solid image pickup element, image display device, and infrared sensor | FUJIFILM CORPORATION |
11105974 | Waveguide-coupled silicon-germanium photodetectors and fabrication methods for same | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11105975 | Waveguide optoelectronic device | ROCKLEY PHOTONICS LIMITED |
11105988 | Dense wavelength division multiplexing (DWDM) photonic integration platform | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11106059 | Color-tunable transmission mode active phosphor based on III-Nitride nanowire grown on transparent substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11106077 | Light emitting module and method of manufacturing the same | NICHIA CORPORATION |
11106082 | Light-emitting module and surface-emitting light source | NICHIA CORPORATION |
11106091 | Display substrate, method for manufacturing the same, display panel, and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11106101 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11106123 | Wavelength-converting component, projection apparatus and manufacturing method of the wavelength-converting component | -- |
11106297 | Display apparatus with integrated touch screen | LG DISPLAY CO., LTD. |
11106298 | Touch display panels and touch display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11106303 | Display device | LG DISPLAY CO., LTD. |
11106305 | Display apparatus having touch electrodes | LG DISPLAY CO., LTD. |
11106323 | Input device | MINEBEA MITSUMI INC. |
11106882 | Fingerprint sensing unit and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11106889 | Biometric sensor, display apparatus, and method of fabricating biometric sensor | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11106992 | In-situ quantum error correction | GOOGLE LLC |
11107372 | Display device and electronic apparatus | SONY CORPORATION |
11107373 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11107396 | Display device and electronic device including thin film transistor including top-gate | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107399 | Organic light-emitting diode display device with pixel array | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11107416 | Display device | SAMSUNG DISPLAY CO., LTD. |
11107421 | Display device | SAMSUNG DISPLAY CO., LTD. |
11107513 | Spin-orbit torque magnetic memory device using alternating current | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11107515 | Ferroelectric memory cells | MICRON TECHNOLOGY, INC. |
11107516 | Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same | SANDISK TECHNOLOGIES LLC |
11107525 | Phase change memory with supply voltage regulation circuit | STMICROELECTRONICS S.R.L. |
11107526 | Controlling forming process in RRAM devices using feedback circuits | TETRAMEM INC. |
11107528 | Multi-step reset technique to enlarge memory window | -- |
11107529 | Molecular synthesis device | IMEC VZW |
11107615 | Magnetization rotational element, magnetoresistance effect element, and memory device | TDK CORPORATION |
11107630 | Integration scheme for breakdown voltage enhancement of a piezoelectric metal-insulator-metal device | -- |
11107672 | Method of manufacturing semiconductor device and method of cleaning substrate | -- |
11107684 | Diamond semiconductor system and method | AKHAN SEMICONDUCTOR, INC. |
11107687 | Semiconductor epitaxial wafer and method of producing semiconductor epitaxial wafer, and method of producing solid-state imaging device | SUMCO CORPORATION |
11107688 | Semiconductor device manufacturing method | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11107690 | Fin field-effect transistor device and method of forming the same | -- |
11107695 | Surface smoothing of workpieces | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11107707 | Wet etch apparatus and method of using the same | -- |
11107712 | Techniques for thermal treatment of electronic devices | KATEEVA, INC. |
11107720 | Methods of manufacturing vertical semiconductor diodes using an engineered substrate | QROMIS, INC. |
11107721 | 3D semiconductor device and structure with NAND logic | MONOLITHIC 3D INC. |
11107724 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107725 | Interconnect structure and manufacturing method for the same | -- |
11107734 | Semiconductor device and manufacturing method thereof | -- |
11107735 | Methods of forming epitaxial structures in fin-like field effect transistors | -- |
11107736 | Gate structures for semiconductor devices | -- |
11107740 | Power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11107744 | Insulated gate bipolar transistor module and manufacturing method thereof | RAYBEN TECHNOLOGIES (ZHUHAI) LIMITED |
11107752 | Half buried nFET/pFET epitaxy source/drain strap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107753 | Packaging structure for gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11107758 | Fan-out package structure and method | -- |
11107762 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11107764 | Group III-V semiconductor fuses and their methods of fabrication | INTEL CORPORATION |
11107768 | Chip package | -- |
11107793 | Stretchable display device | LG DISPLAY CO., LTD. |
11107797 | Light-emitting device and the method of manufacturing the same | -- |
11107799 | Hybrid system including photonic and electronic integrated circuits and cooling plate | PSIQUANTUM, CORP. |
11107804 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11107805 | Integrated circuit | -- |
11107806 | Electrostatic discharge protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11107810 | Fin field effect transistor (FinFET) device structure and method for forming the same | -- |
11107811 | Metallization structures under a semiconductor device layer | INTEL CORPORATION |
11107812 | Method of fabricating stacked semiconductor device | IMEC VZW |
11107813 | Semiconductor device and manufacturing method thereof | -- |
11107814 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107815 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107817 | Integrated assemblies comprising hydrogen diffused within two or more different semiconductor materials, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107819 | Memory cells, semiconductor devices comprising memory cells, and related systems | MICRON TECHNOLOGY, INC. |
11107820 | Semiconductor device and method for fabricating the same | -- |
11107821 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107822 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107825 | Flash memory structure with enhanced floating gate | -- |
11107827 | Integration of split gate metal-oxide-nitride-oxide-semiconductor memory with vertical FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107828 | Semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11107830 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107832 | Apparatuses including memory cells and related methods | MICRON TECHNOLOGY, INC. |
11107833 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11107836 | Semiconductor device structure and method for forming the same | -- |
11107837 | Semiconductor device, display device including the semiconductor device, display module including the display device, and electronic device including the semicondutor device, the display device, and the display module | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107838 | Transistor comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107839 | Array substrate and manufacturing method thereof, and display panel | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11107840 | Method for fabricating a semiconductor device comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107844 | Display device | LG DISPLAY CO., LTD. |
11107849 | Photoelectric conversion element, imaging device, and electronic apparatus to improve photoresponse while maintaining superior wavelenght selectivity of a subphthalocyanine and a subphthalocyanine derivative | SONY CORPORATION |
11107851 | Lens layers for semiconductor devices | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11107854 | Use of surface patterning for fabricating a single die direct capture dental X-ray imaging sensor | CYBER MEDICAL IMAGING, INC. |
11107857 | Light emitting diodes, components and related methods | CREELED, INC. |
11107858 | Ultrasonic sensing device | INVENSENSE, INC. |
11107859 | Memory cell with unipolar selectors | -- |
11107861 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
11107862 | Pixel unit, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107863 | Organic light-emitting display device with color adjusting pattern, and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11107864 | Organic light-emitting diode display substrate, method of preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11107867 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11107869 | Display device | SAMSUNG DISPLAY CO., LTD. |
11107870 | Thin film transistor substrate having two different types of thin film transistors on the same substrate and display using the same | LG DISPLAY CO., LTD. |
11107874 | Flexible display device having reduced strain during bending or rolling | SAMSUNG DISPLAY CO., LTD. |
11107875 | Display panel, fabrication and driving method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107876 | Organic electroluminescent device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11107877 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11107878 | High resistivity iron-based, thermally stable magnetic material for on-chip integrated inductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107879 | Capacitor structure and fabrication method thereof | -- |
11107880 | Capacitor structure for integrated circuit, and related methods | GLOBALFOUNDRIES U.S. INC. |
11107881 | Semiconductor package devices having conductive layer, semiconductor wall, conductive wall, and insulation layer | -- |
11107882 | Integrated circuit device including complementary metal-oxide-semiconductor transistor with field cut regions to increase carrier mobility | SAMSUNG ELECTRONICS CO., LTD. |
11107883 | Device isolator with reduced parasitic capacitance | TEXAS INSTRUMENTS INCORPORATED |
11107884 | Sealed cavity structures with a planar surface | GLOBALFOUNDRIES U.S. INC. |
11107885 | Semiconductor film, solar cell, light-emitting diode, thin film transistor, and electronic device | FUJIFILM CORPORATION |
11107886 | Memory device and method of fabricating the memory device | -- |
11107887 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11107888 | Method for manufacturing semiconductor device | -- |
11107889 | Fin field effect transistor (FinFET) device having position-dependent heat generation | -- |
11107890 | FINFET transistor having a doped subfin structure to reduce channel to substrate leakage | INTEL CORPORATION |
11107891 | Hexagonal arrays for quantum dot devices | INTEL CORPORATION |
11107892 | SiC epitaxial wafer and method for producing same | SHOWA DENKO K.K. |
11107893 | Method for forming a semiconductor device and a semiconductor device | INFINEON TECHNOLOGIES AG |
11107894 | Group III-V compound semiconductor device | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11107895 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11107896 | Vertical interconnect features and methods of forming | -- |
11107897 | Methods of forming semiconductor devices and FinFET devices having shielding layers | -- |
11107898 | Semiconductor device and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11107899 | Plate design to decrease noise in semiconductor devices | -- |
11107900 | Dual-gate transistors and their integrated circuits and preparation method thereof | PEKING UNIVERSITY |
11107901 | Charge storage memory device including ferroelectric layer between control gate electrode layers and methods of making the same | SANDISK TECHNOLOGIES LLC |
11107902 | Dielectric spacer to prevent contacting shorting | -- |
11107903 | Selective silicon growth for gapfill improvement | -- |
11107904 | Inner spacer formation in multi-gate transistors | -- |
11107905 | Vertical field effect transistors with self aligned source/drain junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107906 | Integrated circuit devices including a vertical field-effect transistor (VFET) and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11107907 | Semiconductor device and method for manufacturing the same | -- |
11107908 | Transistors with metal source and drain contacts including a Heusler alloy | INTEL CORPORATION |
11107909 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
11107910 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11107911 | Semiconductor device and method for manufacturing same | DENSO CORPORATION |
11107912 | Trench gate semiconductor device with dummy gate electrode and manufacturing method of the same | RENESAS ELECTRONICS CORPORATION |
11107913 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11107914 | Metal-oxide semiconductor for field-effect transistor having enhanced high-frequency performance | -- |
11107915 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11107916 | High voltage transistor structure | -- |
11107917 | High voltage semiconductor device and manufacturing method thereof | -- |
11107918 | Semiconductor structure for fully depleted silicon-on-insulator (FDSOI) transistor | MEDIATEK SINGAPORE PTE. LTD. |
11107919 | Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals | -- |
11107920 | Methods of forming dislocation enhanced strain in NMOS structures | INTEL CORPORATION |
11107921 | Source/drain recess in a semiconductor device | -- |
11107922 | Gate structure and method with enhanced gate contact and threshold voltage | -- |
11107923 | Source/drain regions of FinFET devices and methods of forming same | -- |
11107924 | Systems and methods to reduce FinFET gate capacitance | INTEL CORPORATION |
11107925 | Methods of forming contact features in field-effect transistors | -- |
11107926 | Oxide semiconductor film and method for producing same | FLOSFIA INC. |
11107927 | Oxide semiconductor transistor having dual gate structure and method of fabricating the same | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
11107928 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107929 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107930 | Semiconductor device and display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107931 | Structure and formation method of semiconductor device structure with nanowires | -- |
11107933 | Two-terminal device and lighting device using the same | -- |
11107934 | Composition for forming solar cell electrode and solar cell electrode prepared using the same | CHANGZHOU FUSION NEW MATERIAL CO. LTD |
11107935 | Solar cell with reduced base diffusion area | SUNPOWER CORPORATION |
11107936 | Output voltage control circuit device for plurality of power supply cells connected in series | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11107937 | Photovoltaic device | SHARP KABUSHIKI KAISHA |
11107938 | Photodiode | STMICROELECTRONICS (CROLLES 2) SAS |
11107939 | Systems and methods for shingling cells using an adhesive film | UTICA LEASECO, LLC |
11107940 | Multijunction solar cells having a graded-index structure | SOLAERO TECHNOLOGIES CORP. |
11107941 | Vertical photodiode | STMICROELECTRONICS (CROLLES 2) SAS |
11107942 | Sputtered then evaporated back metal process for increased throughput | UTICA LEASECO, LLC |
11107943 | Method and device for transporting an arrangement of flexible circuit substrates during the production of a laminate therefrom | MÜHLBAUER GMBH & CO. KG |
11107944 | Method of manufacturing an optoelectronic semiconductor chip and optoelectronic semiconductor chip | OSRAM OTPO GMBH |
11107945 | Component with end-side mounted light emitting semiconductor chip | OSRAM OLED GMBH |
11107946 | Method of transferring micro-LEDs from a gallium arsenide substrate | GOERTEK INC. |
11107947 | Micro light emitting diode | UNION CITY |
11107948 | Fluidic pick-up head for assembling light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11107949 | LED display device, method for manufacturing the same, and LED display panel | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11107950 | Light emitting chip and method of producing the same | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11107951 | Heterostructure for light emitting device or photodetector and light-emitting device employing the same | BOLB INC. |
11107952 | Group III nitride semiconductor light emitting element and method of manufacturing the same | DOWA ELECTRONICS MATERIALS CO., LTD. |
11107953 | Optoelectronic semiconductor chip and method of producing an optoelectronic semiconductor chip | OSRAM OLED GMBH |
11107954 | Light-emitting diode chip, and method for manufacturing a light-emitting diode chip | OSRAM OLED GMBH |
11107956 | Production of radiation-emitting semiconductor components | OSRAM OLED GMBH |
11107957 | LED device and backlight module | FOSHAN NATIONSTAR OPTOELECTRONICS CO., LTD. |
11107958 | Method of producing optoelectronic semiconductor components | OSRAM OLED GMBH |
11107959 | Light emitting device | LUMILEDS LLC |
11107961 | Ultraviolet light-emitting device, method for manufacturing ultraviolet light-emitting device and method for manufacturing ultraviolet light-emitting module | SOKO KAGAKU CO., LTD. |
11107962 | UV LED array with power interconnect and heat sink | SOULNANO LIMITED |
11107963 | Apparatus and method for enhancing figure of merit in composite thermoelectric materials with aerogel | -- |
11107964 | System and method for wireless power transfer using thermoelectric generators | APPLIED THERMOELECTRIC SOLUTIONS, LLC |
11107965 | Majorana fermion quantum computing devices fabricated with ion implant methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107966 | Two-sided Majorana fermion quantum computing devices fabricated with ion implant methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107967 | Yttrium-based superconductors with tungsten nano-structures | IMAM ABDULRAHMAN BIN FAISAL UNIVERSITY |
11107968 | All-semiconductor Josephson junction device for qubit applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107969 | Piezoelectric drive device, robot, and method for driving piezoelectric drive device | SEIKO EPSON CORPORATION |
11107970 | Piezoelectric element and method for producing the same, liquid ejection head, and printer | SEIKO EPSON CORPORATION |
11107971 | Laminated substrate with piezoelectric thin film, piezoelectric thin film element and method for manufacturing this element | SUMITOMO CHEMICAL COMPANY, LIMITED |
11107972 | Nanovoided tunable optics | FACEBOOK TECHNOLOGIES, LLC |
11107973 | Joined body of piezoelectric single-crystal substrate and support substrate | NGK INSULATORS, LTD. |
11107974 | Magnetic tunnel junction devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107975 | Magnetic tunnel junction structures and related methods | -- |
11107976 | Magnetic tunnel junction, spintronics device using same, and method for manufacturing magnetic tunnel junction | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11107977 | Seed layer for multilayer magnetic materials | -- |
11107978 | Methods of manufacturing three-dimensional arrays with MTJ devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107979 | Patterned silicide structures and methods of manufacture | SPIN MEMORY, INC. |
11107980 | MRAM fabrication and device | -- |
11107981 | Halide semiconductor memristor and neuromorphic device | -- |
11107982 | RRAM structure | -- |
11107983 | Resistive random access memory array and manufacturing method thereof | -- |
11107984 | Protuberant contacts for resistive switching devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107985 | Materials and components in phase change memory devices | INTEL CORPORATION |
11107986 | Resistive random access memory device | -- |
11107987 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11107988 | Resistive random access memory device comprising metal halide and method for preparing the same | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11107989 | Memory cell with magnetic layers for reset operation | -- |
11107990 | Mask sheet and method for manufacturing the same | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11107991 | Analysis of material layers on surfaces, and related systems and methods | KATEEVA, INC. |
11107992 | Cross-linkable charge transport materials | LOMOX LIMITED |
11107993 | Organic compound and organic electroluminescent element comprising same | MATERIAL SCIENCE CO., LTD. |
11107994 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
11107995 | Organic compound, light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107996 | Organic thin film transistor, organic semiconductor film, compound, organic thin film transistor-forming composition, and method of manufacturing organic thin film transistor | FUJIFILM CORPORATION |
11107997 | Organic electroluminescent device comprising an electron buffer layer and an electron transport layer | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
11107998 | Transparent electrode and electronic device | KONICA MINOLTA, INC. |
11107999 | Condensed cyclic compound and an organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11108000 | Organic electroluminescent materials and devices | UNNIVERSAL DISPLAY CORPORATION |
11108001 | Organic compound and organic electroluminescence device using the same | -- |
11108002 | Light emitting device | PIONEER CORPORATION |
11108003 | Flexible display apparatus | SAMSUNG DISPLAY CO., LTD. |
11108004 | Stretchable display device | LG DISPLAY CO., LTD. |
11108005 | Array substrate, stretchable display device, and method for manufacturing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11108006 | Display apparatus and manufacturing method of the same | SAMSUNG DISPLAY CO., LTD. |
11108007 | Method of making a perovskite layer at high speed | ENERGY MATERIALS CORPORATION |
11108008 | Organic light emitting display device and lighting apparatus for vehicles using the same | LG DISPLAY CO., LTD. |
11108009 | Light-emitting element, lighting device, light-emitting device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11108010 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11108011 | Flexible display and electronic device including the same | LG DISPLAY CO., LTD. |
11108012 | Display panel, display apparatus, method of detecting crack in sealant layer of display panel, and method of fabricating display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11108013 | Display screen and packaging method thereof | TRULY (HUIZHOU) SMART DISPLAY LIMITED |
11108014 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11108015 | Organic electroluminescent illumination panel, manufacturing method thereof, and organic electroluminescent illumination device with spacers protruding from a second electrode | HOTALUX, LTD. |
11108016 | Display screens and display devices | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11108017 | Organic light emitting diode device package structure and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11108018 | OLED panel lower part protection film, and organic light-emitting display apparatus comprising same | SAMSUNG DISPLAY CO., LTD. |
11108019 | OLED display panel and display device having a transparent filling layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11108021 | Display panel and display apparatus | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11108022 | OLED device, method for manufacturing the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11108023 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11108024 | Display apparatus having arbitrary shape | SAMSUNG DISPLAY CO., LTD. |
11108025 | Display device | SAMSUNG DISPLAY CO., LTD. |
11108026 | Electro-luminescence display apparatus | LG DISPLAY CO., LTD. |
11108027 | Printed metal gasket | UNIVERSAL DISPLAY CORPORATION |
11108028 | Manufacturing method for organic electronic device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11108029 | Organic EL display panel manufacturing method and functional layer forming device | JOLED INC. |
11108030 | Display device including light absorption layer and low potential electrode in pad region and method of fabricating the same | LG DISPLAY CO., LTD. |
11108040 | Positive electrode material and secondary battery using same | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11108172 | Methods and devices for impedance multiplication | PSIQUANTUM CORP. |
11108229 | Electrostatic discharge (ESD) protection circuit and integrated circuit including the same | SAMSUNG ELECTRONICS CO., LTD. |
11108240 | System and method for managing the power output of a photovoltaic cell | SOLARLYTICS, INC. |
11108262 | Photovoltaic module and photovoltaic system including the same | LG ELECTRONICS INC. |
11108340 | Piezoelectric actuator having separate frictional portions | TDK CORPORATION |
11108341 | Actuator, optical device, and projector | SEIKO EPSON CORPORATION |
11108343 | Flexible and soft smart driving device | ZHEJIANG UNIVERSITY |
11108356 | Integration of microinverter with photovoltaic module | ENPHASE ENERGY, INC. |
11108380 | Capacitively-driven tunable coupling | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11108388 | Silicon carbide power device, driving circuit and control method | SHANGHAI HESTIA POWER, INC. |
11108980 | Semiconductor devices with single-photon avalanche diode pixels | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11109128 | Speaker apparatus | NOKIA TECHNOLOGIES OY |
11109161 | Sound device | AAC TECHNOLOGIES PTE. LTD. |
11109750 | Pixel array area optimization using stacking scheme for hybrid image sensor with minimal vertical interconnects | DEPUY SYNTHES PRODUCTS, INC. |
11109796 | Electronic device which can be adhered to skin and method for manufacturing the same | AMOREPACIFIC CORPORATION |
11110689 | Pressure sensitive adhesive composition including ultraviolet light-absorbing oligomer | 3M INNOVATIVE PROPERTIES COMPANY |
11110857 | Luminous laminated automotive glazing comprising inorganic light-emitting diodes and manufacture thereof | SAINT-GOBAIN GLASS FRANCE |
11111138 | Planar cavity mems and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111139 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111231 | Heterocyclic compound and organic light-emitting device comprising same | LG CHEM, LTD. |
11111244 | Organic compound and organic electroluminescence device using the same | -- |
11111299 | CD3-binding molecules capable of binding to human and non-human CD3 | MACROGENICS, INC. |
11111347 | Organic light emitting device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11111385 | Silicone composition | OSRAM OLED GMBH |
11111432 | Triangular carbon quantum dots and compositions and uses thereof | BEIJING NORMAL UNIVERSITY |
11111433 | Transparent fluorescent sialon ceramic and method of producing same | NATIONAL UNIVERSITY CORPORATION YOKOHAMA NATIONAL UNIVERSITY |
11111572 | Vapor deposition mask | JAPAN DISPLAY INC. |
11111574 | Vapor deposition apparatus and vapor deposition method | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11111585 | Method for producing base for metal masks, method for producing metal mask for vapor deposition, base for metal masks, and metal mask for vapor deposition | TOPPAN PRINTING CO., LTD. |
11111586 | Self-organized and electrically conducting PEDOT polymer matrix for applications in sensors and energy generation and storage | SOUTH DAKOTA BOARD OF REGENTS |
11111598 | Crystal growth method in a semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11111632 | Aramid paper suitable for use in electronic applications | TEIJIN ARAMID B.V. |
11112070 | Nano-scale light-emitting diode (LED) electrode assembly emitting polarized light, method of manufacturing the same, and polarized led lamp having the same | SAMSUNG DISPLAY CO., LTD. |
11112086 | Phosphor element and lighting device | NGK INSULATORS, LTD. |
11112094 | Method for manufacturing light-emitting device | NICHIA CORPORATION |
11112247 | Angular velocity sensor, sensor element, and multi-axis angular velocity sensor | KYOCERA CORPORATION |
11112297 | Resonator and resonator system including the same and method of manufacturing the resonator | SAMSUNG ELECTRONICS CO., LTD. |
11112305 | Photoconductive detector device with plasmonic electrodes | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11112360 | System including optically-powered sensing integrated circuit(s) with optical information transfer | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
11112388 | Operation of an ultrasonic sensor | INVENSENSE, INC. |
11112394 | Ethylenic compound sensor including an organic semiconductor | THE JOHNS HOPKINS UNIVERSITY |
11112435 | Current transducer with integrated primary conductor | LEM INTERNATIONAL SA |
11112548 | Optical film and display device including the same | LG DISPLAY CO., LTD. |
11112552 | Light-guide sheet and photoelectric conversion device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11112570 | Fabrication method of high aspect ratio solder bumping with stud bump and injection molded solder, and flip chip joining with the solder bump | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11112606 | Multiple layer projector for a head-mounted display | FACEBOOK TECHNOLOGIES, LLC |
11112636 | Display device | -- |
11112685 | Color conversion layer and display apparatus having the same | NEXDOT |
11112823 | Display panel and display device having the same | SAMSUNG ELECTRONICS CO., LTD. |
11112896 | Display device having fracture resistance | SAMSUNG DISPLAY CO., LTD. |
11112897 | Organic light-emitting display panel and preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11112898 | Force touch structure, force touch panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11112918 | Touch display device having fingerprint recognition function | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11113498 | Detection device | JAPAN DISPLAY INC. |
11114003 | Foldable OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114021 | Display device, method of manufacturing the same, and glass stack | SAMSUNG DISPLAY CO., LTD. |
11114029 | Image display device having a drive transistor with a channel length longer than a channel length of individual switching transistors | SONY CORPORATION |
11114041 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114042 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114043 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114044 | Blue light compensation film and OLED display | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114054 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11114144 | Magnetoelectric spin orbit logic with paramagnets | INTEL CORPORATION |
11114145 | Three-dimensional magnetic device and magnetic memory | XI'AN JIAOTONG UNIVERSITY |
11114146 | Nanosecond non-destructively erasable magnetoresistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114157 | Low resistance monosilicide electrode for phase change memory and methods of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11114161 | Phase change device configured to modify a plurality of reconfigurable layer regions among a plurality of contacts | UNIVERSITY OF CONNECTICUT |
11114230 | Monitoring device for cryogenic device | TOKAMAK ENERGY LTD. |
11114251 | Polymer, method for preparing the same and solar cell comprising the polymer | BOE TECHNOLOGY GROUP CO., LTD. |
11114252 | Method for manufacturing perovskite solar cell module and perovskite solar cell module | -- |
11114296 | Semiconductor wafer, electronic device, method of performing inspection on semiconductor wafer, and method of manufacturing electronic device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11114299 | Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning | APPLIED MATERIALS, INC. |
11114300 | Laser annealing apparatus, inspection method of substrate with crystallized film, and manufacturing method of semiconductor device | THE JAPAN STEEL WORKS, LTD. |
11114301 | Semiconductor device and manufacturing method thereof | -- |
11114303 | Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device | -- |
11114320 | Processing system and method of forming a contact | APPLIED MATERIALS, INC. |
11114336 | Semiconductor device and manufacturing method thereof | -- |
11114339 | Method for reducing metal plug corrosion and device | -- |
11114346 | High density logic formation using multi-dimensional laser annealing | TOKYO ELECTRON LIMITED |
11114347 | Self-protective layer formed on high-k dielectric layers with different materials | -- |
11114348 | Hybrid high-voltage low-voltage FinFET device | MICROSEMI SOC CORP. |
11114351 | Dummy element and method of examining defect of resistive element | FUJI ELECTRIC CO., LTD. |
11114365 | Electronic element mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11114366 | Semiconductor structure with buried conductive line and method for forming the same | -- |
11114373 | Metal-insulator-metal structure | -- |
11114377 | Transformer, transformer manufacturing method and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11114378 | Semiconductor structure with ultra thick metal and manufacturing method thereof | -- |
11114380 | Manufacturing method of memory device | -- |
11114381 | Power distribution network for 3D logic and memory | TOKYO ELECTRON LIMITED |
11114384 | Oxide-peeling stopper | INFINEON TECHNOLOGIES AUSTRIA AG |
11114390 | Semiconductor device and forming method thereof | -- |
11114396 | Reduced-length bond pads for broadband power amplifiers | CREE, INC. |
11114411 | Semiconductor chip transfer method and transfer tool | OSRAM OLED GMBH |
11114414 | Wafer structure with capacitive chip interconnection, method for manufacturing the same, and chip structure with capacitive chip interconnection | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114419 | Multi-color LED pixel unit and micro-LED display panel | JADE BIRD DISPLAY (SHANGHAI) LIMITED |
11114423 | Image-forming element | SHARP KABUSHIKI KAISHA |
11114424 | Display substrate and method for preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114426 | Bendable panel and method of fabricating same | -- |
11114428 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11114431 | Electrostatic discharge protection device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11114432 | Protection circuit with a FET device coupled from a protected bus to ground | SEMTECH CORPORATION |
11114435 | FinFET having locally higher fin-to-fin pitch | IMEC VZW |
11114436 | Metal gate structure and methods thereof | -- |
11114437 | Semiconductor device comprising first and second standard cells arranged adjacent to each other | SOCIONEXT INC. |
11114438 | Thyristor volatile random access memory and methods of manufacture | TC LAB, INC. |
11114440 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11114443 | Semiconductor structure formation | MICRON TECHNOLOGY, INC. |
11114447 | SRAM device provided with a plurality of sheets serving as a channel region | SAMSUNG ELECTRONICS CO., LTD. |
11114449 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11114450 | One-time programable memory device having enhanced program efficiency and method for fabricating the same | SK HYNIX SYSTEM IC INC. |
11114451 | Method of forming a device with FinFET split gate non-volatile memory cells and FinFET logic devices | SILICON STORAGE TECHNOLOGY, INC. |
11114452 | Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology | -- |
11114455 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11114456 | Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11114459 | Three-dimensional memory device containing width-modulated connection strips and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11114460 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11114461 | Three-dimensional semiconductor memory devices having source structure overlaps buried insulating layer | SAMSUNG ELECTRONICS CO., LTD. |
11114463 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114464 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11114465 | Memory device, semiconductor device and associated method | -- |
11114468 | Thin film transistor array substrate | -- |
11114471 | Thin film transistors having relatively increased width and shared bitlines | INTEL CORPORATION |
11114476 | Manufacturing method of TFT array substrate, TFT array substrate and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114477 | Array substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114478 | Thin film transistor and manufacture method thereof, array substrate and manufacture method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114479 | Optoelectronics and CMOS integration on GOI substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114480 | Photodetector | ACTLIGHT SA |
11114481 | Capacitor including first electrode, dielectric layer, and second electrode, image sensor, and method for producing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114485 | Metal mirror based multispectral filter array | VIAVI SOLUTIONS INC. |
11114486 | Implant isolated devices and method for forming the same | -- |
11114488 | Image sensing devices with reflector arrays | SHENZHEN ADAPS PHOTONICS TECHNOLOGY CO. LTD. |
11114493 | Image sensors with vertically stacked photodiodes and vertical transfer gates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114494 | Image sensor based on avalanche photodiodes | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114495 | Array substrate and method for manufacturing an array sunstrate | HKC CORPORATION LIMITED |
11114497 | Sensor, array substrate containing sensor, display panel containing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11114499 | Display device having light emitting stacked structure | SEOUL VIOSYS CO., LTD. |
11114500 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11114501 | SOI semiconductor structure and method for manufacturing an SOI semiconductor structure | TDK-MICRONAS GMBH |
11114502 | Resistive memory cell having an ovonic threshold switch | STMICROELECTRONICS (ROUSSET) SAS |
11114503 | Memory device | TOSHIBA MEMORY CORPORATION |
11114504 | Semiconductor device including variable resistance layer | SK HYNIX INC. |
11114506 | Organic light emitting display panel, display device and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11114507 | Pixel arrangement, manufacturing method thereof, display panel, display device and mask | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114509 | OLED display device with fingerprint on display | -- |
11114510 | Organic light-emitting display device having touch sensor | LG DISPLAY CO., LTD. |
11114511 | Display device | SAMSUNG DISPLAY CO., LTD. |
11114512 | Organic light emitting diode display | LG DISPLAY CO., LTD. |
11114513 | Display substrate, manufacturing method thereof, display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11114514 | Organic electroluminescent display panel, manufacturing method thereof, and display device | HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114515 | Organic light-emitting diode display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114516 | Display device | JAPAN DISPLAY INC. |
11114517 | Organic EL display apparatus and method of manufacturing organic EL display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11114518 | Wiring structure, display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114519 | Organic light emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11114520 | Display device | SAMSUNG DISPLAY CO., LTD. |
11114521 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11114522 | Display device, manufacturing method of display device, and exposure device | SHARP KABUSHIKI KAISHA |
11114523 | Display panel and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11114524 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114525 | Optoelectronic component and method for producing an optoelectronic component | OSRAM OLED GMBH |
11114526 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11114527 | Semiconductor device and method for manufacturing same | RENESAS ELECTRONICS CORPORATION |
11114528 | Power transistor with dV/dt controllability and tapered mesas | INFINEON TECHNOLOGIES AUSTRIA AG |
11114529 | Gate-all-around field-effect transistor device | -- |
11114530 | Quantum well stacks for quantum dot devices | INTEL CORPORATION |
11114531 | Semiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11114532 | Semiconductor structures and methods of forming the same | -- |
11114533 | Semiconductor device including contacts having different heights and different widths | SAMSUNG ELECTRONICS CO., LTD. |
11114534 | Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same | SANDISK TECHNOLOGIES LLC |
11114535 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11114536 | Semiconductor device having multiple dimensions of gate structures and method for fabricating the same | -- |
11114537 | Enhancement-mode high electron mobility transistor | IMEC VZW |
11114538 | Transistor with an airgap spacer adjacent to a transistor gate | INTEL CORPORATION |
11114539 | Gate stack for heterostructure device | POWER INTEGRATIONS, INC. |
11114540 | Semiconductor device including standard cells with header/footer switch including negative capacitance | -- |
11114541 | Semiconductor device including capacitor | SAMSUNG ELECTRONICS CO., LTD. |
11114542 | Semiconductor device with reduced gate height budget | GLOBALFOUNDRIES U.S. INC. |
11114543 | Group III-V device structure | -- |
11114544 | Integrated circuit device having fin-type active | SAMSUNG ELECTRONICS CO., LTD. |
11114545 | Cap layer and anneal for gapfill improvement | -- |
11114546 | Semiconductor device and formation thereof | -- |
11114547 | Field effect transistor with negative capacitance dieletric structures | -- |
11114548 | Semiconductor device having source and drain in active region and manufacturing method for same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11114549 | Semiconductor structure cutting process and structures formed thereby | -- |
11114550 | Recessing STI to increase FIN height in FIN-first process | -- |
11114551 | Fin field-effect transistor having counter-doped regions between lightly doped regions and doped source/drain regions | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11114552 | Insulated gate turn-off device with designated breakdown areas between gate trenches | PAKAL TECHNOLOGIES, INC. |
11114553 | Lateral insulated gate turn-off device with induced emitter | PAKAL TECHNOLOGIES, INC. |
11114554 | High-electron-mobility transistor having a buried field plate | INFINEON TECHNOLOGIES AUSTRIA AG |
11114555 | High electron mobility transistor device and methods for forming the same | -- |
11114556 | Gate stack design for GaN e-mode transistor performance | INTEL CORPORATION |
11114557 | Integration of a Schottky diode with a MOSFET | II-VI DELAWARE, INC. |
11114558 | Shielded gate trench MOSFET integrated with super barrier rectifier | -- |
11114559 | Semiconductor device having reduced gate charges and superior figure of merit | VISHAY-SILICONIX, LLC |
11114560 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11114561 | LDMOS device and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11114562 | Semiconductor device | -- |
11114563 | Semiconductor devices with low junction capacitances and methods of fabrication thereof | -- |
11114564 | Ferroelectric MFM inductor and related circuits | -- |
11114565 | Semiconductor device | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11114566 | Semiconductor device and method of manufacturing the same | -- |
11114567 | Manufacturing method of TFT substrate and TFT substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114568 | Semiconductor device | JAPAN DISPLAY INC. |
11114569 | Semiconductor device with an oxidized intervention and method for fabricating the same | -- |
11114570 | Memory structure and manufacturing method thereof | -- |
11114571 | Semiconductor device and method for manufacturing same | DENSO CORPORATION |
11114572 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11114573 | Optoelectronic module assembly and manufacturing method | AMS SENSORS SINGAPORE PTE. LTD. |
11114574 | Semiconductor sensor | OSRAM OPTO SEMICONDUCTORS GMBH |
11114575 | Solar cell | ZHEJIANG JINKO SOLAR CO., LTD. |
11114576 | Solar cell module | LG ELECTRONICS INC. |
11114577 | Photovoltaic power generation device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114578 | Image sensors with silver-nanoparticle electrodes | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114579 | Method for preparing ultrathin two-dimensional nanosheets and applications thereof | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11114581 | Method for producing solar cell module | SHARESUN CO., LTD. |
11114582 | Display apparatus with increased self-alignment efficiency | SAMSUNG DISPLAY CO., LTD. |
11114583 | Light emitting device encapsulated above electrodes | NICHIA CORPORATION |
11114584 | Optoelectronic component | OSRAM OLED GMBH |
11114585 | Advanced electronic device structures using semiconductor structures and superlattices | SILANNA UV TECHNOLOGIES PTE LTD |
11114586 | Semiconductor light emitting device | KABUSHIKI KAISHA TOSHIBA |
11114587 | Streamlined GaN-based fabrication of light emitting diode structures | ODYSSEY SEMICONDUCTOR, INC. |
11114588 | Semiconductor light emitting element | USHIO DENKI KABUSHIKI KAISHA |
11114589 | Fluoride phosphor and light-emitting device using same | DENKA COMPANY LIMITED |
11114590 | Wavelength conversion module, method of forming the same and projection apparatus | -- |
11114591 | Core-shell materials with red-emitting phosphors | CURRENT LIGHTING SOLUTIONS, LLC |
11114592 | Light emitting diode | -- |
11114593 | Optoelectronic modules and optoelectronic molding tools and processes for manufacturing the same | AMS SENSORS SINGAPORE PTE. LTD. |
11114594 | Light emitting device packages using light scattering particles of different size | CREELED, INC. |
11114595 | Optical component and transparent body | NGK INSULATORS, LTD. |
11114596 | Light-emitting device | NICHIA CORPORATION |
11114597 | Display device having an electronic device disposed on a first pad and a second pad | -- |
11114598 | Lamp using semiconductor light-emitting elements | LG ELECTRONICS INC. |
11114599 | Electronic devices including solid semiconductor dies | 3M INNOVATIVE PROPERTIES COMPANY |
11114600 | Polycrystalline magnesium silicide and use thereof | TOKYO UNIVERSITY OF SCIENCE FOUNDATION |
11114601 | Thermoelectric material | TECHNISCHE UNIVERSITAT DARMSTADT |
11114602 | Method of forming superconducting layers and traces | MICROSOFT TECHNOLOGY LICENSING, LLC |
11114603 | Medical instrument including high frequency ultrasound transducer array | FUJIFILM SONOSITE, INC. |
11114604 | Method of manufacturing MEMS device and MEMS device | SEIKO EPSON CORPORATION |
11114605 | Composite storage layer for magnetic random access memory devices | HEFECHIP CORPORATION LIMITED |
11114606 | MRAM devices containing a harden gap fill dielectric material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114607 | Double magnetic tunnel junction device, formed by UVH wafer bonding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114608 | Combined spin-orbit torque and spin-transfer torque switching for magnetoresistive devices and methods therefor | EVERSPIN TECHNOLOGIES INC. |
11114609 | Tunnel magnetoresistive effect element, magnetic memory, and built-in memory | TDK CORPORATION |
11114610 | Semiconductor structure, electrode structure and method of forming the same | -- |
11114611 | Method to make MRAM with small footprint | -- |
11114612 | Magnetoresistive random access memory and method for fabricating the same | -- |
11114613 | Cross-point memory and methods for forming of the same | MICRON TECHNOLOGY, INC. |
11114614 | Process for fabricating resistive memory cells | STMICROELECTRONICS (ROUSSET) SAS |
11114615 | Chalcogenide memory device components and composition | MICRON TECHNOLOGY, INC. |
11114616 | Ti-based amorphous alloy and phase change memory device applying the same | SAMSUNG ELECTRONICS CO., LTD. |
11114617 | Spiroacridine derivatives | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
11114618 | Organic layer and method of manufacturing the same, directional heat source assembly, and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114619 | Conjugated polymer for a photoactive layer, a coating composition including the conjugated polymer, and an organic solar cell including the photoactive layer | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11114620 | Organic light-emitting diode device and compound for charge generation layer included therein | SAMSUNG DISPLAY CO., LTD. |
11114621 | Electroactive materials | LG CHEM, LTD. |
11114622 | Compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11114623 | Organic salts for high voltage organic and transparent solar cells | BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY |
11114624 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11114625 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11114626 | Metal compounds, methods, and uses thereof | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11114627 | Manufacturing method for flexible display panel and flexible display panel comprising concave tapered organic layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114628 | Method of manufacturing a flexible organic light-emitting diode (OLED) display panel by laser lift-off of a glass carrier through a planarization layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114629 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
11114630 | Display panel, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114631 | Flexible display substrate, manufacturing method thereof, and flexible display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114632 | Display panels and methods for manufacturing the same | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11114633 | Solar antenna array fabrication | NOVASOLIX, INC. |
11114634 | Photodiode | SAMSUNG ELECTRONICS CO., LTD. |
11114635 | Method for making polymer solar cell | TSINGHUA UNIVERSITY |
11114636 | Organic electroluminescent display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114637 | Current-driven display and method for producing the same | -- |
11114638 | Light-emitting diode, array substrate, and method of making the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114639 | Flexible display panel, fabricating method thereof and display apparatus | HEFEIXINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114640 | Display substrate and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11114641 | Display panel having thin film layers with recesses and protrusions | BAZHOU YUNGU ELECTRONICS TECHNOLOGY CO., LTD. |
11114642 | Flexible display panel, flexible display device, and method of fabricating flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11114643 | Organic light emitting device with micro lenses and method for manufacturing the same | LG DISPLAY CO., LTD. |
11114644 | OLED display panel and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114645 | Polarization member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11114646 | Organic light emitting display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114647 | Pixel element, method for fabricating the same, display control method, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11114648 | UV-protected component for OLEDs | COVESTRO DEUTSCHLAND AG |
11114649 | Light-emitting display device | LG DISPLAY CO., LTD. |
11114650 | Method and apparatus for producing flexible OLED device including lift-off light irradiation | SAKAI DISPLAY PRODUCTS CORPORATION |
11114651 | Apparatus and method for manufacturing curved display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114836 | Semiconductor device, intelligent power module and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
11114862 | Localized power point optimizer for solar cell installations | ENPHASE ENERGY, INC. |
11114952 | Phase-change composites for electroactive devices | FACEBOOK TECHNOLOGIES, LLC |
11114954 | Ultrasonic motor having generators formed of cooperating and spaced apart first and second sub-generators | PHYSIK INSTRUMENTE (PI) GMBH & CO. KG |
11114979 | Frequency detector | -- |
11115247 | Methods and circuits for asymmetric distribution of channel equalization between devices | RAMBUS INC. |
11115514 | Mobile terminal | LG ELECTRONICS INC. |
11115609 | Solid-state imaging apparatus and driving method thereof | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11115612 | Solid-state image sensor and image capture apparatus | CANON KABUSHIKI KAISHA |
11116079 | High resolution display device | SAMSUNG DISPLAY CO., LTD. |
11116100 | Implementation module for stacked connection between isolated circuit components and the circuit thereof | SHENZHEN XILONG TOY COMPANY LIMITED |
11117358 | Transfer articles | 3M INNOVATIVE PROPERTIES COMPANY |
11117798 | MEMS-sensor | INFINEON TECHNOLOGIES AG |
11117801 | Transparent electrode with a composite layer of a graphene layer and nanoparticles | IMAM ABDULRAHMAN BIN FAISAL UNIVERSITY |
11117806 | Silicon carbide/graphite composite and articles and assemblies comprising same | ENTEGRIS, INC. |
11117857 | Compound, material for organic electroluminescent elements, organic electroluminescent element and electronic device | IDEMITSU KOSAN CO., LTD. |
11117897 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11117910 | Organometallic compound, organic light-emitting device including the organometallic compound, and organic light-emitting apparatus including the organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11118067 | Sanitation systems and components thereof having a slippery surface | PRESIDENT AND FELLOWS OF HARVARD COLLEGE |
11118258 | Deposition mask, method of manufacturing deposition mask and metal plate | DAI NIPPON PRINTING CO., LTD. |
11118259 | Evaporation device and evaporation method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11118266 | Method for depositing protection film of light-emitting element | TES CO., LTD |
11118286 | Surface piece | SEFAR AG |
11118741 | LED lamp utilizing optical filtering to counteract effects of color anomalous vision | ENERGY FOCUS, INC. |
11118778 | Semiconductor lighting devices and methods | SEESCAN, INC. |
11118944 | Rotation angle encoder apparatus | VIAVI SOLUTIONS INC. |
11118970 | Optical detection circuit comprising an optical detector to generate voltage between an anode and a cathode due to photoelectromotive force generated in accordance with incident light quantity | HAMAMATSU PHOTONICS K.K. |
11118976 | Texture recognition device and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11118981 | Frequency-selective metasurface integrated uncooled microbolometers | THE CURATORS OF THE UNIVERSITY OF MISSOURI |
11119196 | First photon correlated time-of-flight sensor | OMNIVISION TECHNOLOGIES, INC. |
11119228 | Radiation detector and radiation detection device using the same | HITACHI, LTD. |
11119298 | Infrared imaging lens system | KYOCERA CORPORATION |
11119341 | Contact lens having energy harvesting part | SAMSUNG ELECTRONICS CO., LTD. |
11119373 | Array substrate, method of manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11119376 | Electro-optical device and electronic apparatus | SEIKO EPSON CORPORATION |
11119409 | Polysiloxane skeleton polymer, photosensitive resin composition, pattern forming process, and fabrication of opto-semiconductor device | SHIN-ETSU CHEMICAL CO., LTD. |
11119536 | Flexible electronic device and manufacturing method therefor | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11119537 | Foldable display device including protection film | SAMSUNG DISPLAY CO., LTD. |
11119591 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11119592 | Display apparatus with black matrix | SAMSUNG DISPLAY CO., LTD. |
11119593 | Organic light emitting display device including a reflective touch sensing electrode and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11119596 | Display panel and driving method, and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11119598 | Piezzoelectric touch device | AITO BV |
11119610 | Touch member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11119612 | Embedded touch panel with touch drive lines structure that improves sensitivity | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11119619 | Touch display panel, manufacturing method thereof, driving method thereof and touch display device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11120243 | Fingerprint identification module, manufacturing method and driving method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11120735 | Light emitting device package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11120737 | Display device | SAMSUNG DISPLAY CO., LTD. |
11120739 | Display device | SAMSUNG DISPLAY CO., LTD. |
11120761 | Driving substrate and display apparatus | -- |
11120856 | Spin-orbit torque devices | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11120857 | Low variability reference parameter generation for magnetic random access memory | GLOBALFOUNDRIES U.S. INC. |
11120858 | Magnetic memory | KIOXIA CORPORATION |
11120884 | Implementing logic function and generating analog signals using NOR memory strings | SUNRISE MEMORY CORPORATION |
11120927 | Diffusion barriers for metallic superconducting wires | H.C. STARCK INC. |
11120941 | Methods of forming capacitors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11120943 | Method for manufacturing ceramic electronic component | MURATA MANUFACTURING CO., LTD. |
11120946 | Micro-electronic electrode assembly | TECHNISCHE UNIVERSITÄT DARMSTADT |
11120974 | Semiconductor device | -- |
11120987 | Nonstoichiometric structures with multiple controlled bandgap energy levels and methods thereof | NTH TECH CORPORATION |
11120990 | Methods for depositing III-V compositions on silicon | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11120991 | Lateral semiconductor nanotube with hexagonal shape | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120995 | Method for forming multi-layer mask | -- |
11120997 | Surface treatment for etch tuning | -- |
11120998 | Etching method and methods of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11121011 | Process system and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11121012 | Substrate cleaning apparatus and cleaning method using the same | SAMSUNG DISPLAY CO., LTD. |
11121021 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11121023 | FinFET device comprising a single diffusion break with an upper surface that is substantially coplanar with an upper surface of a fin | GLOBALFOUNDRIES U.S. INC. |
11121025 | Layer for side wall passivation | -- |
11121026 | Semiconductor device and method of manufacture | -- |
11121030 | Transistors employing carbon-based etch stop layer for preserving source/drain material during contact trench etch | INTEL CORPORATION |
11121032 | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121035 | Semiconductor substrate processing methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11121036 | Multi-gate device and related methods | -- |
11121037 | Semiconductor device structure and method for forming the same | -- |
11121039 | FinFET structures and methods of forming the same | -- |
11121040 | Multi voltage threshold transistors through process and design-induced multiple work functions | INTEL CORPORATION |
11121041 | Methods for threshold voltage tuning and structure formed thereby | -- |
11121042 | Production of semiconductor regions in an electronic chip | STMICROELECTRONICS (ROUSSET) SAS |
11121043 | Fabrication of transistors having stressed channels | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121044 | Vertically stacked nanosheet CMOS transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121063 | Stem | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11121073 | Through plate interconnect for a vertical MIM capacitor | INTEL CORPORATION |
11121074 | Packaged die stacks with stacked capacitors and methods of assembling same | INTEL CORPORATION |
11121078 | SRAM having irregularly shaped metal lines | -- |
11121086 | Vertical isolated gate field effect transistor integrated in a semiconductor chip | IMEC VZW |
11121122 | Flexible light-emitting diode lighting strip with interposer | LUMILEDS LLC |
11121124 | Display device with a plurality of separately operable pixels formed in a grid | OSRAM OLED GMBH |
11121125 | Thermal chamber for a thermal control component | MICRON TECHNOLOGY, INC. |
11121126 | Silicon controlled rectifier and manufacturing method therefor | INFINEON TECHNOLOGIES AG |
11121128 | Structure and method for alignment marks | -- |
11121129 | Semiconductor device | -- |
11121130 | Structure and formation method of semiconductor device with gate stacks | -- |
11121131 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11121132 | Gate-cut isolation structure and fabrication method | QUALCOMM INCORPORATED |
11121133 | Semiconductor device with fin transistors and manufacturing method of such semiconductor device | SONY CORPORATION |
11121135 | Structure of memory device | -- |
11121138 | Low resistance pickup cells for SRAM | -- |
11121139 | Hafnium oxide and zirconium oxide based ferroelectric devices with textured iridium bottom electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121140 | Ferroelectric tunnel junction memory device with integrated ovonic threshold switches | SANDISK TECHNOLOGIES LLC |
11121141 | Semiconductor structure and method for forming the same | -- |
11121142 | Memory structure and manufacturing method therefor | -- |
11121148 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11121149 | Three-dimensional memory device containing direct contact drain-select-level semiconductor channel portions and methods of making the same | SANDISK TECHNOLOGIES LLC |
11121152 | Three-dimensional memory device and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121153 | Three-dimensional memory devices containing structures for controlling gate-induced drain leakage current and method of making the same | SANDISK TECHNOLOGIES LLC |
11121158 | Solid-state image pickup apparatus and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11121159 | Pixel structure of image sensor having dielectric layer surrounding photo conversion layer and color filter | -- |
11121170 | Method for manufacturing micro array light emitting diode and lighting device | INDUSTRY-ACADEMIC COOPERATION FOUNDATION OF SUNCHON NATIONAL UNIVERSITY |
11121171 | Display apparatus and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11121173 | Preserving underlying dielectric layer during MRAM device formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121174 | MRAM integration into the MOL for fast 1T1M cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121176 | Nearly 2D electronic microparticles | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11121177 | Memory arrays and methods of forming an array of memory cells | MICRON TECHNOLOGY, INC. |
11121178 | Electronic device and method for fabricating electronic device | SK HYNIX INC. |
11121179 | Variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11121180 | Three-dimensional memory array | MICRON TECHNOLOGY, INC. |
11121181 | Dimension control for raised lines | MICRON TECHNOLOGY, INC. |
11121182 | Organic photoelectric conversion element, optical area sensor, image pickup device, and image pickup apparatus | CANON KABUSHIKI KAISHA |
11121183 | Display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121184 | Organic electroluminescent device | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11121185 | Display substrate including pixel unit groups, display device and display method thereof, and mask plate | BOE TECHNOLOGY GROUP CO., LTD. |
11121186 | Transparent display device including a transmissive electrode and a capping structure at an emission portion and a transmission portion | LG DISPLAY CO., LTD. |
11121187 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11121188 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11121190 | Optoelectronic device and methods of use | DOW GLOBAL TECHNOLOGIES LLC |
11121192 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121194 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121196 | Display device | SAMSUNG DISPLAY CO., LTD. |
11121197 | OLED panel and display device thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121198 | Organic light emitting display device having auxiliary connection electrode and method of manufacturing the same | LG DISPLAY CO., LTD. |
11121199 | Display panel and manufacturing method for the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121200 | Array substrate, display device and peep prevention method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11121202 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11121204 | Display device | JAPAN DISPLAY INC. |
11121205 | Display panel and display panel test system | SAMSUNG DISPLAY CO., LTD. |
11121206 | Integrated circuit resistor with passive breakdown protection circuit | TEXAS INSTRUMENTS INCORPORATED |
11121207 | Integrated trench capacitor with top plate having reduced voids | TEXAS INSTRUMENTS INCORPORATED |
11121208 | MIM capacitor and method of forming the same | -- |
11121209 | Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121210 | Integrated circuit with triple guard wall pocket isolation | TEXAS INSTRUMENTS INCORPORATED |
11121211 | Fabrication of lateral superjunction devices using selective epitaxy | THE TEXAS A&M UNIVERSITY SYSTEM |
11121212 | High-voltage semiconductor device | -- |
11121213 | Fin recess last process for FinFET fabrication | -- |
11121214 | Source/drain contact with 2-D material | -- |
11121215 | iFinFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121216 | III-nitride devices including a graded depleting layer | TRANSPHORM TECHNOLOGY, INC. |
11121217 | Semiconductor device and manufacturing method thereof | -- |
11121218 | Gate-all-around transistor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121219 | Elastic strain engineering of defect doped materials | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11121220 | Semiconductor device including trench structures and manufacturing method | INFINEON TECHNOLOGIES AG |
11121221 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11121222 | Semiconductor devices with graded dopant regions | GREENTHREAD, LLC |
11121224 | Transistor with field plate over tapered trench isolation | TEXAS INSTRUMENTS INCORPORATED |
11121225 | Field plate structure to enhance transistor breakdown voltage | -- |
11121226 | Thin film transistor and method for manufacturing the same, array substrate and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11121227 | Semiconductor memory device | KIOXIA CORPORATION |
11121228 | Manufacturing method of thin film transistor | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121229 | Methods of fabricating semiconductor structures and high electron mobility transistors | -- |
11121230 | Structures and methods for controlling dopant diffusion and activation | -- |
11121231 | Method of manufacturing a field effect transistor with optimized performances | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121232 | Stacked nanosheets with self-aligned inner spacers and metallic source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121233 | Forming nanosheet transistor using sacrificial spacer and inner spacers | TESSERA, INC. |
11121234 | Stacked gate spacers | -- |
11121235 | Structure and a manufacturing method of a MOSFET with an element of IVA group ion implantation | -- |
11121236 | Semiconductor device with air spacer and stress liner | -- |
11121237 | Manufacturing method for FinFET device | SHANGHAI IC R&D CENTER CO., LTD |
11121238 | Semiconductor device and manufacturing method thereof | -- |
11121239 | Spin to photon transducer | THE TRUSTEES OF PRINCETON UNIVERSITY |
11121240 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11121241 | Semiconductor device | DENSO CORPORATION |
11121242 | Method of operating a semiconductor device having a desaturation channel structure | INFINEON TECHNOLOGIES AG |
11121243 | 2D-3D heterojunction tunnel field-effect transistor | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11121244 | RF device integrated on an engineered substrate | QROMIS, INC. |
11121245 | Field plate structures with patterned surface passivation layers and methods for manufacturing thereof | EFFICIENT POWER CONVERSION CORPORATION |
11121246 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11121247 | Semiconductor device and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11121248 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11121249 | Semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11121250 | Silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11121251 | Laterally diffused metal oxide semiconductor device and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11121252 | LDMOS device and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING (BEIJING) INTEL CORPORATION |
11121253 | High voltage semiconductor device and manufacturing method of high voltage semiconductor device | KEY FOUNDRY CO., LTD. |
11121254 | Transistor with strained superlattice as source/drain region | -- |
11121255 | V-shape recess profile for embedded source/drain epitaxy | -- |
11121256 | Semiconductor device integrating backside power grid and related integrated circuit and fabrication method | -- |
11121257 | Thin film transistor, pixel structure, display device and manufacturing method | BOE TECHNOLOGY GROUP CO., LTD. |
11121258 | Transistors comprising two-dimensional materials and related semiconductor devices, systems, and methods | MICRON TECHNOLOGY, INC. |
11121259 | Metal-oxide-based neuromorphic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121261 | Semiconductor substrate | -- |
11121262 | Semiconductor device including thin film transistor and method for manufacturing the same | SAKAI DISPLAY PRODUCTS CORPORATION |
11121263 | Hydrogen trap layer for display device and the same | APPLE INC. |
11121264 | Junction field effect transistor | KABUSHIKI KAISHA TOSHIBA |
11121265 | Silicon carbide trench schottky barrier diode using polysilicon and a method of manufacturing the same | KOREA ELECTROTECHNOLOGY RESEARCH INSTITUTE |
11121266 | Voltage-controllable capacitor comprising a ferroelectric layer and method for producing the voltage-controllable capacitor comprising a ferroelectric layer | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11121267 | Antireflective coating for glass applications and method of forming same | BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
11121268 | Semiconductor light-receiving element and manufacturing method of semiconductor light-receiving element | LUMENTUM JAPAN, INC. |
11121269 | Solar cell | LG ELECTRONICS INC. |
11121270 | Photoelectric conversion element, photoelectric conversion module, and solar photovoltaic power generation system | SHARP KABUSHIKI KAISHA |
11121271 | Microstructure enhanced absorption photosensitive devices | W&WSENS, DEVICES, INC. |
11121272 | Self-bypass diode function for gallium arsenide photovoltaic devices | UTICA LEASECO, LLC |
11121273 | Solar module clamp | NEXTRACKER INC. |
11121274 | Backsheet for a solar cell module | AGFA-GEVAERT NV |
11121275 | Method of fabricating space solar cell arrays with blocking diodes | SOLAERO TECHNOLOGIES CORP. |
11121276 | Panel structure for receiving light and generating electricity | TROPIGLAS TECHNOLOGIES LTD. |
11121277 | Solar module with homogeneous color impression | (CNBM) BENGBU DESIGN & RESEARCH INSTITUTE FOR GLASS INDUSTRY CO., LTD |
11121278 | Infrared transmissive concentrated photovoltaics for coupling solar electric energy conversion to solar thermal energy utilization | THE ADMINISTRATORS OF THE TULANE EDUCATIONAL FUND |
11121279 | Electrically controllable and tunable electromagnetic-field absorber/emitter using graphene/2D material multilayer nanostructures | -- |
11121280 | Display device with image sensor | -- |
11121281 | Systems and methods for light direction detection microchips | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11121282 | Method for producing a CdTe thin-film solar cell | CHINA TRIUMPH INTERNATIONAL ENGINEERING CO., LTD. |
11121283 | Method for transferring light emitting elements, and method for making display panel | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11121284 | Semiconductor device and semiconductor device package comprising same | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11121285 | Semiconductor device | -- |
11121286 | Semiconductor device | SUZHOU LEKTN SEMICONDUCTOR CO., LTD. |
11121287 | Method for producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
11121288 | Package structure of light-emitting element | -- |
11121289 | Ultra-dense quantum dot color converters | TECTUS CORPORATION |
11121290 | Barrier free stable quantum dot film | NANO AND ADVANCED MATERIALS INSTITUTE LIMITED |
11121291 | Display device | OSRAM OLED GMBH |
11121292 | LED light bulb having filament with being partially coated by light conversion layer | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11121293 | LED light bulb having filament with tube light conversion layer | JIAXING SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD. |
11121294 | Radiation-emitting component and method for producing a radiation-emitting component | OSRAM OLED GMBH |
11121295 | Method of adapting light extraction from a light emitting diode | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121296 | Transparent sealing member having at least one corner portion in curved shape and method for manufacturing same | NGK INSULATORS, LTD. |
11121297 | Method of manufacturing light emitting device that includes a first reflecting layer and a second reflecting layer | NICHIA CORPORATION |
11121298 | Light-emitting diode packages with individually controllable light-emitting diode chips | CREELED, INC. |
11121299 | Semiconductor device and method | -- |
11121300 | Method of producing optoelectronic semiconductor devices and optoelectronic semiconductor device | OSRAM OPTO SEMICONDUCTORS GMBH |
11121301 | Microwave integrated quantum circuits with cap wafers and their methods of manufacture | RIGETTI & CO, INC. |
11121302 | System and method for superconducting multi-chip module | -- |
11121303 | Treatment during fabrication of a quantum computing device to increase channel mobility | MICROSOFT TECHNOLOGY LICENSING, LLC |
11121304 | Junction fabrication method for forming qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121305 | Microelectronic structures with suspended lithium-based thin films | CARNEGIE MELLON UNIVERSITY |
11121306 | Magnetic tunnel junction device with magnetic layer of easy-cone state | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
11121307 | Semiconductor device and method for fabricating the same | -- |
11121308 | Sidewall spacer structure for memory cell | -- |
11121309 | Magnetic memory devices including magnetic tunnel junctions | SAMSUNG ELECTRONICS CO., LTD. |
11121310 | Spin electronics element and method of manufacturing thereof | TOHOKU UNIVERSITY |
11121311 | MTJ containing device encapsulation to prevent shorting | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121312 | Semiconductor device and method for fabricating the same | -- |
11121313 | Semiconductor structure and formation method thereof | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11121314 | Large height tree-like sub 30nm vias to reduce conductive material re-deposition for sub 60nm MRAM devices | -- |
11121315 | Structure improving reliability of top electrode contact for resistance switching RAM having cells of varying height | -- |
11121316 | Symmetric tunable PCM resistor for artificial intelligence circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121317 | Low resistance crosspoint architecture | MICRON TECHNOLOGY, INC. |
11121318 | Tunable forming voltage for RRAM device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121319 | Phase-change memory with no drift | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121320 | Organic vapor jet print head with redundant groups of depositors | UNIVERSAL DISPLAY CORPORATION |
11121321 | High resolution shadow mask with tapered pixel openings | EMAGIN CORPORATION |
11121322 | Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP) | UNIVERSAL DISPLAY CORPORATION |
11121323 | Materials for electronic devices | MERCK PATENT GMBH |
11121324 | Dihetero amines in electrically conductive polymer compositions | LG CHEM, LTD. |
11121325 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11121326 | Organic compound, light-emitting element, light-emitting device, electronic device and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11121327 | Spiro-condensed lactam compounds for organic electroluminescent devices | MERCK PATENT GMBH |
11121328 | Synthesis of platinum and palladium complexes as narrow-band phosphorescent emitters for full color displays | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11121329 | Amine compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11121330 | Organic light-emitting diode display panel and display device having substrate through holes | -- |
11121331 | Flexible substrate and display panel using the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121332 | Foldable array substrate, preparation method thereof and display device | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11121333 | OLED display panel and method for fabricating same | -- |
11121334 | 3D graphene transistor | TRUSTEES OF TUFTS COLLEGE |
11121335 | Carbon nanotube transistor and logic with end-bonded metal contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121336 | Hybrid planar-mixed heterojunction for organic photovoltaics | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11121337 | OLED display substrate and method for manufacturing the same, display device and packaging method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11121338 | Quantum dot material, preparation method, and semiconductor device | TCL TECHNOLOGY GROUP CORPORATION |
11121339 | Quantum dot LED design based on resonant energy transfer | NANOSYS, INC. |
11121340 | Electroluminescent device, manufacturing method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11121341 | Organic light emitting diode including electron transport layer including carbon nanotubes | TSINGHUA UNIVERSITY |
11121342 | Display panel having a nano-stack layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121343 | Display device | JAPAN DISPLAY INC. |
11121344 | Organic electroluminescent device | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11121345 | Structures and methods of OLED display fabrication suited for deposition of light enhancing layer | APPLIED MATERIALS, INC. |
11121346 | OLED devices having improved efficiency | UNIVERSAL DISPLAY CORPORATION |
11121347 | Display apparatus having an encapsulation substrate of high thermal conductivity | LG DISPLAY CO., LTD. |
11121348 | Rotary polarized light emitting body, rotary polarized light emitting device, and manufacturing method therefor | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11121349 | Method for manufacturing an organic electroluminescence display device having separate hole blocking layers | -- |
11121350 | Electrode-attached substrate, laminated substrate, and organic device manufacturing method | SUMITOMO CHEMICAL COMPANY, LIMITED |
11121351 | Flexible display panel and preparation method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121523 | Semiconductor devices with depleted heterojunction current blocking regions | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11121524 | Semiconductor device, semiconductor laser, and method of producing a semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11121556 | Magnetically coupled solar power supply system for battery based loads | AMPT, LLC |
11121647 | Contact pad features | MAGNECOMP CORPORATION |
11121648 | Piezoelectric generator | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121670 | Smart shingles | RESILIENCE MAGNUM IP, LLC |
11121674 | Photovoltaic modules | SUNPOWER CORPORATION |
11121772 | Smart laser light for a vehicle | KYOCERA SLD LASER, INC. |
11122153 | Low-speed signal photoelectric conversion module for DP interface | EVERPRO TECHNOLOGIES COMPANY LTD. |
11122216 | Solid-state imaging device | SAMSUNG ELECTRONICS CO., LTD. |
11122236 | Display apparatus with movable sensor module | SAMSUNG ELECTRONICS CO., LTD. |
11122666 | Light emitting diode light structures | AUSTIN IP PARTNERS |
11123977 | Displacing a substance | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11123986 | Liquid ejecting head, liquid ejecting apparatus, and piezoelectric device | SEIKO EPSON CORPORATION |
11124110 | Car lamp using semiconductor light emitting device | ZKW GROUP GMBH |
11124456 | Methods of identifying and preparing a ceramic material exhibiting an electric field induced strain | XAAR TECHNOLOGY LIMITED |
11124512 | Compound, display panel and display apparatus | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11124521 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11124533 | Organometallic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11124662 | Polymer-polyoxometalate composite ink and application thereof | -- |
11125224 | Actuating and sensing module | -- |
11125251 | Hybrid pressure and thermal exchanger | BREAKTHROUGH TECHNOLOGIES, LLC |
11125389 | Interdigitated back contact metal-insulator-semiconductor solar cell with printed oxide tunnel junctions | ZHEJIANG KAIYING NEW MATERIALS CO., LTD. |
11125393 | LED filament light bulb having different surface roughness filament base layer | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
11125415 | Infrared illumination device configured with a gallium and nitrogen containing laser source | KYOCERA SLD LASER, INC. |
11125469 | Apparatus and method for the co-production of high temperature thermal energy and electrical energy from solar irradiance | THE UNIVERSITY OF TULSA |
11125612 | Photoelectric conversion element and photoelectric conversion device | KANEKA CORPORATION |
11125616 | Photodetector device comprising each of a plurality of passive quenching elements connected in series to at least one avalanche photodiode and a capacitative element connected in parallel to the passive quenching element | HAMAMATSU PHOTONICS K.K. |
11125631 | Piezoelectric sensor having an inverted conical base structure | FATRI UNITED TESTING & CONTROL (QUANZHOU) TECHNOLOGIES CO. LTD. |
11125727 | Ultrasound sensor and detection apparatus | NPL MANAGEMENT LIMITED |
11125803 | Method of measuring semiconductor device by applying voltage to the semiconductor device using probe needle | MITSUBISHI ELECTRIC CORPORATION |
11125835 | AMR-type integrated magnetoresistive sensor for detecting magnetic fields perpendicular to the chip | STMICROELECTRONICS S.R.L. |
11125862 | Emitter structures for ultra-small vertical cavity surface emitting lasers (VCSELS) and arrays incorporating the same | SENSE PHOTONICS, INC. |
11125895 | Detection element and detector | KABUSHIKI KAISHA TOSHIBA |
11126028 | Photoluminescent liquid crystal display | SAMSUNG ELECTRONICS CO., LTD. |
11126052 | Array substrate, method for manufacturing the same, display device, and switching element | JAPAN DISPLAY INC. |
11126147 | Portable electronic device | SEIKO EPSON CORPORATION |
11126278 | Stylus with light energy harvesting | MICROSOFT TECHNOLOGY LICENSING, LLC |
11126289 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11126403 | True random number generator (TRNG) circuit using a diffusive memristor | UNIVERSITY OF MASSACHUSETTS |
11126814 | Ultrasonic fingerprint sensor with flexible substrate | QUALCOMM INCORPORATED |
11127319 | Flexible display panel, manufacturing method thereof and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11127341 | Light emitting module and display device | -- |
11127343 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11127445 | Magnetic device | TOSHIBA MEMORY CORPORATION |
11127455 | Fin-FET gain cells | BAR-ILAN UNIVERSITY |
11127459 | Memory devices and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11127461 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11127469 | Nonvolatile semiconductor storage device | FLOADIA CORPORATION |
11127527 | Method for manufacturing inductor | MURATA MANUFACTURING CO., LTD. |
11127535 | Ferroelectric enhanced solar cell and preparation method thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127536 | Hierarchically nanostructured films and applications thereof | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
11127585 | Out-of-plane deformable semiconductor substrate, method of making an out-of-plane deformable semiconductor substrate, and an in-plane and out-of-plane deformable semiconductor substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127586 | Source and drain process for FinFET | -- |
11127588 | Semiconductor processing applying supercritical drying | MICRON TECHNOLOGY, INC. |
11127590 | Method for ALD deposition on inert surfaces via Al2O3 nanoparticles | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11127591 | Light emitters on transition metal dichalcogenides directly converted from thermally and electrically conductive substrates and method of making the same | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127595 | Method for bonding a semiconductor substrate to a carrier | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127596 | Semiconductor material growth of a high resistivity nitride buffer layer using ion implantation | RAYTHEON COMPANY |
11127602 | Method of fastening a semiconductor chip on a lead frame, and electronic component | OSRAM OLED GMBH |
11127622 | Deep trench isolation and substrate connection on SOI | NXP USA, INC. |
11127623 | Single diffusion cut for gate structures | GLOBALFOUNDRIES U.S. INC. |
11127624 | Method of manufacturing a semiconductor on insulator type structure, notably for a front side type imager | SOITEC |
11127625 | Semiconductor structure and related method | -- |
11127629 | Semiconductor device and fabricating method thereof | -- |
11127630 | Contact plug without seam hole and methods of forming the same | -- |
11127631 | Semiconductor device with contact structures | -- |
11127635 | Techniques for wafer stack processing | -- |
11127636 | Bot group messaging using bot-specific voice libraries | ORION LABS, INC. |
11127637 | Semiconductor device convex source/drain region | -- |
11127638 | Semiconductor device and fabrication method including air gap spacers | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11127640 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11127641 | Spin-current magnetization reversal element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11127652 | Semiconductor structures having reduced thermally induced bow | RAYTHEON COMPANY |
11127657 | Semiconductor device and process for fabricating the same | LAPIS SEMICONDUCTOR CO., LTD. |
11127672 | Busbar assembly | SUNCALL CORPORATION |
11127677 | Resistor structure of series resistor of ESD device | SICHUAN ENERGY INTERNET RESEARCH INSTITUTE, TSINGHUA UNIVERSITY |
11127678 | Dual dielectric layer for closing seam in air gap structure | GLOBALFOUNDRIES U.S. INC. |
11127684 | Low-resistance interconnect structures | -- |
11127694 | Physical unclonable functions with copper-silicon oxide programmable metallization cells | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11127697 | Semiconductor device and method of manufacturing the same | -- |
11127698 | Method for producing conductive film, method for producing field effect transistor using same, and method for producing wireless communication device | TORAY INDUSTRIES, INC. |
11127702 | Semiconductor device and method for manufacturing same | DENSO CORPORATION |
11127720 | Pixel repair method for a direct view display device | NANOSYS, INC. |
11127721 | Full spectrum white light emitting devices | INTEMATIX CORPORATION |
11127723 | Method for mass transfer of micro semiconductor elements | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127724 | Light emitting diode device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127725 | Semiconductor structure and associated manufacturing method | -- |
11127726 | Method and device for manufacturing flexible light emission device | SAKAI DISPLAY PRODUCTS CORPORATION |
11127730 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11127731 | Electronic device including a transistor having structures with different characteristics | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11127733 | Electronic device | INFINEON TECHNOLOGIES AG |
11127734 | Vertical nanowire transistor for input/output structure | -- |
11127736 | MIM capacitor and method for making the same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11127737 | Monolithic multi-I region diode limiters | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11127739 | Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures | SAMSUNG ELECTRONICS CO., LTD. |
11127740 | Method of manufacturing a semiconductor device with separated merged source/drain structure | -- |
11127741 | Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier | -- |
11127742 | Semiconductor device and a method for fabricating the same | -- |
11127743 | Transistor, semiconductor device, electronic apparatus, and method for producing transistor | SONY CORPORATION |
11127746 | Fin-based strap cell structure for improving memory performance | -- |
11127747 | Transistors including two-dimensional materials | MICRON TECHNOLOGY, INC. |
11127749 | Single poly non-volatile memory device, method of manufacturing the same and single poly non-volatile memory device array | KEY FOUNDRY CO., LTD. |
11127752 | Structure of semiconductor device and method for fabricating the same | -- |
11127755 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11127760 | Vertical transistor fabrication for memory applications | APPLIED MATERIALS, INC. |
11127762 | Semiconductor device and display including wiring line having protective metal film | JOLED INC. |
11127763 | Display panel and manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127766 | Display panel and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127769 | Elevated pocket pixels, imaging devices and systems including the same and method of forming the same | MICRON TECHNOLOGY, INC. |
11127778 | Light emitting transducer | FLEXUCELL APS |
11127779 | Light-emitting semiconductor chip and display device | OSRAM OLED GMBH |
11127780 | Display panel | -- |
11127781 | Method of maskless parallel pick-and-place transfer of micro-devices | APPLIED MATERIALS, INC. |
11127782 | Magnetic memory array incorporating selectors and method for manufacturing the same | AVALANCHE TECHNOLOGY, INC. |
11127783 | MRAM, method of manufacturing the same, and electronic device including the MRAM | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11127784 | Integrated circuits with embedded memory structures and methods for fabricating the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11127785 | Cross-point magnetic random access memory with piezoelectric selector | INTEL CORPORATION |
11127786 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11127787 | Magnetic memory cell including two-terminal selector device | AVALANCHE TECHNOLOGY, INC. |
11127788 | Semiconductor device having magnetic tunnel junction (MTJ) stack | -- |
11127789 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127790 | Phase change memory structure and manufacturing method for the same | -- |
11127792 | Three dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127793 | Manufacturing methods of three-dimensional vertical memory | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11127795 | Optical unit and organic light emitting display including the same | SAMSUNG DISPLAY CO., LTD. |
11127797 | Foldable display device | SAMSUNG DISPLAY CO., LTD. |
11127798 | Pixel definition layer and manufacturing method thereof, display substrate, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11127799 | Opposite substrate and preparation method thereof, display panel and packaging method | BOE TECHNOLOGY GROUP CO., LTD. |
11127800 | Light emitting device and method for manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127801 | Organic light-emitting diode display substrate, method of manufacturing the same, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11127802 | Electroluminescence display | LG DISPLAY CO., LTD. |
11127804 | Display panel, method for manufacturing the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127805 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127806 | Wiring structure, display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11127807 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11127809 | Stretchable display panel, stretchable display apparatus, and method of fabricating stretchable display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11127810 | Display device | JAPAN DISPLAY INC. |
11127811 | Display panel with layered transmission pads in non-display area, manufacturing method thereof and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11127812 | Devices with a single metal layer | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11127813 | Semiconductor inductors | INTEL CORPORATION |
11127815 | Semiconductor device and method of forming the semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127816 | Heterojunction bipolar transistors with one or more sealed airgap | GLOBALFOUNDRIES U.S. INC. |
11127817 | Formation of semiconductor device structure by implantation | -- |
11127818 | High voltage transistor with fin source/drain regions and trench gate structure | GLOBALFOUNDRIES U.S. INC. |
11127819 | Gate all around transistors for different applications | -- |
11127820 | Quantum well field-effect transistor and method for manufacturing the same | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127822 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11127823 | Split gate structure, power MOS device, and manufacturing method | HEJIAN TECHNOLOGY (SUZHOU) CO., LTD. |
11127825 | Middle-of-line contacts with varying contact area providing reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127826 | Semiconductor device | DENSO CORPORATION |
11127827 | Control gate strap layout to improve a word line etch process window | -- |
11127828 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11127829 | Semiconductor device | NANJING SINNOPOWER TECHNOLOGY CO., LTD. |
11127830 | Apparatus with multidielectric spacers on conductive regions of stack structures, and related methods | MICRON TECHNOLOGY, INC. |
11127831 | Transistor structure with overlying gate on polysilicon gate structure and related method | GLOBALFOUNDRIES U.S. INC. |
11127832 | Semiconductor structure and method for forming the same | -- |
11127833 | Method to improve HKMG contact resistance | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11127834 | Gate structures | GLOBALFOUNDRIES U.S. INC |
11127835 | Method for etching a three-dimensional dielectric layer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11127836 | Metal gate scheme for device and methods of forming | -- |
11127837 | Method of forming MOSFET structure | -- |
11127838 | Method of fabricating metal gate transistor | -- |
11127839 | Method of manufacturing a trench oxide in a trench for a gate structure in a semiconductor substrate | INFINEON TECHNOLOGIES AG |
11127840 | Method for manufacturing isolation structure for LDMOS | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11127841 | Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions | INTEL CORPORATION |
11127842 | Single fin structures | GLOBALFOUNDRIES U.S. INC. |
11127843 | Asymmetrical lateral heterojunction bipolar transistors | GLOBALFOUNDRIES U.S. INC. |
11127844 | Semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11127845 | Enclosed gate runner for eliminating miller turn-on | -- |
11127846 | High electron mobility transistor devices and methods for forming the same | -- |
11127847 | Semiconductor devices having a gate field plate including an extension portion and methods for fabricating the semiconductor device | -- |
11127848 | Semiconductor structure and method for forming the same | -- |
11127849 | Enhancement-mode field effect transistor | THE 13TH RESEARCH INSTITUTE OF CHINA ELECTRONICS TECHNOLOGY GROUP CORPORATION |
11127850 | Semiconductor device | ROHM CO., LTD. |
11127851 | Semiconductor device, and method for manufacturing the same | ROHM CO., LTD. |
11127852 | Vertical trench gate MOSFET with deep well region for junction termination | TEXAS INSTRUMENTS INCORPORATED |
11127853 | Power transistor device including first and second transistor cells having different on-resistances for improved thermal stability | INFINEON TECHNOLOGIES AG |
11127854 | Semiconductor device and method of manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11127855 | Lateral diffused metal oxide semiconductor field effect (LDMOS) transistor and device having LDMOS transistors | TOWER SEMICONDUCTORS LTD. |
11127856 | LDMOS with diode coupled isolation ring | NXP USA, INC. |
11127857 | Semiconductor device and method of manufacture | -- |
11127858 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11127859 | Semiconductor device and manufacturing method thereof | -- |
11127860 | Extended-drain field-effect transistors including a floating gate | GLOBALFOUNDRIES U.S. INC. |
11127861 | Multi-gate thin film transistor memory | INTEL CORPORATION |
11127862 | Three-dimensional non-volatile memory device and method of manufacturing the same | SK HYNIX INC. |
11127863 | Gate structure and method for producing same | FORSCHUNGSVERBUND BERLIN E.V. |
11127864 | Carbosiloxane polymer compositions, methods of producing the same and the use thereof | OPTITUNE OY |
11127865 | Integrated energy harvesting and storage device | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11127866 | Metallization of solar cells | SUNPOWER CORPORATION |
11127867 | Monocrystalline germanium wafers, method for preparing the same, method for preparing ingots and use of monocrystalline wafers | BEIJING TONGMEI XTAL TECHNOLOGY CO., LTD. |
11127868 | Photo-activated quantum dot capacitor photodetectors | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11127869 | Photoelectric conversion element, optical sensor, imaging element, and compound | FUJIFILM CORPORATION |
11127870 | Wear-resistant self-cleaning solar cell panel having inverted microstructure filled with superhydrophobic nanomaterial | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11127871 | Structures and methods for forming electrodes of solar cells | SUNPOWER CORPORATION |
11127872 | System for power generation using solar energy | -- |
11127873 | Monolithic multijunction solar cell having exactly four subcells | AZUR SPACE SOLAR POWER GMBH |
11127874 | Electronic device comprising a chip provided with an optical sensor | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11127875 | Method for manufacturing at least one passivated planar photodiode with reduced dark current | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11127876 | Method of preventing contamination of LED die | LUMILEDS LLC |
11127877 | Method for producing optoelectric semiconductor components, and optoelectronic semiconductor component | OSRAM OLED GMBH |
11127878 | Method of depositing gallium nitride on a substrate | UNIVERSITI MALAYA |
11127879 | Light-emitting diode | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127880 | Optoelectronic semiconductor device and method for producing an optoelectronic semiconductor device | OSRAM OLED GMBH |
11127881 | Ultra-dense array of LEDs with half cavities and reflective sidewalls | TECTUS CORPORATION |
11127882 | Resonant optical cavity light emitting device | SILANNA UV TECHNOLOGIES PTE LTD |
11127883 | Semiconductor device | -- |
11127884 | Optoelectronic device with light-emitting diode with extraction enhancement | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11127885 | Array substrate, display panel and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11127886 | Flip-chip LED with barrier structure | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127887 | Semiconductor light emitting device with reflective side coating | LUMILEDS LLC |
11127888 | Ultra-high color rendering white light-emitting device with controlled emission spectrum and lighting device containing the same | ALLIX CO., LTD. |
11127889 | Displays with unpatterned layers of light-absorbing material | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11127890 | Method for assembling a carrier with components, pigment for assembling a carrier with a component and method for producing a pigment | OSRAM OLED GMBH |
11127891 | Compound semiconductor and use thereof | LG CHEM, LTD. |
11127892 | Reducing parasitic capacitance and coupling to inductive coupler modes | GOOGLE LLC |
11127893 | Systems and methods for superconducting devices used in superconducting circuits and scalable computing | D-WAVE SYSTEMS INC. |
11127894 | Spin-orbit-torque magnetization rotating element, spin-orbit-torque magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11127895 | Magnetic memory device | KABUSHIKI KAISHA TOSHIBA |
11127896 | Shared spin-orbit-torque write line in a spin-orbit-torque MRAM | EVERSPIN TECHNOLOGIES, INC. |
11127897 | Nonvolatile memory cells having an embedded selection element and nonvolatile memory cell arrays including the nonvolatile memory cells | SK HYNIX INC. |
11127898 | Microswitch and electronic device in which same is used | NIPPON STEEL CORPORATION |
11127899 | Conductive interconnects suitable for utilization in integrated assemblies, and methods of forming conductive interconnects | MICRON TECHNOLOGY, INC. |
11127900 | Variable resistance memory devices, and methods of forming variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127901 | Three-dimensional stacked phase change memory and preparation method thereof | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127902 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127903 | Formation of carbon nanotube-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127904 | Compound, coating composition comprising same, organic light emitting device using same, and manufacturing method thereof | LG CHEM, LTD. |
11127905 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11127906 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11127907 | Electronic devices using organic small molecule semiconducting compounds | NEXT ENERGY TECHNOLOGIES, INC. |
11127908 | Display device | JAPAN DISPLAY INC. |
11127909 | Photoelectric conversion element, measuring method of the same, solid-state imaging device, electronic device, and solar cell | SONY CORPORATION |
11127910 | Imaging device and electronic apparatus | SONY CORPORATION |
11127911 | Display panel and method of manufacturing thereof | HKC CORPORATION LIMITED |
11127912 | Light emitting device and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11127913 | Quantum-dot light emitting diode and quantum-dot light emitting display device | LG DISPLAY CO., LTD. |
11127914 | Light emitting device and fabricating method thereof | SAMSUNG DISPLAY CO., LTD. |
11127915 | OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127916 | Light emitting device | PIONEER CORPORATION |
11127917 | Spectral emission modification using localized surface plasmon of metallic nanoparticles | UNIVERSAL DISPLAY CORPORATION |
11127918 | Display device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11127919 | OLED light emitting module and display device having metal stack including sandwiched invar alloy, and manufacturing method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127920 | Electroluminescent device having window | SAMSUNG DISPLAY CO., LTD. |
11127921 | Organic light-emitting display apparatus having peripheral area including metal-containing layer and plurality of dams | SAMSUNG DISPLAY CO., LTD. |
11127922 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127923 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127924 | Display devices containing polymeric films | 3M INNOVATIVE PROPERTIES COMPANY |
11127925 | OLED display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127926 | Method of forming protection film for organic EL device, method of manufacturing display device and display device | THE JAPAN STEEL WORKS, LTD. |
11127927 | Composition comprising surface modified high index nanoparticles suitable for optical coupling layer | 3M INNOVATIVE PROPERTIES COMPANY |
11127928 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127929 | Display panel and display device comprising the same | LG DISPLAY CO., LTD. |
11127930 | Substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127931 | Method for manufacturing display panel | SAMSUNG DISPLAY CO., LTD. |
11127932 | Simultaneous doctor blading of different colored light emitting components | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11127933 | Array substrate and method for manufacturing the same, method for repairing array substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11127934 | Manufacturing method of display panel and display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127935 | Display panel, preparation method thereof and display device | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11128099 | Light-emitting device | NICHIA CORPORATION |
11128117 | Protection circuit and operational method of the protection circuit, and semiconductor integrated circuit apparatus | ROHM CO., LTD. |
11128179 | Large-scale space-based solar power station: power transmission using steerable beams | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11128254 | Systems and methods for applying flexible solar panels to flexible underlying membranes | PVILION, INC. |
11128293 | Compensation for device property variation according to wafer location | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11128339 | Radio frequency switch based on negative-capacitance field effect transistors | -- |
11128381 | Photon detection light receiving device and optical wireless communication apparatus using same | QUANTUM DRIVE CO., LTD. |
11128791 | Photoelectric conversion element | CANON KABUSHIKI KAISHA |
11128957 | Micro-speaker, speaker device and electronic apparatus | GOERTEK INC. |
11129274 | Rollable display device and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11129415 | Infiltration detecting apparatus, electronic cigarette, and method for controlling electronic cigarette | CHANGZHOU JWEI INTELLIGENT TECHNOLOGY CO., LTD. |
11130154 | Ultrasonic sensor, electronic device using same, and method for making same | RECO TECHNOLOGY (CHENGDU) CO., LTD. |
11130270 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11130313 | Attachment apparatus and method for curved display panel | -- |
11130327 | System for laminating photovoltaic stacks | SOLEAN |
11130334 | Actuator device including actuator and wiring member | BROTHER KOGYO KABUSHIKI KAISHA |
11130335 | Piezoelectric thin-film element, liquid discharge head, head module, liquid discharge device, liquid discharge apparatus, and method for manufacturing piezoelectric thin-film element | RICOH COMPANY, LTD. |
11130670 | MEMS devices with an element having varying widths | -- |
11130712 | Method of producing polycrystalline Y-358 superconductor | IMAM ABDULRAHMAN BIN FAISAL UNIVERSITY |
11130747 | Plurality of host materials and an organic electroluminescence device comprising the same | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD |
11130770 | Precursor compound for producing photoactive layer of thin film solar cell and production method thereof | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11130837 | Organic semiconductors | -- |
11130849 | Moisture resistant coating for barrier films | 3M INNOVATIVE PROPERTIES COMPANY |
11130910 | Organic-inorganic hybrid perovskite nanocrystals and methods of making the same | THE TRUSTEES OF PRINCETON UNIVERSITY |
11131032 | Metal alloy core-shell nanoparticles and related methods | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS |
11131033 | Heterostructured thin-film catalysts comprising nanocavities | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11131299 | Pump comprising a polygon-shaped piezo diaphragm transducer | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11131588 | Energy converter, vibration power generator, force sensor device, and actuator | TOHOKU UNIVERSITY |
11131693 | Vertical sense devices in vertical trench MOSFET | VISHAY-SILICONIX, LLC |
11131703 | Apparatus comprising a semiconductor-based photomultiplier and method regarding gain stabilization | SAINT-GOBAIN CERAMICS & PLASTICS, INC. |
11131757 | High-speed light sensing apparatus | ARTILUX, INC. |
11131773 | Lidar unit with an optical link between controller and photosensor layer | OUSTER, INC. |
11131782 | Ionizing radiation detector | STMICROELECTRONICS (CROLLES 2) SAS |
11131878 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11131885 | Light emittng device | NICHIA CORPORATION |
11131887 | Display apparatus, panel switching method and electronic device | MOBVOI INFORMATION TECHNOLOGY COMPANY LIMITED |
11131895 | Display device | SHARP KABUSHIKI KAISHA |
11131914 | Wavelength conversion member | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11131918 | Light source assembly | -- |
11131925 | Water-soluble diacetylene, photolithography composition comprising water-soluble diacetylene monomer and conductive polymer, and fine pattern preparation method using same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11132081 | Touch circuit, touch display device and driving method thereof | LG DISPLAY CO., LTD. |
11133028 | Magnetoresistance effect element | TDK CORPORATION |
11133044 | Interleaved routing for MRAM cell selection | -- |
11133045 | Magnetoresistive random access memory (MRAM) bit cell with a narrow write window distribution | SYNOPSYS, INC. |
11133046 | Data writing device for variable-resistance memory element and non-volatile flip-flop | TOHOKU UNIVERSITY |
11133057 | Memory array with bit-lines connected to different sub-arrays through jumper structures | -- |
11133118 | Patterned nanoparticle structures | UNIVERSITY OF MASSACHUSETTS |
11133179 | Thin-film structure and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11133182 | Staggered-type tunneling field effect transistor | -- |
11133183 | Staggered-type tunneling field effect transistor | -- |
11133184 | Staggered-type tunneling field effect transistor | -- |
11133188 | Non-volatile memory semiconductor device with electrostatic discharge protection, planarization layers, and manufacturing method thereof | -- |
11133189 | Metal cut patterning and etching to minimize interlayer dielectric layer loss | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133191 | Method of producing etching mask, etching mask precursor, and oxide layer, and method of manufacturing thin film transistor | JAPAN ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11133194 | Method for selective etching at an interface between materials | TOKYO ELECTRON LIMITED |
11133196 | Gate electrode and method for manufacturing the same, and method for manufacturing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11133209 | Transfer head for micro LED | POINT ENGINEERING CO., LTD. |
11133217 | Late gate cut with optimized contact trench size | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133221 | Method for forming semiconductor device structure with gate electrode layer | -- |
11133222 | Method for manufacturing semiconductor structure | -- |
11133223 | Selective epitaxy | -- |
11133224 | Semiconductor structure and method for forming the same | -- |
11133226 | FUSI gated device formation | -- |
11133227 | Semiconductor device having active region and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133228 | Semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11133229 | Forming transistor by selectively growing gate spacer | -- |
11133231 | CMP apparatus and method for estimating film thickness | -- |
11133236 | Polymer-based-semiconductor structure with cavity | -- |
11133256 | Embedded bridge substrate having an integral device | INTEL CORPORATION |
11133272 | Vertically-aligned and conductive dummies in integrated circuit layers for capacitance reduction and bias independence and methods of manufacture | QUALCOMM INCORPORATED |
11133294 | Transparent display panel | -- |
11133295 | Methods for manufacturing a display device | -- |
11133299 | Gate-lifted NMOS ESD protection device | NXP B.V. |
11133300 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11133301 | Integrated circuit having a MOM capacitor and transistor | -- |
11133302 | Semiconductor carrier with vertical power FET module | -- |
11133303 | Semiconductor device and semiconductor arrangement comprising semiconductor devices | INFINEON TECHNOLOGIES AG |
11133304 | Packaging scheme involving metal-insulator-metal capacitor | -- |
11133305 | Nanosheet P-type transistor with oxygen reservoir | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133306 | Semiconductor device including fin structures and manufacturing method thereof | -- |
11133307 | FinFETs with locally thinned gate structures and having different distances therebetween | -- |
11133308 | Uniform work function metal recess for vertical transistor complementary metal oxide semiconductor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133309 | Multi-threshold voltage gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133311 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133313 | Asymmetric semiconductor memory device having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11133314 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133315 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133316 | Semiconductor device having a serpentine shape isolation | -- |
11133318 | Semiconductor structure and manufacturing method of the same | -- |
11133320 | Method for fabricating semiconductor device | -- |
11133321 | Semiconductor device and method of fabricating the same | -- |
11133323 | High-voltage transistor having shielding gate | TOSHIBA MEMORY CORPORATION |
11133326 | Semiconductor device and method of manufacturing thereof | RENESAS ELECTRONICS CORPORATION |
11133327 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133328 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11133330 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133331 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology | STMICROELECTRONICS, INC. |
11133332 | Semiconductor device and manufacturing method of the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133333 | Producing method for thin film transistor with different crystallinities | SAKAI DISPLAY PRODUCTS CORPORATION |
11133334 | Array substrate with stacked gate lines, manufacturing method thereof, and display device with stacked gate lines | BOE TECHNOLOGY GROUP CO., LTD. |
11133337 | Display device and method for manufacturing same | JAPAN DISPLAY INC. |
11133338 | SLT integrated circuit capacitor structure and methods | PSEMI CORPORATION |
11133340 | Device comprising photodiode and method of making the same | -- |
11133345 | Active matrix substrate, X-ray imaging panel with the same, and method of manufacturing the same | SHARP KABUSHIKI KAISHA |
11133347 | Active matrix substrate and imaging panel with same | SHARP KABUSHIKI KAISHA |
11133350 | Integrated circuit with thermoelectric power supply | TEXAS INSTRUMENTS INCORPORATED |
11133351 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11133352 | Optical wireless communications systems | SAMSUNG ELECTRONICS CO., LTD. |
11133353 | Display device having a reflective portion covered bank sidewall | LG DISPLAY CO., LTD. |
11133354 | Light-emitting display device | LG DISPLAY CO., LTD. |
11133356 | Touch panel, method for manufacturing the same, and touch device | SHENZHEN ROYOLE TECHNOLOGIES CO., LTD. |
11133358 | Display system comprising an image sensor | ISORG |
11133359 | Integrated layered electronic display sheets for placement on product packaging and in printed media | INURU GMBH |
11133360 | Shift register circuit, display panel, and electronic apparatus | SONY CORPORATION |
11133362 | Display device | SAMSUNG DISPLAY CO., LTD. |
11133363 | Array substrate and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133364 | Light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11133365 | AMOLED double-side display | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11133366 | Array substrate and method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133367 | Thin film transistor and fabricating method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133369 | Flexible display panel and manufacturing method thereof | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11133370 | Organic light emitting diode display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11133371 | Display device | JAPAN DISPLAY INC. |
11133374 | Method for fabricating magnetic core | -- |
11133375 | Semiconductor substrate with integrated inductive component | TEXAS INSTRUMENTS INCORPORATED |
11133377 | Semiconductor device | ROHM CO., LTD. |
11133378 | Semiconductor device including trench contact structure and manufacturing method | INFINEON TECHNOLOGIES AG |
11133379 | Semiconductor device having a super junction structure and method of manufacturing the same | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11133380 | Diode structure of a power semiconductor device | INFINEON TECHNOLOGIES AG |
11133381 | Reverse recovery charge reduction in semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11133382 | Semiconductor nanoparticle, semiconductor nanoparticle-containing dispersion liquid, and film | FUJIFILM CORPORATION |
11133383 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133384 | Quantum wire resonant tunneling transistor | -- |
11133385 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11133386 | Multi-layer fin structure | -- |
11133387 | FinFETs having dielectric punch-through stoppers | -- |
11133388 | Silicon-germanium heterostructures with quantum wells having oscillatory germanium concentration profiles for increased valley splitting | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11133389 | Pnictide nanocomposite structure for lattice stabilization | IQE PLC |
11133390 | Low temperature, thin film crystallization method and products prepared therefrom | THE BOEING COMPANY |
11133391 | Transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11133392 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133393 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11133394 | Semiconductor device and manufacturing method thereof | -- |
11133395 | N-work function metal with crystal structure | -- |
11133396 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11133397 | Method for forming lateral heterojunction bipolar devices and the resulting devices | GLOBALFOUNDRIES U.S. INC. |
11133398 | Semiconductor device including sense insulated-gate bipolar transistor | ROHM CO., LTD. |
11133399 | Semiconductor device | ROHM CO., LTD. |
11133400 | Structure and formation method of semiconductor device structure | -- |
11133401 | Fin structures having varied fin heights for semiconductor device | -- |
11133402 | Semiconductor device, method for manufacturing semiconductor device, and electronic appliance having semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133403 | Tunnel field effect transistor | -- |
11133404 | FinFET device including a stem region of a fin element | -- |
11133405 | High ruggedness heterojunction bipolar transistor | -- |
11133406 | Semiconductor device | DENSO CORPORATION |
11133407 | Super-junction IGBT device and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11133408 | Dielectric passivation for layered structures | IQE PLC |
11133409 | Ballistic field-effect transistors based on Bloch resonance and methods of operating a transistor | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11133410 | Field-effect transistors and methods of manufacturing the same | INTEL CORPORATION |
11133411 | Semiconductor device with reduced on-resistance | KABUSHIKI KAISHA TOSHIBA |
11133412 | Integrated circuit devices including vertical field-effect transistors (VFETs) | SAMSUNG ELECTRONICS CO., LTD. |
11133413 | High voltage PMOS (HVPMOS) transistor with a composite drift region and manufacture method thereof | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11133414 | Semiconductor device having low Rdson and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11133415 | Gradient doped region of recessed Fin forming a FinFET device | -- |
11133416 | Methods of forming semiconductor devices having plural epitaxial layers | -- |
11133417 | Transistors with a sectioned epitaxial semiconductor layer | GLOBALFOUNDRIES U.S. INC. |
11133418 | Semiconductor device and method for fabricating the same | -- |
11133419 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133420 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133421 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133422 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
11133423 | Optical device and method of manufacturing the same | -- |
11133424 | Low power optical sensor for consumer, industrial, and automotive applications | STMICROELECTRONICS S.R.L. |
11133425 | Solar cell | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11133426 | Solar cell and method for manufacturing the same | LG ELECTRONICS INC. |
11133427 | Light receiving device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11133428 | Light absorption layer, dispersion, photoelectric conversion element, solar cell, and method for manufacturing light absorption layer | KAO CORPORATION |
11133429 | Group-IV solar cell structure using group-IV or III-V heterostructures | THE BOEING COMPANY |
11133430 | Photoelectric conversion element production method | KANEKA CORPORATION |
11133431 | Light emitting diode with ion implanted resistive area, manufacturing method of light emitting diode with ion implanted resistive area and display device including light emitting diode with ion implanted resistive area | SAMSUNG ELECTRONICS CO., LTD. |
11133432 | Display panel and manufacturing method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11133433 | Hybrid phonon-enhanced optical absorbers and emitters | UCHICAGO ARGONNE, LLC |
11133434 | Image display device | SHARP KABUSHIKI KAISHA |
11133435 | Nitride semiconductor substrate, manufacturing method therefor, and semiconductor device | OSAKA UNIVERSITY |
11133436 | Semiconductor light emitting element | NICHIA CORPORATION |
11133437 | Optoelectronic device with light-emitting diode with extraction enhancement | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11133438 | Light-emitting device with transparent nanoparticle electrode | SHARP KABUSHIKI KAISHA |
11133439 | Light emitting device with reflector | BLACK PEAK LLC |
11133440 | LEDs with efficient electrode structures | BRIDGELUX, INC. |
11133441 | Light emitting device and lighting fixture provided with the same | NICHIA CORPORATION |
11133442 | Wavelength converted light emitting device with small source size | LUMILEDS LLC |
11133443 | Light emitting device package and method of manufacturing light emitting device package | SAMSUNG ELECTRONICS CO., LTD. |
11133444 | Light emitting apparatus, projector, and method for manufacturing light emitting apparatus | SEIKO EPSON CORPORATION |
11133445 | Resin composition for circuit board, and metal-base circuit board in which same is used | DENKA COMPANY LIMITED |
11133446 | Optoelectronic component | OSRAM OLED |
11133447 | Micro light-emitting device, structure, and display thereof | -- |
11133448 | Light emitting device | NICHIA CORPORATION |
11133449 | Thermoelectric material and thermoelectric module comprising the same | HYUNDAI MOTOR COMPANY |
11133450 | Superconducting bump bonds | GOOGLE LLC |
11133451 | Superconducting bump bonds | GOOGLE LLC |
11133452 | Trilayer Josephson junction structure with small air bridge and no interlevel dielectric for superconducting qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133453 | Piezoelectric driving device, piezoelectric motor, robot, electronic-component conveying apparatus, printer, and projector | SEIKO EPSON CORPORATION |
11133454 | Piezoelectric element, liquid discharge head, and printer | SEIKO EPSON CORPORATION |
11133455 | Reduced hysteresis and reduced creep in nanovoided polymer devices | FACEBOOK TECHNOLOGIES, LLC |
11133456 | Magnetic storage device | TOSHIBA MEMORY CORPORATION |
11133457 | Controllable formation of recessed bottom electrode contact in a memory metallization stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133458 | Multi-bit magnetic memory device | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11133459 | Magnetic element, magnetic memory device, and magnetic sensor | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11133460 | Methods for forming structures with desired crystallinity for MRAM applications | APPLIED MATERIALS, INC. |
11133461 | Laminate diffusion barriers and related devices and methods | INTEL CORPORATION |
11133462 | Bottom electrode structure and method of forming the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133463 | Memory cells with asymmetrical electrode interfaces | MICRON TECHNOLOGY, INC. |
11133464 | Conductive amorphous oxide contact layers | 4DS MEMORY, LIMITED |
11133465 | Methods for forming three-dimensional phase-change memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133466 | Methods for controlling switching characteristics of a correlated electron material device | CERFE LABS, INC. |
11133467 | Access devices to correlated electron switch | CERFE LABS, INC. |
11133468 | Semiconductor nanocrystal-ligand composite and device including composite | SAMSUNG ELECTRONICS CO., LTD. |
11133469 | Compounds for organic optoelectronic device and organic optoelectronic device including the same | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
11133470 | Organic electroluminescent device | SAMSUNG DISPLAY CO., LTD. |
11133471 | Compound for organic electronic element, organic electronic element using same and electronic device therefor | DUK SAN NEOLUX CO., LTD |
11133472 | Organic light-emitting diode having long lifespan, low voltage, and high efficiency property | SFC CO., LTD. |
11133473 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11133474 | Disubstituted diaryloxybenzoheterodiazole compounds | ENI S.P.A. |
11133475 | Organic semiconductor element, organic semiconductor composition, method of manufacturing organic semiconductor film, organic semiconductor film, and compound and polymer using the same | FUJIFILM CORPORATION |
11133476 | Electron transport material, an OLED display panel and an electronic device compromising the same | SHANGHAI TIANMA AM-OLED CO., LTD. |
11133477 | Heterocyclic compound and organic light emitting element using same | LT MATERIALS CO., LTD. |
11133478 | Aromatic amine derivative and organic electroluminescent device using the same | IDEMITSU KOSAN CO., LTD. |
11133479 | Organic solar cell comprising a phenyldithiophene polymer donor and a non-fullerene acceptor | LG CHEM, LTD. |
11133480 | Wearable device comprising strip-shaped substrate and flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11133481 | Method for manufacturing solar cell | LG ELECTRONICS INC. |
11133482 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133483 | Organic light emitting display panel having base dam covering one side of power electrode | SAMSUNG DISPLAY CO., LTD. |
11133484 | OLED lighting apparatus | LG DISPLAY CO., LTD. |
11133485 | Light-emitting device and electrode thereof | -- |
11133486 | Electroluminescent lighting device | LG DISPLAY CO., LTD. |
11133487 | Light emitting diode, method for preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133488 | Display substrate, display apparatus, and method of fabricating display substrate having enclosure ring in buffer area | BOE TECHNOLOGY GROUP CO., LTD. |
11133489 | Display device, method of manufacturing display device, and electronic device | SONY CORPORATION |
11133490 | Light emitting display apparatus including a selective wavelength absorbing member | LG DISPLAY CO., LTD. |
11133491 | Fabrication method of semiconductor device and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133568 | Semiconductor package structure having antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11133758 | Quantum vacuum fluctuation devices | THE REGENTS OF THE UNIVERSITY OF COLORADO, A BODY CORPORATE |
11133776 | Photovoltaic module | LG ELECTRONICS INC. |
11133778 | High current burn-in of solar cells | SUNPOWER CORPORATION |
11133788 | Bonded body and elastic wave element | NGK INSULATORS, LTD. |
11133791 | Spurious mode suppression in bulk acoustic wave resonator | QORVO US, INC. |
11133797 | Bootstrap circuit for gate driver | DIODES INCORPORATED |
11133805 | Superconducting logic circuits | PSIQUANTUM CORP. |
11134334 | Sounding device, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11134918 | Modular piezoelectric sensor array with co-integrated electronics and beamforming channels | UNIVERSITY OF SOUTHERN CALIFORNIA |
11135683 | Solder alloy and junction structure using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11135842 | Piezoelectric element, liquid ejecting head, and printer | SEIKO EPSON CORPORATION |
11135971 | Illuminating device | TS TECH CO., LTD. |
11135977 | Display device and rear-view mirror module including the same | SAMSUNG DISPLAY CO., LTD. |
11136294 | Organic molecules for use in optoelectronic devices | CYNORA GMBH |
11136303 | Dibenzofuran and dibenzothiophene derivatives and organic light-emitting devices containing them | CAMBRIDGE DISPLAY TECHNOLOGY LIMITED |
11136341 | Polycyclic aromatic compound and light emission layer-forming composition | KWANSEI GAKUIN EDUCATIONAL FOUNDATION |
11136343 | Binuclear metal complexes for use as emitters in organic electroluminescent devices | MERCK PATENT GMBH |
11136496 | Phosphor-containing film and backlight unit | FUJIFILM CORPORATION |
11136497 | Multicore-shell particle, nanoparticle dispersion liquid, and film | FUJIFILM CORPORATION |
11136498 | Core shell particle, method of producing core shell particle, and film | FUJIFILM CORPORATION |
11136499 | Aqueous composition containing suspended ZnO particles | UNIVERSITE CLAUDE BERNARD LYON 1 |
11136502 | Method of producing thiogallate-based fluorescent material, method of producing light-emitting device, thiogallate-based fluorescent material and light-emitting device | NICHIA CORPORATION |
11136661 | Mask plate frame, mask plate and evaporation apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11136662 | Apparatus and method for adsorbing a mask, evaporation device, and evaporation method | BOE TECHNOLOGY GROUP CO., LTD. |
11136663 | Full-size mask assembly and manufacturing method thereof | KPS CO., LTD. |
11136664 | Deposition mask and method of manufacturing deposition mask | DAI NIPPON PRINTING CO., LTD. |
11136692 | Plastic semiconductor material and preparation method thereof | SHANGHAI INSTITUTE OF CERAMICS, CHINESE ACADEMY OF SCIENCES |
11137005 | Flexible displays with interlocking devices | 3M INNOVATIVE PROPERTIES COMPANY |
11137123 | Method of manufacturing light emitting device | NICHIA CORPORATION |
11137282 | Optical concentration measurement device comprising a light receiving unit with a rectangular light receiving surface | ASAHI KASEI MICRODEVICES CORPORATION |
11137283 | Photonic apparatus with bias control to provide substantially constant responsivity of a photodetector | INTEL CORPORATION |
11137284 | Position detection sensor that detects an incident position of light comprising plural pixel groups each with plural pixel parts | HAMAMATSU PHOTONICS K.K. |
11137295 | Sensor having a film portion,an electrode region and a magnetic portion | KABUSHIKI KAISHA TOSHIBA |
11137299 | Multi-axial force sensor including piezoresistive groups, method of manufacturing the multi-axial force sensor, and method for operating the multi-axial force sensor | STMICROELECTRONICS S.R.L. |
11137302 | Compound sensor | CANON KABUSHIKI KAISHA |
11137340 | Particle detection sensor and particle detection apparatus | SHARP KABUSHIKI KAISHA |
11137370 | Sensor with nanowire heater | -- |
11137371 | Tunnel junctions in microfluidic arrays for molecular recognition | ROCHE SEQUENCING SOLUTIONS, INC. |
11137415 | Vibrating device, vibrating device module, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11137455 | Magnetic field measuring element, magnetic field measuring device, and magnetic field measuring system | JAPAN OIL, GAS AND METALS NATIONAL CORPORATION |
11137517 | Molded range and proximity sensor with optical resin lens | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11137525 | Display device and manufacturing method thereof | JAPAN DISPLAY INC. |
11137630 | Method of producing image display device and resin dispenser | DEXERIALS CORPORATION |
11137645 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11137670 | Multicolor display apparatus | NEXDOT |
11137784 | Linear voltage regulator circuit incorporating light emitting and photovoltaic devices | THE GEORGE WASHINGTON UNIVERSITY |
11137848 | Display device | SAMSUNG DISPLAY CO., LTD. |
11137862 | Display device | LG DISPLAY CO., LTD. |
11138361 | Integrated circuit and system of manufacturing the same | -- |
11138911 | Display substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11138927 | Electronic device having display | SAMSUNG ELECTRONICS CO., LTD. |
11138929 | Display device | SAMSUNG DISPLAY CO., LTD. |
11139001 | Control logic assemblies and methods of forming a control logic device | MICRON TECHNOLOGY, INC. |
11139002 | Interconnection for memory electrodes | MICRON TECHNOLOGY, INC. |
11139011 | Semiconductor structure and method for forming the same | -- |
11139012 | Resistive memory device having read currents for a memory cell and a reference cell in opposite directions | SAMSUNG ELECTRONICS CO., LTD. |
11139025 | Multi-level cell threshold voltage operation of one-selector-one-resistor structure included in a crossbar array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139099 | Magnetic field generator | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11139165 | Staggered-type tunneling field effect transistor | -- |
11139166 | Method for manufacturing sputtering target, method for forming oxide film, and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139167 | Method making it possible to obtain on a crystalline substrate a semi-polar layer of nitride obtained with at least one of the following materials: gallium (Ga), indium (In) and aluminium (Al) | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11139172 | Manufacturing method of gate structure | -- |
11139187 | Adsorption device and transferring system having same | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11139203 | Using mask layers to facilitate the formation of self-aligned contacts and vias | -- |
11139204 | Semiconductor device comprised of contact plugs having pillar portions and protrusion portions extending from the pillar portions | SK HYNIX INC. |
11139206 | Semiconductor device with conductive shielding structure | -- |
11139211 | Selective NFET/PFET recess of source/drain regions | -- |
11139212 | Semiconductor arrangement and method for making | -- |
11139215 | Hybrid gate stack integration for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139219 | Bypass thyristor device with gas expansion cavity within a contact plate | ABB SCHWEIZ AG |
11139224 | Package comprising a substrate having a via wall configured as a shield | QUALCOMM INCORPORATED |
11139238 | High Q factor inductor structure | QORVO US, INC. |
11139239 | Recessed inductor structure to reduce step height | -- |
11139241 | Integrated circuit device with crenellated metal trace layout | INTEL CORPORATION |
11139243 | Semiconductor memory device | -- |
11139248 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11139279 | Light-emitting diode device | -- |
11139280 | Light emitting device | TURRAMURRA |
11139284 | Display panel and display device having at least one display area reused as a sensor reserved area | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11139285 | Semiconductor package | -- |
11139286 | Semiconductor device including a capacitor structure and a thin film resistor and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11139288 | Silicon-controlled-rectifier electrostatic protection structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139289 | Circuit-protection devices | MICRON TECHNOLOGY, INC. |
11139290 | High voltage cascode HEMT device | -- |
11139291 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11139292 | Conductivity modulated drain extended MOSFET | TEXAS INSTRUMENTS INCORPORATED |
11139294 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139295 | Fin field effect transistor (FinFET) device and method | -- |
11139296 | CMOS circuit with vertically oriented n-type transistor and method of providing same | INTEL CORPORATION |
11139298 | Electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139299 | FinFET based ZRAM with convex channel region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139300 | Three-dimensional memory arrays with layer selector transistors | INTEL CORPORATION |
11139301 | Semiconductor device including side surface conductor contact | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139302 | Integrated assemblies comprising spaces between bitlines and comprising conductive plates operationally proximate the bitlines, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11139303 | Integrated circuit with vertically structured capacitive element, and its fabricating process | STMICROELECTRONICS (ROUSSET) SAS |
11139305 | Recessed access device and manufacturing method thereof | -- |
11139307 | Vertical field effect transistor including integrated antifuse | SAMSUNG ELECTRONICS CO., LTD. |
11139308 | Atomic layer deposition of III-V compounds to form V-NAND devices | ASM IP HOLDING B.V. |
11139309 | Integrated circuitry, arrays of capacitors of integrated circuitry, and methods used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
11139310 | Semiconductor memory device, electronic apparatus, and method of reading data | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11139311 | Semiconductor non-volatile memory devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11139315 | Ferroelectric transistor | QUALCOMM INCORPORATED |
11139320 | Display device and method of manufacturing same | SAMSUNG DISPLAY CO., LTD. |
11139321 | Drive backplane, display panel, electronic apparatus, and method for preparing drive backplane | BOE TECHNOLOGY GROUP CO., LTD. |
11139322 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139324 | Method of manufacturing array substrate and display panel | HKC CORPORATION LIMITED |
11139325 | Solid-state imaging device, imaging apparatus, and electronic apparatus | SONY CORPORATION |
11139326 | Photodetector, photodetection device, laser imaging detection and ranging apparatus | KABUSHIKI KAISHA TOSHIBA |
11139327 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139336 | Method for fabricating a throughput-scalable analytical system for molecule detection and sensing | GENESENSE TECHNOLOGY INC. |
11139338 | Wafer level light-emitting diode array | SEOUL VIOSYS CO., LTD. |
11139339 | Array substrate, method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139340 | Spin element and reservoir element | TDK CORPORATION |
11139341 | Protection of MRAM from external magnetic field using magnetic-field-shielding structure | -- |
11139342 | UV-LED and display | NITRIDE SEMICONDUCTORS CO., LTD. |
11139343 | Display device | LG DISPLAY CO., LTD. |
11139345 | Display panel, display apparatus, and method of fabricating display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11139346 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11139347 | Display device and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11139348 | Display device | SAMSUNG DISPLAY CO., LTD. |
11139350 | Display panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11139351 | Organic light emitting diode display and method for manufacturing the same utilizing a spacer over a pixel defining layer | SAMSUNG DISPLAY CO., LTD. |
11139352 | Organic light emitting diode display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139353 | Display panels, display devices, and methods for manufacturing display panels | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11139354 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11139355 | Display panel having stress releasing structure and method for manufacturing same | -- |
11139356 | Array substrate and manufacturing method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139357 | Organic light-emitting diode display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139358 | Display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139359 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139360 | Display panel including display signal pads and sensing signal pads mounted on the display panel sidewall | SAMSUNG DISPLAY CO., LTD. |
11139361 | Array substrate with metal traces, method of manufacturing same, and display panel | -- |
11139363 | Display device for preventing cracks caused by bending stress and apparatus for manufacturing the same for reducing number of mask process | LG DISPLAY CO., LTD. |
11139364 | Display panel and method of producing same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139365 | Integrated circuits with a set of serially-connected resistors | TEXAS INSTRUMENTS INCORPORATED |
11139366 | Semiconductor device and method of manufacturing the same | ABLIC INC. |
11139367 | High density MIM capacitor structure | -- |
11139368 | Trench capacitor having improved capacitance and fabrication method thereof | HEFECHIP CORPORATION LIMITED |
11139369 | Method of forming a semiconductor device | INFINEON TECHNOLOGIES AG |
11139370 | Dielectric and isolation lower fin material for fin-based electronics | INTEL CORPORATION |
11139371 | Two-dimensional semiconductor with geometry structure and generating method thereof | -- |
11139372 | Dual step etch-back inner spacer formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139373 | Scalable circuit-under-pad device topologies for lateral GaN power transistors | GAN SYSTEMS INC. |
11139374 | Field-effect transistors with semiconducting gate | THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11139375 | Semiconductor device and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11139376 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11139377 | Method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11139378 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139379 | Semiconductor structure and method for forming the same | -- |
11139380 | Vertical fin-type bipolar junction transistor with self-aligned base contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139381 | Semiconductor device with gate-all-around (GAA) FETs having inner insulating spacers | -- |
11139382 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139383 | Titanium aluminum and tantalum aluminum thin films | ASM IP HOLDING B.V. |
11139384 | Method for fabricating semiconductor device | -- |
11139385 | Interface-less contacts to source/drain regions and gate electrode over active portion of device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139386 | Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11139387 | Semiconductor device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11139389 | Magnetic state element and circuits | INTEL CORPORATION |
11139390 | Bipolar transistor | MURATA MANUFACTURING CO., LTD. |
11139391 | IGBT device | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11139392 | Semiconductor device and manufacturing method | FUJI ELECTRIC CO., LTD. |
11139393 | Semiconductor device including different nitride regions and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11139394 | Silicon carbide field-effect transistors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11139395 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11139396 | Devices including vertical transistors, and related methods | MICRON TECHNOLOGY, INC. |
11139397 | Self-aligned metal compound layers for semiconductor devices | -- |
11139399 | Vertical transistor with self-aligned gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139400 | Non-planar semiconductor device having hybrid geometry-based active region | GOOGLE LLC |
11139401 | Vertical thin film transistor structures with localized gate dielectric | INTEL CORPORATION |
11139402 | Crystal orientation engineering to achieve consistent nanowire shapes | SYNOPSYS, INC. |
11139403 | Solar panel | SUNPOWER CORPORATION |
11139404 | Photosensor | -- |
11139405 | Method for fabricating nanopillar solar cell using graphene | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139406 | Solar cell | LG ELECTRONICS INC. |
11139407 | Metal dendrite-free solar cell | THE BOEING COMPANY |
11139408 | Hybrid solar panel equipped with a device for fastening a heat exchanger | DUALSUN |
11139409 | Concentrator photovoltaic unit, concentrator photovoltaic module, concentrator photovoltaic panel, and concentrator photovoltaic device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11139410 | Solar cell structure with back surface reflector | THE BOEING COMPANY |
11139411 | High sensitivity semiconductor device for detecting fluid chemical species and related manufacturing method | STMICROELECTRONICS S.R.L. |
11139412 | Electrical coupling assemblies and methods for optoelectronic modules | II-VI DELAWARE, INC. |
11139413 | Photovoltaic charging system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139414 | AlInGaN-based superluminescent diode | TOPGAN SP. Z O.O. |
11139415 | Method for producing an optoelectronic device and optoelectronic device | OSRAM OLED GMBH |
11139416 | Method for manufacturing LED display device and LED display panel | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11139417 | Display with replacement electrodes within pixel array for enhanced current spread | FACEBOOK TECHNOLOGIES, LLC |
11139418 | Light emitting device | NICHIA CORPORATION |
11139419 | Method for producing sealed optical semiconductor device | DUPONT TORAY SPECIALTY MATERIALS KABUSHIKI KAISHA |
11139420 | LED package structure | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11139421 | Thermoelectric device and thermoelectric module | LG INNOTEK CO., LTD. |
11139422 | Thermoelectric conversion material, thermoelectric conversion element, thermoelectric conversion module and optical sensor | SUMITOMO ELECTRIC INDUSTRIES. LTD. |
11139423 | Methods for fabrication, manufacture and production of energy harvesting components and devices | FACE INTERNATIONAL CORPORATION |
11139424 | High-saturation power Josephson ring modulators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139425 | Actuator device based on an electroactive polymer | KONINKLIJKE PHILIPS N.V. |
11139426 | Actuator device based on an electroactive polymer | KONINKLIJKE PHILIPS N.V. |
11139427 | Bonded body and elastic wave element | NGK INSULATORS, LTD. |
11139428 | Magnetoresistive random access memory | -- |
11139429 | Magnetoresistive structure having two dielectric layers, and method of manufacturing same | EVERSPIN TECHNOLOGIES, INC. |
11139430 | Phase change random access memory and method of manufacturing | -- |
11139431 | Horizontal memory array structure with scavenger layer | -- |
11139432 | Methods of forming a FinFET device | -- |
11139433 | Tungstate ion solution and hybrid photovoltaic device | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11139434 | Improving stability of thin film transistors | FLEXENBLE LIMITED |
11139435 | Organic light emitting element | CANON KABUSHIKI KAISHA |
11139436 | Organic compound, and light-emitting diode and light-emitting device including the same | LG DISPLAY CO., LTD. |
11139437 | Compound and organic electroluminescence device | IDEMITSU KOSAN CO., LTD. |
11139438 | Organic electroluminescent element | KWANSEI GAKUIN EDUCATIONAL FOUNDATION |
11139439 | Organic light emitting diode device comprising boron subphthalocyanine | THE GOVERNING COUNCIL OF THE UNIVERSITY OF TORONTO |
11139440 | Thin film transistor and method of manufacturing the same and thin film transistor array panel and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11139441 | Quantum dot device and electronic device comprising an emissive quantum dot and a non-emissive quantum dot | SAMSUNG ELECTRONICS CO., LTD. |
11139442 | Nanopatch antenna outcoupling structure for use in OLEDs | UNIVERSAL DISPLAY CORPORATION |
11139443 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11139444 | Organic electroluminescent devices containing a near-infrared down-conversion layer | UNIVERSAL DISPLAY CORPORATION |
11139445 | Light-emitting element, light-emitting device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139446 | Electron transport layer comprising a matrix compound mixture for an organic light-emitting diode (OLED) | NOVALED GMBH |
11139447 | Light emitting layer structure and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139448 | Display device and test method for moisture blocking effect of display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139449 | Resin composition for sealing organic electronic device element, resin sheet for sealing organic electronic device element, organic electroluminescent element, and image display apparatus | FURUKAWA ELECTRIC CO., LTD. |
11139450 | Display substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139451 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11139452 | OLED device, manufacturing method thereof and display device | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139453 | Flexible display panel having blocking walls | BOE TECHNOLOGY GROUP CO., LTD. |
11139454 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11139456 | Band edge emission enhanced organic light emitting diode with a localized emitter | RED BANK TECHNOLOGIES LLC |
11139457 | OLED, method for fabricating the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139458 | Organic electroluminescent display device | FUJIFILM CORPORATION |
11139459 | Display panel motherboard and method of manufacturing display panel motherboard | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139637 | Manufacturable RGB laser diode source and system | KYOCERA SLD LASER, INC. |
11139776 | Photovoltaic panel having a distributed support frame | SUNPOWER CORPORATION |
11139796 | Crystal vibration element, and crystal vibrator equipped with crystal vibration element | MURATA MANUFACTURING CO., LTD. |
11139903 | Microwave combiner and distributer for quantum signals using frequency-division multiplexing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11140500 | Electroacoustic transduction film and manufacturing method thereof, electroacoustic transducer, flexible display, vocal cord microphone, sensor for musical instrument | FUJIFILM CORPORATION |
11140773 | Substrate structure with high reflectance and method for manufacturing the same | -- |
11140790 | Display device | SAMSUNG DISPLAY CO., LTD. |
11141134 | Focused rotational IVUS transducer using single crystal composite material | -- |
11141752 | Techniques for arrayed printing of a permanent layer with improved speed and accuracy | KATEEVA, INC. |
11141758 | Film forming method, storage medium, and film forming system | TOKYO ELECTRON LIMITED |
11141902 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11142138 | Printed circuit board and light guide for electronics assembly | DUS OPERATING INC. |
11142538 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11142629 | Hydrogen barrier agent, hydrogen barrier film forming composition, hydrogen barrier film, method for producing hydrogen barrier film, and electronic element | TOKYO OHKA KOGYO CO., LTD. |
11142669 | Adhesive and method of encapsulating organic electronic device using the same | LG CHEM, LTD. |
11142672 | Pressure-sensitive adhesive compound containing a cross-linked nanoparticle network, method of production and use thereof | TESA SE |
11142683 | Phosphor with hybrid coating and method of production | -- |
11142684 | Systems and methods for a hermetically sealed quantum dot light emitting diode | -- |
11142685 | Cadmium free quantum dots | SAMSUNG ELECTRONICS CO., LTD. |
11142686 | Method of producing aluminate fluorescent material, aluminate fluorescent material and light emitting device | NICHIA CORPORATION |
11142687 | Composition, a process of producing the same, and use of the same | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11142690 | Blue fluorescent powder for three primary color warm white light LED and preparation method therefor | XIAMEN UNIVERSITY |
11142792 | Single-molecule nanoFET sequencing systems and methods | PACIFIC BIOSCIENCES OF CALIFORNIA, INC. |
11143547 | Asymmetrical ultrasound transducer array | EXO IMAGING, INC. |
11143693 | Systems having dedicated light emitting diodes for performance characterization | FACEBOOK TECHNOLOGIES, LLC |
11143802 | Display device and electronic apparatus | SONY GROUP CORPORATION |
11143806 | Electronic devices having pixels with elevated fill factors | APPLE INC. |
11143807 | Method of manufacturing light emitting module and light emitting module | NICHIA CORPORATION |
11143859 | Light scanning apparatus | MITSUMI ELECTRIC CO., LTD. |
11143891 | Display device | SAMSUNG DISPLAY CO., LTD. |
11143905 | Display panel and manufacturing method thereof, display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11143913 | Display apparatus and backlight unit thereof | SEOUL SEMICONDUCTOR CO., LTD. |
11143921 | Display device | LG DISPLAY CO., LTD. |
11143923 | Display device | JAPAN DISPLAY INC. |
11143925 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11143927 | Display device | SAKAI DISPLAY PRODUCTS CORPORATION |
11143931 | Reconfigurable interference | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11144093 | Display device | SAMSUNG DISPLAY CO., LTD. |
11144142 | Flexible touch screen, manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY GROUP CO., LTD. |
11144999 | Systems and methods for impact resistant and photovoltaic windows | STATE FARM MUTUAL AUTOMOBILE INSURANCE COMPANY |
11145248 | Display device and method for manufacturing same | SAMSUNG DISPLAY CO., LTD. |
11145251 | Display device | -- |
11145345 | Storage element, semiconductor device, magnetic recording array, and method of producing storage element | TDK CORPORATION |
11145346 | Memory device | KIOXIA CORPORATION |
11145361 | Superconducting switch | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11145380 | Analog nonvolatile memory cells using dopant activation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145461 | Multilayer component and use of outer electrodes | TDK ELECTRONICS AG |
11145466 | Perovskite-containing devices and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11145508 | Forming a fin cut in a hardmask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145510 | FinFET device over convex insulating structure | -- |
11145511 | Power semiconductor device and method of fabricating the same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11145512 | Gate isolation plugs structure and method | -- |
11145515 | Manufacturing method of semiconductor device with attached film | DENSO CORPORATION |
11145518 | Method and apparatus for etching target object | TOKYO ELECTRON LIMITED |
11145536 | Gate dielectric preserving gate cut process | -- |
11145538 | High resistivity silicon-on-insulator structure and method of manufacture thereof | -- |
11145539 | Shallow trench isolation for integrated circuits | -- |
11145546 | Method of forming semiconductor device | -- |
11145547 | Semiconductor chip suitable for 2.5D and 3D packaging integration and methods of forming the same | QORVO US, INC. |
11145549 | Field effect transistor with an atomically thin channel | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11145550 | Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145551 | FinFET devices | TESSERA, INC. |
11145553 | Nonplanar device and strain-generating channel dielectric | -- |
11145554 | Method for source/drain contact formation in semiconductor devices | -- |
11145555 | Gate-last process for vertical transport field-effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145579 | Thermally enhanced electronic packages for GaN power integrated circuits | NAVITAS SEMICONDUCTOR LIMITED |
11145587 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11145590 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11145591 | Integrated circuit (IC) device integral capacitor and anti-fuse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145592 | Process for forming metal-insulator-metal structures | -- |
11145593 | Semiconductor device with integrated capacitor and manufacturing method thereof | -- |
11145594 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11145600 | Electronic device with multilayer electrode and methods for manufacturing the same | -- |
11145602 | Alignment mark structure and method of fabricating the same | -- |
11145606 | Corner structures for an optical fiber groove | GLOBALFOUNDRIES U.S. INC. |
11145616 | Semiconductor device, power conversion apparatus, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11145623 | Integrated circuit packages and methods of forming the same | -- |
11145630 | Light emitting diode panel and manufacturing method thereof | -- |
11145631 | Display devices and methods of making the same | FACEBOOK TECHNOLOGIES, LLC |
11145636 | Method for making inkjet-printed encapsulated quantum dots, light conversion unit, and micro LED display panel | -- |
11145640 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11145642 | Single-stack bipolar-based ESD protection device | NXP USA, INC. |
11145643 | Semiconductor device, method for manufacturing semiconductor device, and PID protection device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11145644 | Power device with carrier lifetime zone | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145646 | Semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11145647 | Tipless transistors, short-tip transistors, and methods and circuits therefor | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11145648 | Enhancement/depletion device pairs and methods of producing the same | INTEL CORPORATION |
11145649 | Semiconductor devices with low parasitic capacitance | QUALCOMM INCORPORATED |
11145651 | Transistor gate arrangement to modify driver signal characteristics | ARM LIMITED |
11145652 | Increasing thickness of functional layer according to increasing recess area | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145654 | Field effect transistor (FET) comprising channels with silicon germanium (SiGe) | QUALCOMM INCORPORATED |
11145656 | Transistors, arrays of transistors, arrays of memory cells individually comprising a capacitor and an elevationally-extending transistor, and methods of forming an array of transistors | MICRON TECHNOLOGY, INC. |
11145658 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145659 | Semiconductor structure and method of forming the same | -- |
11145662 | Memory structure | -- |
11145663 | Method for fabricating a ferroelectric memory and method for co-fabrication of a ferroelectric memory and of a resistive memory | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11145665 | Electrical storage device with negative capacitance | NAMLAB GGMBH |
11145668 | EEPROM cell and array having stacked nanosheet field effect transistors with a common floating gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145670 | Semiconductor storage device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11145672 | Semiconductor device including stack structures having gate pads with different thicknesses | SAMSUNG ELECTRONICS CO., LTD. |
11145673 | Semiconductor apparatus with multiple tiers, and methods | MICRON TECHNOLOGY, INC. |
11145674 | 3D memory device and method of manufacturing the same | -- |
11145675 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
11145676 | Memory device and multi-level memory cell having ferroelectric storage element and magneto-resistive storage element | -- |
11145677 | Fully depleted semiconductor-on-insulator transistors with different buried dielectric layer charges and different threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145678 | Method for manufacturing semiconductor device | -- |
11145679 | Method for manufacturing active matrix board | SHARP KABUSHIKI KAISHA |
11145682 | Array substrate and method for fabricating the same, display panel, display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11145683 | Hybrid high-k dielectric material film stacks comprising zirconium oxide utilized in display devices | APPLIED MATERIALS, INC. |
11145686 | Semiconductor photodetector device with protection against ambient back light | AMS AG |
11145689 | Indicia for light emitting diode chips | CREELED, INC. |
11145690 | Memory device and manufacturing method thereof | -- |
11145691 | Organic light-emitting display panel and display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11145692 | Hybrid wearable organic light emitting diode (OLED) illumination devices | UNIVERSAL DISPLAY CORPORATION |
11145693 | Display substrate, fine metal mask set and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145694 | Electroluminescent device and method of fabricating same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145696 | Display using passive matrix organic light emitting diode | SOLOMON SYSTECH (SHENZHEN) LTD. |
11145698 | Display panel and display device | -- |
11145700 | Organic light-emitting diode display with pixel definition layers | APPLE INC. |
11145701 | Display device and method for manufacturing the same | SONY CORPORATION |
11145703 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11145704 | Organic light emitting diode display and method of manufacturing thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145705 | Display device and method for manufacturing the same | JAPAN DISPLAY INC. |
11145707 | Organic light emitting diode display panel, trace structure and organic light emitting diode display apparatus | WUHAN CHINA STAR OPOTELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
11145708 | Display device | SAMSUNG DISPLAY CO., LTD. |
11145709 | Semiconductor device including a capacitor | -- |
11145710 | Electrode/dielectric barrier material formation and structures | MICRON TECHNOLOGY, INC. |
11145711 | Capacitor and method for manufacturing capacitor | MURATA MANUFACTURING CO., LTD. |
11145712 | Semiconductor apparatus and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11145713 | High voltage metal-oxide-semiconductor (HVMOS) device integrated with a high voltage junction termination (HVJT) device | -- |
11145714 | Semiconductor device | ROHM CO., LTD. |
11145715 | Semiconductor structure and method of forming same | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11145716 | Semiconductor devices with low resistance gate structures | GLOBALFOUNDRIES U.S. INC. |
11145717 | Cellular insulated gate power device with edge design to prevent failure near edge | PAKAL TECHNOLOGIES, INC. |
11145718 | Semiconductor device with separate active region and method of fabricating the same | -- |
11145719 | Semiconductor device having a contact | -- |
11145720 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11145721 | MOS-based power semiconductor device having increased current carrying area and method of fabricating same | PURDUE RESEARCH FOUNDATION |
11145722 | Heavily doped buried layer to reduce MOSFET off capacitance | ANALOG DEVICES, INC. |
11145723 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11145724 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11145725 | Heterojunction bipolar transistor | GLOBALFOUNDRIES U.S. INC. |
11145726 | Doped through-contact structures | APPLIED MATERIALS, INC. |
11145727 | Semiconductor structure and method of forming the same | -- |
11145728 | Semiconductor device and method of forming same | -- |
11145729 | Semiconductor device | -- |
11145730 | Semiconductor device and method for manufacturing the same | -- |
11145731 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145732 | Field-effect transistors with dual thickness gate dielectrics | INTEL CORPORATION |
11145733 | Method of manufacturing a semiconductor device | -- |
11145734 | Semiconductor device with dummy fin and liner and method of forming the same | -- |
11145735 | Ohmic alloy contact region sealing layer | RAYTHEON COMPANY |
11145736 | Semiconductor device with electrically connected doping regions and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145737 | Selector devices | INTEL CORPORATION |
11145738 | Semiconductor devices having multiple barrier patterns | SAMSUNG ELECTRONICS CO., LTD. |
11145739 | Field effect transistors with a gated oxide semiconductor source/drain spacer | INTEL CORPORATION |
11145740 | Ferroelectric field effect transistor device | -- |
11145741 | Bipolar transistor | STMICROELECTRONICS (GROLLES 2) SAS |
11145742 | Process of forming nitride semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11145743 | Transistor device having a comb-shaped channel region to increase the effective gate width | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145744 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11145745 | Method for producing a semiconductor component | INFINEON TECHNOLOGIES AG |
11145746 | Semiconductor device and method | -- |
11145747 | FinFET structure | -- |
11145748 | Semiconductor arrangement with substrate isolation | -- |
11145749 | Method of fabricating a semiconductor device | -- |
11145750 | Semiconductor device and manufacturing method thereof | -- |
11145751 | Semiconductor structure with doped contact plug and method for forming the same | -- |
11145752 | Residue removal in metal gate cutting process | -- |
11145753 | Ballistic transport semiconductor device based on nano array and manufacturing method | JIANGSU |
11145754 | Gate extraction and injection field effect transistors and method for controlling its channel carrier amount | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11145755 | Silicon carbide semiconductor component with edge termination structure | INFINEON TECHNOLOGIES AG |
11145756 | Semiconductor structure and method of forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11145757 | Integrated circuit devices including a vertical field-effect transistor (VFET) and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11145758 | Fully-depleted CMOS transistors with u-shaped channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145759 | Silicon germanium p-channel finFET stressor structure and method of making same | -- |
11145760 | Structure having improved fin critical dimension control | -- |
11145761 | Horizontal gate all around and FinFET device isolation | APPLIED MATERIALS, INC. |
11145762 | Multi-gate device | -- |
11145763 | Vertical switching device with self-aligned contact | INTEL CORPORATION |
11145764 | Display device | JAPAN DISPLAY INC. |
11145765 | Gate-all-around structure with self substrate isolation and methods of forming the same | -- |
11145766 | Active-matrix substrate and display device | SHARP KABUSHIKI KAISHA |
11145767 | Semiconductor structure | -- |
11145768 | Trench capacitor component with reduced equivalent series resistance and equivalent series inductance | QUALCOMM INCORPORATED |
11145769 | Electrode formation for heterojunction solar cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145770 | Semiconductor light receiving element | KYOTO SEMICONDUCTOR CO., LTD. |
11145771 | Method for depositing a conductive coating on a surface | OTI LUMIONICS INC. |
11145772 | Device for photo spectroscopy having an atomic-scale bilayer | AT&T INTELLECTUAL PROPERTY I, L.P. |
11145773 | Light receiving element unit | KYOTO SEMICONDUCTOR CO., LTD. |
11145774 | Configurable solar cells | SOLAR INVENTIONS LLC |
11145775 | Inter-connector and solar panel | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11145776 | Multijunction metamorphic solar cell for space applications | SOLAERO TECHNOLOGIES CORP. |
11145777 | Optical sensor module, method for manufacturing the same and frame structure thereof | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11145778 | Monolithic silicon photomultiplier array | WAYMO LLC |
11145779 | Germanium photodiode | STMICROELECTRONICS (CROLLES 2) SAS |
11145780 | Single photon avalanche gate sensor device | STMICROELECTRONICS (CROLLES 2) SAS |
11145781 | Light reception/emission element module and sensor device | KYOCERA CORPORATION |
11145782 | Processing an optical device | TEXAS INSTRUMENTS INCORPORATED |
11145783 | Optoelectronic semiconductor component, and method for producing an optoelectronic semiconductor component | OSRAM OLED GMBH |
11145784 | Light-emitting thyristor, light-emitting thyristor array, exposure head, and image forming apparatus | CANON KABUSHIKI KAISHA |
11145785 | Hybrid type semiconductor light emitting device and manufacturing method thereof | PETALUX INC. |
11145786 | Methods for wafer-to-wafer bonding | FACEBOOK TECHNOLOGIES, LLC |
11145787 | System and method for light emitting diode (LED) display repair | ELUX, INC. |
11145788 | Micro LED transfer head | POINT ENGINEERING CO., LTD. |
11145789 | Light-emitting device | -- |
11145790 | Semiconductor light emitting device and method for manufacturing same | ALPAD CORPORATION |
11145791 | Light-emitting device | -- |
11145792 | Wavelength conversion layer | SHOEI CHEMICAL INC. |
11145793 | Light emitting diode with high melanopic spectral content | LUMILEDS LLC |
11145794 | Chip scale light emitting device package with dome | LUMILEDS LLC |
11145795 | Light emitting apparatus and method for manufacturing same | CITIZEN ELECTRONICS CO., LTD. |
11145796 | Compact opto-electronic modules and fabrication methods for such modules | AMS SENSORS SINGAPORE PTE. LTD. |
11145797 | Forming conformable layer with flap on semiconductor devices | FACEBOOK TECHNOLOGIES, LLC |
11145798 | Display apparatus | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11145799 | LED module having LED chips as light source | ROHM CO., LTD. |
11145800 | Multilayer pyroelectric element | TAIYO YUDEN., CO. LTD. |
11145801 | Adhesion layer to enhance encapsulation of superconducting devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145802 | Multiple step edge fabrication | COMMONWEALTH SCIENTIFIC AND INDUSTRIAL RESEARCH ORGANISATION |
11145803 | Piezoelectric element substrate, bonded substrate, liquid discharge head, liquid discharge unit, and liquid discharge apparatus | RICOH COMPANY, LTD. |
11145804 | Input device and manufacturing method thereof | INTERFACE TECHNOLOGY (CHENGDU) CO., LTD. |
11145805 | Topological spin textures in 3-dimensional magnetic structures | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11145806 | Magnetic tunnel junction with reduced damage | -- |
11145807 | Electronic device | SK HYNIX INC. |
11145808 | Methods for etching a structure for MRAM applications | APPLIED MATERIALS, INC. |
11145809 | Multiple spacer assisted physical etching of sub 60nm MRAM devices | -- |
11145810 | Memory device | TOSHIBA MEMORY CORPORATION |
11145811 | Resistive memory with core and shell oxides and interface dipoles | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145812 | Resistive random access memory device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11145813 | Bottom electrode for semiconductor memory device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145814 | Phase change memory with conductive bridge filament | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145815 | Nano memory device | SOUTH DAKOTA BOARD OF REGENTS |
11145816 | Resistive random access memory cells integrated with vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145817 | Organic light emitting diode comprising an organic semiconductor layer | NOVALED GMBH |
11145818 | Electroluminescent material, method for manufacturing the same, and luminescent device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145819 | Organic light emitting device | SAMSUNG DISPLAY CO., LTD. |
11145820 | Organic optoelectronic device and display device | SAMSUNG SDI CO., LTD. |
11145821 | Organic electroluminescence device and polycyclic compound for organic electroluminescence device | SAMSUNG DISPLAY CO., LTD. |
11145822 | Compound and photoelectric device, image sensor, and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11145823 | Heterocyclic compound and organic light emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11145824 | Organic photoelectric functional material, method for preparing the same, use of the same, organic electronic assembly, and light-emitting device | AAC MICROTECH(CHANGZHOU)CO., LTD. |
11145825 | Condensed cyclic compound and organic light-emitting device including i he same | SAMSUNG ELECTRONICS CO., LTD. |
11145826 | Compound for electronic device and electronic device and display device | NOVALED GMBH |
11145827 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11145828 | Metal complexes | MERCK PATENT GMBH |
11145829 | Organic electroluminescent device, organic electroluminescent display device including the same, and organometallic compound for organic electroluminescent device | SAMSUNG DISPLAY CO., LTD. |
11145830 | Metal-assisted delayed fluorescent emitters containing tridentate ligands | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11145831 | Osmium(IV) complexes for OLED materials | UNIVERSAL DISPLAY CORPORATION |
11145832 | Flexible base substrate, flexible display panel, and method for preparing the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145833 | Stretchable substrate structure and the manufacturing method thereof, stretchable display and the manufacturing method thereof, and operating method of stretchable display | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION, SEJONG CAMPUS |
11145834 | High efficiency multi-junction small-molecule photovoltaic devices | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11145835 | Imaging device having a photoelectric conversion layer | SONY CORPORATION |
11145836 | OLED display device and manufacturing method for the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145837 | Color stable organic light emitting diode stack | UNIVERSAL DISPLAY CORPORATION |
11145838 | Organic light emitting diode display and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11145839 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11145840 | Display screen assembly structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145841 | Organic electroluminescence display device having an inorganic layer including a conductive material | JAPAN DISPLAY INC. |
11145842 | Organic light emitting diode display panel comprising multi-layer encapsulation | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145843 | Organic light emitting diode | SAMSUNG DISPLAY CO., LTD. |
11145844 | Method for manufacturing electroluminescent device | -- |
11145845 | Organic device manufacturing method | SUMITOMO CHEMICAL COMPANY, LIMITED |
11145846 | Apparatus and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11146185 | Systems and methods for generating high voltage pulses | RADIANCE TECHNOLOGIES, INC. |
11146186 | Circuit and method for energy recuperation | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11146206 | Portable solar power generator with energy storage | GRIDKICKER LLC |
11146209 | Tunable photonic harvesting for solar energy conversion and dynamic shading tolerance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11146222 | Amplifier, radiation detector, and radiation detector control method | SHARP KABUSHIKI KAISHA |
11146230 | Method for creating double bragg mirror for tight frequency reference control | TEXAS INSTRUMENTS INCORPORATED |
11146233 | Elastic wave device and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
11146239 | Small piezoelectric resonator | MICRO CRYSTAL SA |
11146244 | Solidly-mounted transversely excited film bulk acoustic resonator using rotated Y-X cut lithium niobate | RESONANT INC. |
11146258 | Method of over current and over voltage protection of a power switch in combination with regulated DI/DT and DV/DT | INFINEON TECHNOLOGIES AUSTRIA AG |
11146270 | Proximity sensor and method of operating a photodetector based proximity sensor | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11146745 | Electronic devices having displays with infrared components behind the displays | ARRAY PHOTONICS, INC. |
11146873 | Display device | LG ELECTRONICS INC. |
11147157 | Substrate structure with high reflectance and method for manufacturing the same | -- |
11147170 | Display panels and display devices | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11148185 | Method of molding back cover of display and die for molding back cover | OHSUNG DISPLAY CO., LTD. |
11148230 | Method of manufacturing deposition mask | SAMSUNG DISPLAY CO., LTD. |
11148420 | Liquid discharge head and liquid discharge apparatus | TOSHIBA TEC KABUSHIKI KAISHA |
11148505 | Temperature control layer | MAHLE INTERNATIONAL GMBH |
11148935 | Full symmetric multi-throw switch using conformal pinched through via | MENLO MICROSYSTEMS, INC. |
11148946 | Contacting molecular components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11149045 | Raw material for vapor deposition including organoplatinum compound and vapor deposition method using the raw material for vapor deposition | TANAKA KIKINZOKU KOGYO K.K. |
11149110 | Nanoparticles for use in light emitting applications | CHROMITION LIMITED |
11149193 | Method for producing ceramic composite material, ceramic composite material, and light emitting device | NICHIA CORPORATION |
11149195 | Coated red line emitting phosphors | CURRENT LIGHTING SOLUTIONS, LLC |
11149196 | Pyrromethene-boron complex, color-changing composition, color-changing film, light source unit including same, display, and lighting | TORAY INDUSTRIES, INC. |
11149199 | Quantum dots, compositions and composites including the same, and electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11149340 | Method of designing and fabricating a microlens array | EMAGIN CORPORATION |
11149341 | Mask plate and manufacture method thereof, mask assembly and vapor deposition apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11149542 | Dynamic system for field operations | SCHLUMBERGER TECHNOLOGY CORPORATION |
11150092 | Sensor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11150185 | Control of the electrostatic potential of nanoparticles | NORTHWESTERN UNIVERSITY |
11150241 | Ultrasensitive sensor based on a piezoelectric transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11150273 | Current sensor integrated circuits | ALLEGRO MICROSYSTEMS, LLC |
11150312 | Accelerometer using magnetic tunnel junction | HONEYWELL INTERNATIONAL INC. |
11150347 | Micro-optics for optical imager with non-uniform filter | OUSTER, INC. |
11150505 | Display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11150680 | Two-transistor bandgap reference circuit and FinFET device suited for same | -- |
11150691 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11150734 | Haptic structure for providing localized haptic output | APPLE INC. |
11150862 | Flexible display panel and flexible display apparatus | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11151296 | Memory cell array circuit | -- |
11151349 | Fingerprint sensors and fingerprint sensor arrays and devices | SAMSUNG ELECTRONICS CO., LTD. |
11151351 | Three-dimensional fingerprint sensing device, method of sensing fingerprint by using the same, and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11151352 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151354 | Fingerprint identification module and electronic device | VIVO MOBILE COMMUNICATION CO., LTD. |
11151910 | Flexible display panel for display device and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11151922 | Monolithic micro LED display | INTEL CORPORATION |
11151930 | Electroluminescent display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11151933 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151936 | Display device | SAMSUNG DISPLAY CO., LTD. |
11151953 | Liquid crystal display device and electronic device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152037 | Semiconductor memory device | KIOXIA CORPORATION |
11152047 | Magnetoresistive random access memory containing multilayer synthetic antiferromagnetic structure and method of making thereof | WESTERN DIGITAL TECHNOLOGIES, INC. |
11152048 | Tunneling metamagnetic resistance memory device and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11152056 | Integrated assemblies | MICRON TECHNOLOGY, INC. |
11152064 | Memory device, memory cell and method for programming memory cell | SAMSUNG ELECTRONICS CO., LTD. |
11152065 | Techniques to access a self-selecting memory device | MICRON TECHNOLOGY, INC. |
11152067 | Content addressable memory with spin-orbit torque devices | SANDISK TECHNOLOGIES LLC |
11152068 | Integrated circuit including vertical capacitors | TEXAS INSTRUMENTS INCORPORATED |
11152188 | Nano vacuum tube | -- |
11152209 | Forming semiconductor structures with two-dimensional materials | -- |
11152210 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
11152212 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
11152213 | Transistor device with ultra low-k self aligned contact cap and ultra low-k spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152214 | Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152221 | Methods and apparatus for metal silicide deposition | APPLIED MATERIALS, INC. |
11152222 | Dishing prevention structure embedded in a gate electrode | -- |
11152224 | Semiconductor device with field stop layer and semiconductor device manufacturing method thereof | FUJI ELECTRIC CO., LTD. |
11152227 | Lift-off embedded micro and nanostructures | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152245 | LED transfer device comprising mask and micro LED transferring method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11152247 | Semiconductor device and manufacturing method thereof | SEIKO EPSON CORPORATION |
11152249 | Methods of forming FinFET devices | -- |
11152250 | Gate dielectric preserving gate cut process | -- |
11152251 | Method for manufacturing semiconductor device having via formed by ion beam | -- |
11152263 | Process method for cutting polysilicon gate of FinFET transistor | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11152264 | Multi-Vt scheme with same dipole thickness for gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152265 | Local isolation of source/drain for reducing parasitic capacitance in vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152266 | Vertical tunneling field effect transistor with dual liner bottom spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152267 | Methods of cutting metal gates and structures formed thereof | -- |
11152271 | Semiconductor module and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152285 | Display device | -- |
11152290 | Wide bandgap group IV subfin to reduce leakage | INTEL CORPORATION |
11152297 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11152302 | Fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11152303 | Different scaling ratio in FEOL / MOL/ BEOL | -- |
11152305 | Semiconductor device and method of manufacturing the same | -- |
11152307 | Buried local interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152313 | Using threading dislocations in GaN/Si systems to generate physically unclonable functions | SYNOPSYS, INC. |
11152318 | Semiconductor device and manufacturing method of semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152332 | Modular voltage regulators | -- |
11152338 | Semiconductor device and manufacturing method thereof | -- |
11152339 | Method for improved transfer of semiconductor die | ROHINNI, LLC |
11152341 | Power module including a power transistor and two sense transistors | TEXAS INSTRUMENTS INCORPORATED |
11152342 | Receiver optical module and process of assembling the same | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11152348 | Integrated circuit with mixed row heights | -- |
11152349 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11152350 | Dielectric spaced diode | TEXAS INSTRUMENTS INCORPORATED |
11152351 | High surge transient voltage suppressor | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11152353 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11152354 | Bipolar junction transistor, BiCOMOS device including same, and method of manufacturing BiCMOS device | DB HITEK CO., LTD. |
11152355 | Structure with embedded memory device and contact isolation scheme | -- |
11152356 | Method of forming a semiconductor device and structure therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152358 | Vertical structure for semiconductor device | -- |
11152359 | Integrated circuit device and a method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152360 | Architecture of N and P transistors superposed with canal structure formed of nanowires | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152361 | Techniques for achieving multiple transistor fin dimensions on a single die | INTEL CORPORATION |
11152362 | Fin field effect transistor (FinFET) device structure | -- |
11152363 | Bulk CMOS devices with enhanced performance and methods of forming the same utilizing bulk CMOS process | QORVO US, INC. |
11152364 | Semiconductor structure and methods for manufacturing the same | -- |
11152366 | Semiconductor device and method for driving semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152369 | Method of forming an integrated circuit device including a lower electrode on a sidewall of a support column extending vertical on a top surface of a substrate, a dielectric layer surrounding the support column and the lower electrode, and an upper electrode surrounding the dielectric layer | SAMSUNG ELECTRONICS CO., LTD. |
11152371 | Apparatus comprising monocrystalline semiconductor materials and monocrystalline metal silicide materials, and related methods, electronic devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11152377 | Nanosheet SRAM by SIT process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152379 | Static random-access memory (SRAM) and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152380 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11152381 | MOS transistor having lower gate-to-source/drain breakdown voltage and one-time programmable memory device using the same | HEFECHIP CORPORATION LIMITED |
11152382 | Semiconductor one-time programmable memory for nanometer CMOS | -- |
11152383 | Non-volatile memory (NVM) cell structure to increase reliability | -- |
11152384 | Boundary structure for embedded memory | -- |
11152386 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11152390 | Vertical semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152391 | Semiconductor memory device and production method thereof | TOSHIBA MEMORY CORPORATION |
11152393 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11152395 | Monolithic multi-FETs | X-CELEPRINT LIMITED |
11152396 | Semiconductor device having stacked transistors and multiple threshold voltage control | INTEL CORPORATION |
11152397 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152399 | Wiring substrate and display device including the same which suppress deterioration of thin film transistor | SAMSUNG DISPLAY CO., LTD. |
11152400 | Thin film transistor array substrate, display apparatus, and method of manufacturing thin film transistor array substrate | SAMSUNG DISPLAY CO., LTD. |
11152403 | Method for manufacturing array substrate, array substrate and display panel | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152408 | Vertical pin-type capacitor and image sensing device including the same | SK HYNIX INC. |
11152409 | Display panel for processing biometrics using TFT photodetectors integrated thereon | -- |
11152410 | Image sensor with reduced capacitance transfer gate | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11152416 | Semiconductor package including a redistribution line | SAMSUNG ELECTRONICS CO., LTD. |
11152421 | Small-pitch image sensor | OMNIVISION TECHNOLOGIES, INC. |
11152423 | Optical assembly and display device comprising an arrangement of luminescence diode chips | OSRAM OLED GMBH |
11152424 | Display device | SAMSUNG DISPLAY CO., LTD. |
11152425 | Cross-point spin-transfer torque magnetoresistive memory array and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11152426 | Memory device using an etch stop dielectric layer and methods for forming the same | -- |
11152427 | Chalcogenide memory device components and composition | MICRON TECHNOLOGY, INC. |
11152428 | Selection device and storage apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11152429 | Threshold switching contact in a field-effect transistor as a selector | INTEL CORPORATION |
11152430 | Integrated circuit including bipolar transistors | STMICROELECTRONICS (ROUSSET) SAS |
11152431 | Semiconductor memory device | SK HYNIX INC. |
11152434 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11152435 | Display device | SAMSUNG DISPLAY CO., LTD. |
11152436 | Display panel, fabrication method thereof, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11152437 | Display apparatus having self-aligned structures and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11152438 | Array substrate having via structure covered by retaining wall and display panel having the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152439 | Transparent display device and method of manufacturing transparent display devices | SAMSUNG DISPLAY CO., LTD. |
11152441 | Array substrate including auxiliary trace layer, display panel, display device and manufacturing method of an array substrate | SHANGHAI TIANMA AM-OLED CO., LTD. |
11152443 | Display panel having a storage capacitor and method of fabricating same | -- |
11152446 | OLED panel for lighting device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11152447 | Display device having multiple protective films and wiring layer | JOLED INC. |
11152449 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11152450 | Display device | SAMSUNG DISPLAY CO., LTD. |
11152454 | Method of forming a semiconductor device having a resistor and structure therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152455 | Method to reduce breakdown failure in a MIM capacitor | -- |
11152456 | Ferroelectric tunnel junction with internal gate | -- |
11152457 | Method of manufacturing capacitor | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11152458 | Metal capacitor | -- |
11152459 | Lateral MOSFET with buried drain extension layer | TEXAS INSTRUMENTS INCORPORATED |
11152460 | High thermal budget compatible punch through stop integration using doped glass | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152461 | Semiconductor layer between source/drain regions and gate spacers | INTEL CORPORATION |
11152462 | Semiconductor device having fins | -- |
11152463 | Semiconductor nanocrystal structure and optoelectronic device | OSRAM OPTO SEMICONDUCTORS GMBH |
11152464 | Self-aligned isolation for nanosheet transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152465 | Semiconductor device comprising surface semiconductor region for increased breakdown strength | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11152466 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11152467 | Extrinsic base doping for bipolar junction transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152468 | Semiconductor device | TOHOKU UNIVERSITY |
11152469 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11152470 | Method for manufacturing semiconductor device, method for manufacturing substrate, semiconductor device, substrate, and manufacturing apparatus of substrate | KABUSHIKI KAISHA TOSHIBA |
11152471 | 2-dimensional electron gas and 2-dimensional hole gas junction based semiconductor device | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11152472 | Crystalline oxide semiconductor | FLOSFIA INC. |
11152473 | Device with doped phosphorene and method for doping phosphorene | -- |
11152474 | Semiconductor device and method for forming the same | -- |
11152475 | Method for forming source/drain contacts utilizing an inhibitor | -- |
11152476 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11152477 | Transistors with different threshold voltages | -- |
11152478 | Vertical transistors with buried metal silicide bottom contact | SAMSUNG ELECTRONICS CO., LTD. |
11152479 | Semiconductor device, method of making a semiconductor device, and processing system | APPLIED MATERIALS, INC. |
11152480 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11152481 | Gate stacks for stack-fin channel I/O devices and nanowire channel core devices | -- |
11152482 | Antiferroelectric gate dielectric transistors and their methods of fabrication | INTEL CORPORATION |
11152483 | Doped encapsulation material for diamond semiconductors | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152484 | Semiconductor structure and manufacturing method thereof | -- |
11152485 | Semiconductor structure and manufacturing method thereof | -- |
11152486 | FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance | -- |
11152487 | Method for manufacturing semiconductor device | -- |
11152488 | Gate-all-around structure with dummy pattern top in channel region and methods of forming the same | -- |
11152489 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152490 | Array substrate and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152491 | Method for forming semiconductor device structure with inner spacer layer | -- |
11152492 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152493 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152494 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152495 | Integrated circuit heat dissipation using nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152496 | IC structure base and inner E/C material on raised insulator, and methods to form same | GLOBALFOUNDRIES U.S. INC. |
11152497 | Variable resistance to reduce gate votlage oscillations in gallium nitride transistors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152498 | Semiconductor device and method of manufacturing the same | GUANGDONG ZHINENG TECHNOLOGY CO., LTD. |
11152499 | Nitride semiconductor device and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11152500 | Tunneling field-effect transistor and method for manufacturing tunneling field-effect transistor | HUAWEI TECHNOLOGIES CO., LTD. |
11152501 | Semiconductor device | ROHM CO., LTD. |
11152502 | Nitride semiconductor device | FUJI ELECTRIC CO., LTD. |
11152503 | Silicon carbide MOSFET with wave-shaped channel regions | SEMIQ INCORPORATED |
11152504 | Methods of fabricating field-effect transistors | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11152505 | Drain extended transistor | TEXAS INSTRUMENTS INCORPORATED |
11152506 | FinFET with lateral charge balance at the drain drift region | TEXAS INSTRUMENTS INCORPORATED |
11152507 | Vertical field-effect transistor with a bottom contact that exhibits low electrical resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152508 | Semiconductor device including two-dimensional material layer | -- |
11152509 | Elevationally-extending transistors, devices comprising elevationally-extending transistors, and methods of forming a device comprising elevationally-extending transistors | MICRON TECHNOLOGY, INC. |
11152510 | Long channel optimization for gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152511 | Thin-film transistor and display panel | LG DISPLAY CO., LTD. |
11152512 | Semiconductor device, display device, and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152513 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152514 | Multi-layer crystalline back gated thin film transistor | INTEL CORPORATION |
11152515 | Semiconductor device and manufacturing method thereof | -- |
11152516 | Nanometer semiconductor devices having high-quality epitaxial layer | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11152517 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11152518 | Solar cell having a plurality of conductive contacts | SUNPOWER CORPORATION |
11152519 | Manufacturing method for solar cell | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11152520 | Photodetector with reflector with air gap adjacent photodetecting region | GLOBALFOUNDRIES U.S. INC. |
11152521 | Semiconductor laminate and light-receiving element | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11152522 | Semiconductor radiation detector | OXFORD INSTRUMENTS TECHNOLOGIES OY |
11152523 | Solar cell and solar cell panel including the same | LG ELECTRONICS INC. |
11152524 | Solar cell panel, and apparatus and method for attaching interconnector of a solar cell panel | LG ELECTRONICS INC. |
11152525 | Solar cell module | LG ELECTRONICS INC. |
11152526 | Flexible system of small-scale linear parabolic solar concentrators for power generation and dehydration | INVENTIVE POWER S.A. DE C.V. |
11152527 | Hybrid tandem solar cell | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO |
11152528 | Non-contiguous layouts for photosensitive apparatus | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11152529 | Semicondutor package structures and methods of manufacturing the same | -- |
11152530 | Assembly method and combined bivalent station for photovoltaic panels | -- |
11152531 | Method of manufacturing semiconductor device | NICHIA CORPORATION |
11152532 | Method of manufacturing driven element chip, driven element chip, exposing device, and image forming apparatus | OKI ELECTRIC INDUSTRY CO., LTD. |
11152533 | Etchant-accessible carrier substrate for display manufacture | FACEBOOK TECHNOLOGIES, LLC |
11152534 | Transfer head and method of manufacturing micro LED display using same | POINT ENGINEERING CO., LTD. |
11152535 | Monolithic quantum light source device and quantum optical circuit thereof | CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS (CSIC) |
11152536 | Photoresist contact patterning of quantum dot films | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11152537 | Light emitting diode with multiple tunnel junction structure | SUNDIODE KOREA |
11152538 | High on-axis brightness and low color shift QD-LED pixel | SHARP KABUSHIKI KAISHA |
11152539 | Reducing or eliminating nanopipe defects in III-nitride structures | LUMILEDS LLC |
11152540 | Light emitting diode structure and method of manufacturing thereof | -- |
11152541 | Substrate and planar illumination device | MINEBEA MITSUMI INC. |
11152542 | Semiconductor device and method of making the same | PURDUE RESEARCH FOUNDATION |
11152543 | Nitride semiconductor light-emitting element | SOKO KAGAKU CO., LTD. |
11152544 | Methods for fabricating CSP LEDs | LUMENS CO., LTD. |
11152545 | Inert filler to increase wavelength converting material volume and improve color over angle | LUMILEDS LLC |
11152546 | Light-emitting device | NICHIA CORPORATION |
11152547 | Metallic structure for optical semiconductor device, method for producing the same, and optical semiconductor device using the same | NICHIA CORPORATION |
11152548 | Display module and display apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11152549 | Light-emitting diode device and display device | -- |
11152550 | Light-emitting device | TS TECH CO., LTD. |
11152551 | Electronic device | -- |
11152552 | Light emitting device | NICHIA CORPORATION |
11152553 | Light emitting device package and display device having the same | SEOUL VIOSYS CO., LTD. |
11152554 | Thermoelectric conversion element | MITSUBISHI MATERIALS CORPORATION |
11152555 | Underwater energy harvesting drone and method for operation | THE BOEING COMPANY |
11152556 | Flexible and conformable thermoelectric compositions | NANOHMICS, INC. |
11152557 | Thermoelectric module with integrated printed circuit board | GENTHERM INCORPORATED |
11152558 | Transducer driving methods and transducer driving systems | BATTELLE MEMORIAL INSTITUTE |
11152559 | Piezoelectric element, ultrasonic sensor, discharging head, ultrasonic device, liquid discharging device, and method of manufacturing piezoelectric element | SEIKO EPSON CORPORATION |
11152560 | Piezoelectric vibration sensor | CTRL SYSTEMS INC. |
11152561 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11152562 | Non-volatile memory | IP2IPO INNOVATIONS LIMITED |
11152563 | Reinforced single element bottom electrode for MTJ-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152564 | Substrate manufacturing method and processing system | TOKYO ELECTRON LIMITED |
11152565 | Memory device and manufacturing method thereof | -- |
11152566 | Resistive random access memory | -- |
11152567 | Phase change memory structures | INTEL CORPORATION |
11152568 | Top-electrode barrier layer for RRAM | -- |
11152569 | PCRAM structure with selector device | -- |
11152570 | Electronic component manufacturing method | STMICROELECTRONICS (CROLLES 2) SAS |
11152571 | Compact resistive random access memory integrated with a pass gate transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152572 | Solvent systems for the preparation of photosensitive organic electronic devices | SUMITOMO CHEMICAL COMPANY, LIMITED |
11152573 | Shadow mask comprising a gravity-compensation layer and method of fabrication | EMAGIN CORPORATION |
11152574 | Organic electroluminescent device using aryl amine derivative containing heterocycle | IDEMITSU KOSAN CO., LTD. |
11152575 | Photoelectric conversion element and radiation detector | KABUSHIKI KAISHA TOSHIBA |
11152576 | Organic light emitting device | LG CHEM, LTD. |
11152577 | Organic light emitting device | SAMSUNG DISPLAY CO., LTD. |
11152578 | Sulfonium salts of DNTT and related compounds as soluble photocleavable precursors for organic semiconductors for use in organic field-effect transistors | CLAP CO., LTD. |
11152579 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11152580 | Flexible display device | SHARP KABUSHIKI KAISHA |
11152581 | Visibly transparent, near-infrared-absorbing donor/acceptor photovoltaic devices | UBIQUITOUS ENERGY, INC. |
11152582 | Laminate and manufacturing method therefor | LG DISPLAY CO., LTD. |
11152583 | Organic light-emitting diode containing co-hosts forming exciplex, and lighting device and display apparatus including same | SAMSUNG ELECTRONICS CO., LTD. |
11152584 | Quantum dots with salt ligands with charge transporting properties | SHARP KABUSHIKI KAISHA |
11152585 | Optical device | PIONEER CORPORATION |
11152586 | Display panels having pixel circuits in grooved substrate | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11152587 | Light transmissive electrode for light emitting devices | OTI LUMIONICS INC. |
11152588 | OLED light emitting device and OLED display device having a smoothing layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152589 | Organic EL device, organic EL lighting panel, organic EL lighting apparatus, and organic EL display | HOTALUX, LTD. |
11152590 | Method and device for encapsulating components | LANGEBRüCK |
11152591 | Method for manufacturing light-emitting device, and light-emitting device | PIONEER CORPORATION |
11152592 | Display area drilling and packaging structure and method, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11152593 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152594 | Display device | JAPAN DISPLAY INC. |
11152595 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11152596 | Display device | JAPAN DISPLAY INC. |
11152597 | Display device | JAPAN DISPLAY INC. |
11152598 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11152599 | Optical filter for anti-reflection and organic light-emitting device | LG CHEM, LTD. |
11152600 | Organic light-emitting diode display panel and manufacture method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152646 | Production of a microelectronic device collector | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152677 | Integration of self-biased magnetic circulators with microwave devices | QORVO US, INC. |
11152765 | Strained and strain control regions in optical devices | KYOCERA SLD LASER, INC. |
11152790 | System and method for managing the power output of a photovoltaic cell | SOLARLYTICS, INC. |
11152888 | High efficiency photovoltaic cells with suppressed radiative emission due to chemical nonequilibrium of photoelectrons | THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE ARMY |
11152895 | Doherty amplifier | QORVO US, INC. |
11152914 | Elastic wave device and method for manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11153011 | Intelligent visible light with a gallium and nitrogen containing laser source | KYOCERA SLD LASER, INC. |
11153471 | Through-hole electrode substrate | DAI NIPPON PRINTING CO., LTD. |
11153521 | Solid-state image sensor and imaging device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11153688 | Display apparatus | LG DISPLAY CO., LTD. |
11153980 | Light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11154039 | LED terrarium light for reptiles, amphibians, and birds, using an extended point source LED array with light emitting diodes of multiple wavelengths | DICON FIBEROPTICS, INC. |
11155460 | Root mean square sensor device | TEXAS INSTRUMENTS INCORPORATED |
11155471 | Bandgap-tunable perovskite materials and methods of making the same | FLORIDA STATE UNIVERSITY RESEARCH FOUNDATION, INC. |
11155489 | Coated wavelength converting material for a light emitting device | LUMILEDS LLC |
11155543 | Organic compound, and organic light emitting diode and organic light emitting display device including the same | LG DISPLAY CO., LTD. |
11155724 | Ink composition, preparation method of the same, and fabrication method of window member using the same | SAMSUNG DISPLAY CO., LTD. |
11155728 | Quantum dot material and method of curing | KATEEVA, INC. |
11155754 | Composition and display device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11155913 | Evaporation mask plate, manufacturing method thereof and evaporation method | BOE TECHNOLOGY GROUP CO., LTD. |
11155932 | Method for producing piezoelectric single crystal ingot and piezoelectric single crystal ingot | JFE MINERAL COMPANY, LTD. |
11156346 | Fan out structure for light-emitting diode (LED) device and lighting system | LUMILEDS LLC |
11156460 | Room-temperature exciton-polariton superfluid quantum interference device and quatron-polariton superconducting quantum interference device | ORFORD |
11156502 | Microwave detector | RAYTHEON BBN TECHNOLOGIES CORP. |
11156550 | Terahertz-wave detector and terahertz unit | ROHM CO., LTD. |
11156654 | Semiconductor device inspection apparatus, semiconductor device inspection method, program thereof, semiconductor apparatus, and manufacturing method therefor | KABUSHIKI KAISHA TOSHIBA |
11156675 | Magnetometer device based on electrical pumping in nitrogen-vacancy centers in diamond | HONEYWELL INTERNATIONAL INC. |
11156676 | GSR sensor element | ASAHI INTECC CO., LTD. |
11156677 | Semiconductor sensor structure | TDK-MICRONAS GMBH |
11156701 | LIDAR with high time resolution | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11156754 | Curable composition, light-shielding film, color filter, pattern forming method, method for manufacturing color filter, solid-state imaging element, and infrared sensor | FUJIFILM CORPORATION |
11156776 | Detector comprising a waveguide | IMEC VZW |
11156827 | Buckling mode actuation of fiber scanner to increase field of view | MAGIC LEAP, INC. |
11156870 | Lens and manufacturing method thereof, backlight panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11156909 | Wavelength converter, light source apparatus, and projector | SEIKO EPSON CORPORATION |
11156918 | Photosensitive composition, cured film and organic el display device | TORAY INDUSTRIES, INC. |
11157044 | Display device | SAMSUNG DISPLAY CO., LTD. |
11157096 | Foldable flexible circuit board | DUS OPERATING, INC. |
11157102 | Touch display device and panel | LG DISPLAY CO., LTD. |
11157105 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11157112 | Display panel, display device and manufacturing method of display panel | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11157118 | Electronic panel and electronic apparatus having the same | SAMSUNG DISPLAY CO., LTD. |
11157804 | Superconducting neuromorphic core | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11157805 | Neuron circuit, system, and switch circuit | JAPAN SCIENCE AND TECHNOLOGY AGENCY |
11158246 | Display panel, display device, and electronic device | SONY GROUP CORPORATION |
11158251 | OLED pixel driving circuit and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158263 | Display device which prevents formation of parasitic capacitor in a pixel | SAMSUNG DISPLAY CO., LTD. |
11158502 | Methods of forming nano-scale and micro-scale structured materials and materials formed thereby | PURDUE RESEARCH FOUNDATION |
11158503 | Silicon carbide semiconductor substrate | FUJI ELECTRIC CO., LTD. |
11158508 | Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (finFET) device structure | -- |
11158511 | Semiconductor device and power converter including a copper film with a small grain size stress relaxtion layer | MITSUBISHI ELECTRIC CORPORATION |
11158512 | Fin field effect transistor (FinFET) device structure | -- |
11158514 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11158533 | Semiconductor structures and fabrication method thereof | -- |
11158534 | SOI substrate | -- |
11158535 | Multi-depth regions of high resistivity in a semiconductor substrate | GLOBALFOUNDRIES U.S. INC. |
11158542 | Semiconductor device structure with semiconductor wire | -- |
11158543 | Silicide formation for source/drain contact in a vertical transport field-effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158544 | Vertical stacked nanosheet CMOS transistors with different work function metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158546 | Semiconductor arrangement and method of forming | -- |
11158547 | Semiconductor device, method of manufacturing the same, and electronic device including the device | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11158556 | Electronic chip package having a support and a conductive layer on the support | STMICROELECTRONICS (TOURS) SAS |
11158557 | Semiconductor device with a passivation layer and method for producing thereof | INFINEON TECHNOLOGIES AG |
11158574 | Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11158575 | Parasitic capacitance reduction in GaN-on-silicon devices | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11158588 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158590 | Capacitor interposer layer (CIL) in a die-to-wafer three-dimensional (3D) integrated circuit (IC) (3DIC) | QUALCOMM INCORPORATED |
11158592 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
11158593 | Structures for bonding a group III-V device to a substrate by stacked conductive bumps | -- |
11158610 | Display device using semiconductor light emitting element, and manufacturing method therefor | LG ELECTRONICS INC. |
11158611 | LED filament | OSRAM OLED GMBH |
11158612 | Electronic device | -- |
11158613 | Colour inorganic LED display for display devices with a high number of pixel | FACEBOOK TECHNOLOGIES, LLC |
11158620 | Wafer bonding in fabrication of 3-dimensional NOR memory circuits | SUNRISE MEMORY CORPORATION |
11158623 | Layout of semiconductor device and method of forming semiconductor device | -- |
11158624 | Cascode cell | GLOBALFOUNDRIES U.S. INC. |
11158625 | Electrostatic protection device and manufacturing method thereof and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11158627 | Electronic circuit with a transistor device and a clamping circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11158628 | Electro-static discharge circuit, array substrate, display panel and display apparatus | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11158629 | Polarization circuit of a power component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11158630 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11158631 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11158632 | Fin-based strap cell structure for improving memory performance | -- |
11158633 | Multi-level isolation structure | GLOBALFOUNDRIES U.S. INC. |
11158634 | Backside PN junction diode | -- |
11158635 | Low leakage gate stack for a transistor device and methods of making an IC product that includes such a transistor device | GLOBALFOUNDRIES U.S. INC. |
11158636 | Nanosheet device integrated with a FINFET transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158637 | Method and structure for FinFET device | -- |
11158638 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158639 | Asymmetric FinFET in memory device, method of fabricating same and semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11158642 | Capacitor comprising a bismuth metal oxide-based lead titanate thin film | TEXAS INSTRUMENTS INCORPORATED |
11158647 | Memory device | -- |
11158648 | Double channel memory device | -- |
11158649 | Semiconductor storage device with columnar body having impurity containing channel film | TOSHIBA MEMORY CORPORATION |
11158651 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11158654 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158655 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158657 | Ray detector array substrate, manufacturing method thereof, and ray detector | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158660 | Image sensor having two-colored color filters sharing one photodiode | SK HYNIX INC. |
11158663 | Image sensor with large dynamic range | SHENZHEN GENORIVISION TECHNOLOGY CO., LTD. |
11158665 | Light emitting device | SEOUL VIOSYS CO., LTD. |
11158666 | Multiple wavelength light-emitting diode epitaxial structure with asymmetric multiple quantum wells | -- |
11158668 | Semiconductor module and display device including same | LG INNOTEK CO., LTD. |
11158669 | Ultra-dense array of LEDs with half cavities and reflective sidewalls, and manufacturing methods | TECTUS CORPORATION |
11158670 | Magnetic structures, semiconductor structures, and semiconductor devices | MICRON TECHNOLOGY, INC. |
11158671 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11158672 | Magnetic tunnel junction elements and magnetic resistance memory devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11158674 | Method to produce a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11158675 | Solid-state imaging element and solid-state imaging apparatus | SONY CORPORATION |
11158676 | Sensors and electronic devices | SAMSUNG ELECTRONICS CO., LTD. |
11158678 | Display device and signal processing device | SONY CORPORATION |
11158679 | Light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11158681 | OLED display device and method of manufacturing OLED display device | TIANMA JAPAN, LTD. |
11158682 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11158683 | Display device having an alignment structure | SAMSUNG DISPLAY CO., LTD. |
11158684 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158686 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158687 | Organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11158689 | Electroluminescent display panel, manufacturing method thereof and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158691 | Display device and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158692 | Display panel, display device, and method for manufacturing a display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158694 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11158695 | Display device having biometric sensors | -- |
11158697 | Display device | SAMSUNG DISPLAY CO., LTD. |
11158698 | Organic light-emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11158699 | Display device including a light blocking layer over a pixel defining layer | SAMSUNG DISPLAY CO., LTD. |
11158700 | Display device having multiple buffer layers | LG DISPLAY CO., LTD. |
11158701 | Dielectric thin film, memcapacitor including the same, cell array including the same, and manufacturing method thereof | GWANGJU INSTITUTE OF SCIENCE AND TECHNOLOGY |
11158702 | Gallium nitride high electron mobility transistor having high breakdown voltage and formation method therefor | SHANGHAI SIMGUI TECHNOLOGY CO., LTD. |
11158703 | Space efficient high-voltage termination and process for fabricating same | MICROCHIP TECHNOLOGY INC. |
11158704 | Semiconductor device and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11158705 | Method for forming a superjunction transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11158706 | Feeder design with high current capability | II-VI DELAWARE, INC |
11158707 | Transistor device | INFINEON TECHNOLOGIES AG |
11158708 | Graphene channel silicon carbide power semiconductor transistor | SOUTHEAST UNIVERSITY |
11158709 | Polarization-induced 2D hole gases for high-voltage p-channel transistors | CORNELL UNIVERSITY |
11158710 | Display device | JAPAN DISPLAY INC. |
11158711 | Air gap for thin film transistors | INTEL CORPORATION |
11158712 | Field-effect transistors with buried gates and methods of manufacturing the same | INTEL CORPORATION |
11158713 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11158714 | Quantum dot devices with trenched substrates | INTEL CORPORATION |
11158715 | Vertical FET with asymmetric threshold voltage and channel thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158716 | Semiconductor device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11158717 | Method for manufacturing thin-film transistor (TFT) substrate and TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158718 | Assemblies which include wordlines having a first metal-containing material at least partially surrounding a second metal-containing material and having different crystallinity than the second metal-containing material | MICRON TECHNOLOGY, INC. |
11158719 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11158720 | High voltage semiconductor device including a doped gate electrode | SK HYNIX SYSTEM IC INC. |
11158721 | Metal oxide interlayer structure for nFET and pFET | -- |
11158722 | Transistors with lattice structure | GLOBALFOUNDRIES U.S. INC. |
11158723 | Semiconductor structure and method of forming the same | -- |
11158724 | Method of manufacturing display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158725 | Fin structure of fin field effect transistor | -- |
11158726 | Controlling fin-thinning through feedback | -- |
11158727 | Structure and method for gate-all-around device with extended channel | -- |
11158728 | Multi-gate device and related methods | -- |
11158729 | Stacked vertical transport field effect transistor electrically erasable programmable read only memory (EEPROM) devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158730 | Formation of inner spacer on nanosheet MOSFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158731 | Quantum well stacks for quantum dot devices | INTEL CORPORATION |
11158732 | One-transistor DRAM cell device having quantum well structure | GACHON UNIVERSITY OF INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
11158733 | Method of manufacturing a semiconductor device including a shoulder portion | FUJI ELECTRIC CO., LTD. |
11158734 | Transistor device having a source region segments and body region segments | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11158735 | Charge compensation MOSFET with graded epi profile and methods of manufacturing thereof | INFINEON TECHNOLOGIES AUSTRIA AG |
11158736 | MOSFET structure, and manufacturing method thereof | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11158737 | LDMOS component, manufacturing method therefor, and electronic device | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11158738 | Method of forming isolation dielectrics for stacked field effect transistors (FETs) | SAMSUNG ELECTRONICS CO., LTD. |
11158739 | Semiconductor structure having field plate and associated fabricating method | -- |
11158740 | MOSFETs with multiple dislocation planes | -- |
11158741 | Nanostructure device and method | -- |
11158742 | Method of manufacturing a semiconductor device with multilayered channel structure | -- |
11158743 | Passivated and faceted for fin field effect transistor | -- |
11158744 | Fin field effect transistor (FinFET) device and method for forming the same | -- |
11158745 | Semiconductor device and display device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158746 | Thick-film pastes containing lead-tellurium-lithium-oxides, and their use in the manufacture of semiconductor devices | SOLAR PASTE, LLC |
11158748 | Solar cell, solar cell module, and solar cell manufacturing method | KANEKA CORPORATION |
11158749 | Doped photovoltaic semiconductor layers and methods of making | FIRST SOLAR, INC. |
11158750 | Superlattice photo detector | TEXAS INSTRUMENTS INCORPORATED |
11158751 | Photoelectric conversion element and photodetector | KABUSHIKI KAISHA TOSHIBA |
11158752 | Optomechanical system for capturing and transmitting incident light with a variable direction of incidence to at least one collecting element and corresponding method | -- |
11158753 | Photovoltaic panels comprising luminescent solar concentrators | ENI S.P.A. |
11158754 | Back-to-back dual band p-CB-n | HRL LABORATORIES, LLC |
11158755 | Photo-detection apparatus and photo-detection system | CANON KABUSHIKI KAISHA |
11158756 | FinFET radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158757 | Optical sensing device and optical sensing system thereof comprising a light receiving device capable of receiving a first received wavelength having a largest external quantum efficiency | -- |
11158758 | Superluminescent diodes and diode modules | EXALOS AG |
11158759 | Chip carrier integrating power harvesting and regulation diodes and fabrication thereof | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158760 | Metal organic chemical vapor depostion (MOCVD) tunnel junction growth in III-nitride devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11158761 | Bonding methods for light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11158762 | Light-emitting device and manufacturing method thereof | -- |
11158763 | Integration of III-nitride nanowire on transparent conductive substrates for optoelectronic and electronic devices | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11158764 | Epitaxial formation support structures and associated methods | MICRON TECHNOLOGY, INC. |
11158765 | Light-emitting component | OSRAM OLED GMBH |
11158766 | Lid material for packages including reflowed gold-tin layers, and method for manufacturing package | MITSUBISHI MATERIALS CORPORATION |
11158767 | Light-emitting element, light-emitting unit, light-emitting panel device, and method for driving light-emitting panel device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11158768 | Vacuum light emitting diode | -- |
11158769 | Optoelectronic component and background lighting for a display | OSRAM OLED GMBH |
11158770 | Optoelectronic component with organic and inorganic phosphors and lighting apparatus | OSRAM OLED GMBH |
11158771 | Method for producing optoelectronic semiconductor components | OSRAM OLED GMBH |
11158772 | Lighting assembly and method for manufacturing a lighting assembly | LUMILEDS LLC |
11158773 | LED package | LUMENS CO., LTD. |
11158774 | Light-emitting device, light-emitting module, and method of manufacturing light-emitting device | NICHIA CORPORATION |
11158775 | Semiconductor device and method | -- |
11158776 | Lead frame and method of manufacturing light emitting device | NICHIA CORPORATION |
11158777 | LED light source | LUMILEDS LLC |
11158778 | LED package including converter confinement | LUMILEDS LLC |
11158779 | Thermoelectric material and thermoelectric device including the same | LG CHEM, LTD. |
11158780 | Thermal pattern sensor with pyroelectric capacitor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11158781 | Permanent wafer handlers with through silicon vias for thermalization and qubit modification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158782 | Metal fluoride encapsulation of superconducting devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158783 | Piezoelectric cross-sectional Lamé mode transformer | NORTHEASTERN UNIVERSITY |
11158784 | Crystal material and method of manufacturing the same | PIEZO STUDIO INC. |
11158785 | Magnetoresistance effect element including a crystallized Heusler alloy | TDK CORPORATION |
11158786 | MRAM device formation with controlled ion beam etch of MTJ | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158787 | C—As—Se—Ge ovonic materials for selector devices and memory devices using same | -- |
11158788 | Atomic layer deposition and physical vapor deposition bilayer for additive patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158789 | Leakage resistant RRAM/MIM structure | -- |
11158790 | Resistive random access memory device | -- |
11158791 | MIEC and tunnel-based selectors with improved rectification characteristics and tunability | APPLIED MATERIALS, INC. |
11158793 | Multivalent oxide spacers for analog switching resistive memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158794 | High-yield tunable radio frequency (RF) filter with auxiliary capacitors and non-volatile RF switches | NEWPORT FAB, LLC |
11158795 | Resistive switching memory with replacement metal electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158796 | Resistance variable memory device with nanoparticle electrode and method of fabrication | OVONYX MEMORY TECHNOLOGY, LLC |
11158797 | RRAM cell structure with conductive etch-stop layer | -- |
11158798 | System for continuous, scalable deposition of aligned carbon nanotubes using sprays of carbon nanotube solutions | CARBONICS INC. |
11158799 | Mask assembly and method of patterning semiconductor film using thereof | -- |
11158800 | Method for patterning quantum dot layer, method for manufacturing display device and transfer template | BOE TECHNOLOGY GROUP CO., LTD. |
11158801 | Phase-transition optical isomer compound, transparent electroluminescent display device and method of fabricating the transparent electroluminescent display device | LG DISPLAY CO., LTD. |
11158802 | Method for patterning a coating on a surface and device including a patterned coating | OTI LUMIONICS INC. |
11158803 | Method for patterning a coating on a surface and device including a patterned coating | OTI LUMIONICS INC. |
11158804 | Method and apparatus for manufacturing flexible light emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11158805 | Method of synthesis for organic semiconducting polymers | PHILLIPS 66 COMPANY |
11158806 | Film for light emitting device and light emitting device using the same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11158807 | Field effect transistor and method of manufacturing the same | -- |
11158808 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11158809 | Light absorber and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11158810 | Polycyclic compound and organic light emitting device comprising same | LG CHEM, LTD. |
11158811 | Organic electroluminescent device | HODOGAYA CHEMICAL CO., LTD. |
11158812 | Light-emitting element, light-emitting device, electronic device, lighting device, and pyrene-based compound | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158813 | Organic electroluminescent device | HODOGAYA CHEMICAL CO., LTD. |
11158814 | 9,10-dihydro-acridine derivative, and preparation method and use thereof | NINGBO LUMILAN ADVANCED MATERIALS CO., LTD |
11158815 | Condensed cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11158816 | 6,9,15,18-tetrahydro-s-indaceno[1,2-b:5,6-b′]difluorene derivatives and use thereof in electronic devices | MERCK PATENT GMBH |
11158817 | Compound for organic optoelectronic device, composition for organic optoelectronic device and organic optoelectronic device and display device | SAMSUNG SDI CO., LTD. |
11158818 | Compound and organic solar cell comprising same | LG CHEM, LTD. |
11158819 | P-type organic semiconductor, composition, photoelectric conversion film, photoelectric conversion device, and image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11158820 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11158821 | Organic electroluminescent device and manufacturing method thereof | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11158822 | Display device including a coating layer having decreasing thickness | SAMSUNG DISPLAY CO., LTD. |
11158823 | Display device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158824 | Display component, display, manufacturing methods and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11158825 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11158826 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11158827 | Organic photoelectric devices and image sensors including the same | SAMSUNG ELECTRONICS CO., LTD. |
11158828 | Solar cell comprising an oxide-nanoparticle buffer layer and method of fabrication | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
11158829 | Method for producing a composition for a light-emitting element and method for evaluating same | SUMITOMO CHEMICAL COMPANY, LIMITED |
11158830 | Perovskite film layer, device and preparation method for effectively improving efficiency of light-emitting device | NANJING UNIVERSITY OF TECHNOLOGY |
11158831 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11158832 | Light-emitting device with exciplex light-emitting layers | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158833 | Organic electroluminescent device and manufacturing method therefor | LG CHEM, LTD. |
11158834 | Foldable display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11158835 | Manufacturing method of display substrate, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11158836 | Light emitting device | -- |
11158837 | Display apparatus having a thin-film encapsulation layer | SAMSUNG DISPLAY CO., LTD. |
11158838 | Flexible organic-inorganic passivation layer and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11158839 | Composition film, manufacture method thereof, and light-emitting display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11158840 | Organic light emitting display panel, method for manufacturing the same and display device thereof | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11158841 | Method for manufacturing organic el display device | -- |
11158991 | Cover for an optoelectronic component and optoelectronic device | OSRAM OLED GMBH |
11158993 | Light-emitting device, method for manufacturing the same, and projector | SEIKO EPSON CORPORATION |
11158994 | LED with emitted light confined to fewer than ten transverse modes | SILANNA UV TECHNOLOGIES PTE LTD |
11159059 | Systems and methods for wireless power transmission | UNIVERSITY OF WASHINGTON |
11159065 | Detection of object location and orientation on a wireless charge mat | APPLE INC. |
11159119 | Energy storage system | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11159121 | Deployable land-based solar energy collection system | FSWM TECHNICAL ENTERPRISES, INC. |
11159139 | Elastic wave device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11159140 | Hybrid structure for a surface acoustic wave device | SOITEC |
11159145 | Radio frequency (RF) filtering using phase-change material (PCM) RF switches | NEWPORT FAB, LLC |
11159158 | Switch resistor networks | SKYWORKS SOLUTIONS, INC. |
11159165 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159166 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159751 | Solid-state image pickup element, image pickup device, and method of manufacturing solid-state image pickup element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11160175 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11161125 | Fluid jet dispenser using multilayer ceramic actuators | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11161323 | Window substrate and flexible display device including the same | SAMSUNG DISPLAY CO., LTD. |
11161369 | Graphic layers and related methods for incorporation of graphic layers into solar modules | SISTINE SOLAR, INC. |
11161455 | Defrost/defog system side mirror with peltier element | FORD GLOBAL TECHNOLOGIES, LLC |
11161770 | Glass | NIPPON ELECTRIC GLASS CO., LTD. |
11161836 | Organic molecules for optoelectronic devices | CYNORA GMBH |
11161933 | Conjugated polymer and use thereof in organic electronic device | GUANGZHOU CHINARAY OPTOELECTRONIC MATERIALS LTD. |
11161996 | Printed electronics | E2IP TECHNOLOGIES INC. |
11162003 | Adhesive composition, sealing sheet, and sealed body | LINTEC CORPORATION |
11162024 | Semiconductor nanoparticles and method of producing semiconductor nanoparticles | OSAKA UNIVERSITY |
11162025 | Boron nitride fluorescent material, and method for producing the same | NICHIA CORPORATION |
11162026 | Converter system | SEABOROUGH IP I B.V. |
11162159 | Compound semiconductor and use thereof | LG CHEM, LTD. |
11162189 | Semiconductor substrate, gallium nitride single crystal, and method for producing gallium nitride single crystal | DEXERIALS CORPORATION |
11162190 | Method for producing nitride crystal and nitride crystal | MITSUBISHI CHEMICAL CORPORATION |
11162302 | Device for generating electric energy | TROPIGLAS TECHNOLOGIES LTD |
11162645 | Light emitting device including heat dissipation member | NICHIA CORPORATION |
11162657 | Light device capable of generating a source with fine pixels | VALEO VISION |
11163101 | Switchable illumination apparatus and privacy display | REALD SPARK, LLC |
11163124 | Low footprint optical interconnects | WAVEFRONT RESEARCH, INC. |
11163191 | Organic electroluminescence display device | FUJIFILM CORPORATION |
11163192 | Display apparatus | -- |
11163196 | LCD display panel | -- |
11163205 | Display module and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11163227 | Illumination system, wavelength conversion module, projection apparatus and illumination control method | -- |
11163362 | Passive haptics as reference for active haptics | MICROSOFT TECHNOLOGY LICENSING, LLC |
11164101 | Dispersive-resistive hybrid attenuator for quantum microwave circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164104 | Quantum mechanical framework for interaction of OAM with matter and applications in solid states, biosciences and quantum computing | NXGEN PARTNERS IP, LLC |
11164488 | Information display board with improved performance by light-extending light source having reflective panel above light-emitting diode | -- |
11164497 | Display device | SAMSUNG DISPLAY CO., LTD. |
11164512 | Display optimization techniques for micro-LED devices and arrays | VUEREAL INC. |
11164517 | Organic light emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11164633 | Memory device using comb-like routing structure for reduced metal line loading | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11164654 | Method for driving an electronic device including a semiconductor memory in a test mode | SK HYNIX INC. |
11164677 | System, method and container delivery system for manipulating the functioning of a target | SPINQ BIOPHYSICS, INC. |
11164740 | Semiconductor structure having porous semiconductor layer for RF devices | NEWPORT FAB, LLC |
11164743 | Systems and method for integrated devices on an engineered substrate | QROMIS, INC. |
11164747 | Group III-V semiconductor devices having asymmetric source and drain structures | INTEL CORPORATION |
11164749 | Warpage reduction | XILINX, INC. |
11164752 | Method of etching a dielectric layer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11164767 | Integrated system for semiconductor process | APPLIED MATERIALS, INC. |
11164770 | Method for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11164782 | Self-aligned gate contact compatible cross couple contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164785 | Three-dimensional integrated circuits (3DICs) including upper-level transistors with epitaxial source and drain material | INTEL CORPORATION |
11164786 | Power reduction in finFET structures | -- |
11164787 | Two-stage top source drain epitaxy formation for vertical field effect transistors enabling gate last formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164788 | FinFETs and methods of forming FinFETs | -- |
11164789 | Method for forming semiconductor device that includes covering metal gate with multilayer dielectric | -- |
11164792 | Complementary field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164793 | Reduced source/drain coupling for CFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164794 | Semiconductor structures in a wide gate pitch region of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11164795 | Transistors with source/drain regions having sections of epitaxial semiconductor material | GLOBALFOUNDRIES U.S. INC. |
11164796 | Method for forming semiconductor device structure | -- |
11164797 | Method of manufacturing semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11164798 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164799 | Stacked vertical transport field effect transistor contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164803 | Unit with wiring board, module, and equipment | CANON KABUSHIKI KAISHA |
11164808 | Semiconductor devices and methods of manufacturing the same | -- |
11164811 | 3D semiconductor device with isolation layers and oxide-to-oxide bonding | MONOLITHIC 3D INC. |
11164813 | Transistor semiconductor die with increased active area | CREE, INC. |
11164828 | Amplifier | MITSUBISHI ELECTRIC CORPORATION |
11164836 | Film scheme for bumping | -- |
11164844 | Double etch stop layer to protect semiconductor device layers from wet chemical etch | -- |
11164850 | Arrangement comprising a plurality of lighting modules and method for producing an arrangement comprising a plurality of lighting modules | OSRAM OPTO SEMICONDUCTORS GMBH |
11164858 | Integrated circuits and methods of forming integrated circuits | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11164859 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11164862 | Distributed RC termination | MURATA MANUFACTURING CO., LTD. |
11164863 | Integrated circuit having vertical transistor and semiconductor device including the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11164864 | Field-effect transistors having transition metal dichalcogenide channels and methods of manufacture | -- |
11164865 | Bi-directional transistor devices having electrode covering sidewall of the Fin structure | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11164866 | Semiconductor structure and method for manufacturing the same | -- |
11164867 | Fin-type field-effect transistors over one or more buried polycrystalline layers | GLOBALFOUNDRIES U.S. INC. |
11164869 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11164870 | Stacked upper fin and lower fin transistor with separate gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164871 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11164874 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11164875 | Method for manufacturing a capacitive element having electrical coupling the first electrode to the active region | STMICROELECTRONICS (ROUSSET) SAS |
11164880 | Multi-time programming non-volatile memory | -- |
11164881 | Transistor device, memory arrays, and methods of forming the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11164882 | 3-D NAND control gate enhancement | APPLIED MATERIALS, INC. |
11164883 | Three-dimensional memory device containing aluminum-silicon word lines and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11164884 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11164885 | Nonvolatile memory device having multiple numbers of channel layers | SK HYNIX INC. |
11164889 | Integrated assemblies having ferroelectric transistors with heterostructure active regions | MICRON TECHNOLOGY, INC. |
11164890 | Cross-point array of ferroelectric field effect transistors and method of making the same | SANDISK TECHNOLOGIES LLC |
11164892 | Semiconductor-on-insulator (SOI) device with reduced parasitic capacitance | NEWPORT FAB, LLC |
11164898 | Multilevel semiconductor device and structure | MONOLITHIC 3D INC. |
11164900 | Image sensor chip-scale-package | OMNIVISION TECHNOLOGIES, INC. |
11164904 | Solid-state imaging device, manufacturing method thereof, and electronic apparatus | SONY GROUP CORPORATION |
11164905 | Manufacture of semiconductor display device | FACEBOOK TECHNOLOGIES, LLC |
11164906 | Magnetic tunnel junction element, magnetic memory using the same, and manufacture method of magnetic tunnel junction element | HITACHI HIGH-TECH CORPORATION |
11164907 | Resistive random access memory integrated with stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164908 | Vertical intercalation device for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164909 | Display panel, manufacturing method thereof, and display device | -- |
11164910 | Pixel structures with at least two sub-pixels having a same color | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11164911 | Displays with white organic light-emitting diodes | APPLE INC. |
11164912 | Display apparatus having color filters and a light-shielding element | LG DISPLAY CO., LTD. |
11164914 | Manufacturing method of a display panel with a display area, display panel with a display area, and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11164916 | Display panel, manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164917 | Electronic devices with illuminated display borders | APPLE INC. |
11164918 | Organic light emitting diode display panel having connection portion connecting organic light emitting diode to peripheral circuit and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11164919 | Method of manufacturing polycrystalline silicon layer, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11164920 | Display substrate, method of manufacturing same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11164921 | Array substrate, method of manufacturing thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164922 | Method of fabricating light-emitting display device | SAMSUNG DISPLAY CO., LTD. |
11164923 | Display | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164924 | Organic light-emitting display apparatus comprising self-assembled layer containing fluorine | SAMSUNG DISPLAY CO., LTD. |
11164925 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11164926 | Organic light emitting display device and method for repairing organic light emitting display device | LG DISPLAY CO., LTD. |
11164927 | Organic light emitting diode display device | LG DISPLAY CO., LTD. |
11164928 | Flexible organic electroluminescent device and method for fabricating the same | LG DISPLAY CO., LTD. |
11164929 | Display substrate including electrically interconnecting light shielding layer and barrier structure between grooves, and method of forming the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11164931 | Electrostatic discharge unit in non-display area of display panel and display device having the display pannel | SHANGHAI TIANMA AM-OLED CO., LTD. |
11164932 | Backplane for display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11164933 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11164934 | Tiled displays with black-matrix support screens | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11164935 | High density metal insulator metal capacitor | -- |
11164936 | Semiconductor device fabrication method and semiconductor device | FUJITSU SEMICONDUCTOR MEMORY SOLUTION LIMITED |
11164937 | Semiconductor device and manufacturing method thereof | -- |
11164938 | DRAM capacitor module | MICROMATERIALS LLC |
11164939 | Tunnel field-effect transistor and method for forming the same | -- |
11164940 | Method of forming III-V on insulator structure on semiconductor substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164941 | Semiconductor structure and fabrication method | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164942 | Method for forming nanosheet transistor structures | IMEC VZW |
11164943 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11164944 | Method of manufacturing a semiconductor device | -- |
11164945 | SOI substrate, semiconductor device and method for manufacturing the same | -- |
11164946 | Manufacturing method for flash device | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11164947 | Wrap around contact formation for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164948 | Field-effect transistor and method of manufacturing the same | -- |
11164949 | Semiconductor structure and method formation method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164950 | Semiconductor device and production method | TOYODA GOSEI CO., LTD. |
11164951 | Thin film transistor and manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD |
11164952 | Transistor with insulator | QUALCOMM INCORPORATED |
11164953 | Semiconductor device | TDK CORPORATION |
11164954 | Gate capping layers of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11164955 | Methods for forming a semiconductor device structure and related semiconductor device structures | ASM IP HOLDING B.V. |
11164956 | Capping layer for gate electrodes | -- |
11164957 | Semiconductor device with adhesion layer and method of making | -- |
11164958 | Nanosheet transistor having a strained channel with strain-preserving multi-segmented source/drain regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164959 | VFET devices with ILD protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164960 | Transistor having in-situ doped nanosheets with gradient doped channel regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164961 | Epitaxial features confined by dielectric fins and spacers | -- |
11164962 | Bipolar transistor and method for forming the same | -- |
11164963 | Bipolar transistor and radio-frequency power amplifier module | MURATA MANUFACTURING CO., LTD. |
11164964 | Power semiconductor device and method of fabricating the same | HYUNDAI MOBIS CO., LTD. |
11164965 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11164966 | Single electron transistors (SETs) and set-based qubit-detector arrangements | INTEL CORPORATION |
11164967 | Power silicon carbide based MOSFET transistors with improved short circuit capabilities and methods of making such devices | CREE, INC. |
11164968 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11164969 | Segmented power transistor | TEXAS INSTRUMENTS INCORPORATED |
11164970 | Contact field plate | -- |
11164971 | Vertical SiC MOSFET | ROBERT BOSCH GMBH |
11164972 | Method for forming stressor, semiconductor device having stressor, and method for forming the same | -- |
11164973 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164974 | Channel layer formed in an art trench | INTEL CORPORATION |
11164975 | Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width | INTEL CORPORATION |
11164976 | Doped polar layers and semiconductor device incorporating same | KEPLER COMPUTING INC. |
11164977 | Semiconductor device, method for manufacturing the same, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11164978 | High-voltage diode finFET platform designs | GLOBALFOUNDRIES U.S. INC. |
11164979 | Semiconductor device | -- |
11164980 | Silicon photonics integration method and structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164981 | Method for forming a passivating electrical contact on a crystalline semiconductor substrate and device comprising such contact | IMEC VZW |
11164982 | Flexible and strechable imager, method of making a flexible and stretchable imager, and method of using an imaging device having a flexible and stretchable imager | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11164983 | Stacked multi-junction solar cell | AZUR SPACE SOLAR POWER GMBH |
11164984 | Interconnecting member for solar cell panel and solar cell panel including the same | LG ELECTRONICS INC. |
11164985 | Mid-infrared detector using a heavily doped backplane to the detector structure | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
11164986 | Avalanche photodiode and method of manufacturing the same | NIPPON TELEGRAPH AND TELEPHONE CORPORATION |
11164987 | Si—Ge—Si phototransistor | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11164988 | Photovoltage Field-Effect Transistor | THE GOVERNING COUNCIL OF THE UNIVERSITY OF TORONTO |
11164989 | Photovoltaic devices and method of making | FIRST SOLAR, INC. |
11164990 | Optical device and display apparatus | SONY CORPORATION |
11164991 | Light emitting device and method for manufacturing light emitting device | NICHIA CORPORATION |
11164992 | Device with integration of light-emitting diode, light sensor, and bio-electrode sensors on a substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164993 | Lighting module and lighting apparatus having same | LG INNOTEK CO., LTD. |
11164994 | Radiation-emitting semiconductor chip | OSRAM OLED GMBH |
11164995 | 3-D structure for increasing contact surface area for LEDs | FACEBOOK TECHNOLOGIES, LLC |
11164996 | Semiconductor light-emitting device and method of manufacturing semiconductor light-emitting device | NIKKISO CO., LTD. |
11164997 | III-nitride tunnel junction light emitting diode with wall plug efficiency of over seventy percent | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11164998 | Electrode structure, micro light emitting device, and display panel | -- |
11164999 | White light emitting device and diffusing layer | BRIDGELUX, INC. |
11165000 | Light-emitting apparatus | -- |
11165001 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11165002 | Light-emitting device | SOKO KAGAU CO., LTD. |
11165003 | Ultraviolet light-emitting diode | -- |
11165004 | Component with an optoelectronic part | OSRAM OLED GMBH |
11165005 | Method for producing a microelectronic chip to be hybridised to a second chip | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11165006 | Light emitting device including external connection electrodes, and method thereof | NICHIA CORPORATION |
11165007 | Thermoelectric module composed of SnO and SnO<sub>2 </sub>nanostructures | KING ABDULAZIZ UNIVERSITY |
11165008 | Thermoelectric module | HYUNDAI MOTOR COMPANY |
11165009 | Reducing qubit frequency collisions through lattice design | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165010 | Cold-welded flip chip interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165011 | Piezoelectric element and method for manufacturing piezoelectric element | FUJIFILM CORPORATION |
11165012 | Magnetic device and magnetic random access memory | -- |
11165013 | Spin-orbit torque magnetic tunnel junction device and method of fabricating same | IMEC VZW |
11165014 | Semiconductor device | ABLIC INC. |
11165015 | Magnetic tunnel junction device, magnetoresistive random access memory using same and manufacturing method of magnetic tunnel junction device | HITACHI HIGH-TECH CORPORATION |
11165016 | Memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11165017 | Replacement bottom electrode structure process to form misalignment tolerate MRAM with high yield | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165018 | Semiconductor device including data storage structure | SAMSUNG ELECTRONICS CO., LTD. |
11165019 | ReRAM structure and method of fabricating the same | -- |
11165020 | Multi-bit resistive random access memory cell and forming method thereof | -- |
11165021 | RRAM device with improved performance | -- |
11165022 | Method of manufacturing memory device | SAMSUNG ELECTRONICS CO., LTD. |
11165023 | Display substrate, method of manufacturing display substrate, display panel, and mask | HEFEI BOE JOINT TECHNOLOGY CO., LTD. |
11165024 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11165025 | Compound and organic electronic element comprising same | LG CHEM, LTD. |
11165026 | Heterocyclic compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11165027 | Organic electroluminescent device, compound and use thereof | KYUSHU UNIVERSITY, NATIONAL UNIVERSITY CORPORATION |
11165028 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11165029 | Amine compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11165030 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11165031 | Layered metal oxide field effect material and its application | YUNNAN UNIVERSITY |
11165032 | Field effect transistor using carbon nanotubes | -- |
11165033 | Active device | -- |
11165034 | Organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11165035 | Organic electroluminescent device emitting blue light | CYNORA GMBH |
11165037 | Organic light-emitting diode | LG CHEM, LTD. |
11165038 | Display module and electronic device with auxiliary electrode layer on cathode layer | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11165039 | Display panel and manufacturing method thereof | -- |
11165040 | Package structure, packaging method and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11165042 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165043 | Organic electroluminescent display device and method for producing same | SAKAI DISPLAY PRODUCTS CORPORATION |
11165044 | Display device | SAMSUNG DISPLAY CO., LTD. |
11165045 | Organic light-emitting device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165046 | Borderless display with light-bending structures | APPLE INC. |
11165047 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165048 | Display panel, method of manufacturing same, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11165049 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11165050 | Organic light-emitting apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165182 | Functional contactor | AMOTECH CO., LTD. |
11165223 | Semiconductor light source | OSRAM OLED GMBH |
11165225 | Optoelectronic device comprising a central segment tensilely strained along a first axis and electrically biased along a second axis | COMMISSARIAT A L ' ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11165254 | Systems and methods for electricity generation, storage, distribution, and dispatch | SINEWATTS, INC. |
11165369 | Pre-loaded piezoelectric stack actuator | CTS CORPORATION |
11165408 | Method of manufacturing substrate for acoustic wave device | DISCO CORPORATION |
11165429 | Operating a superconducting channel by electron injection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11166108 | Display apparatus | LG DISPLAY CO., LTD. |
11166384 | Fabrication process for flip chip bump bonds using nano-LEDs and conductive resin | KONICA MINOLTA LABORATORY U.S.A., INC. |
11166398 | Functional contactor | AMOTECH CO., LTD. |
11167303 | Techniques for arrayed printing of a permanent layer with improved speed and accuracy | KATEEVA, INC. |
11167506 | Encapsulated photovoltaic cells and modules | FUNDACION TECNALIA RESEARCH & INNOVATION |
11167542 | Printing mask and method of printing adhesive pattern | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11168103 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11168178 | Method of synthesis for organic semiconducting polymers | PHILLIPS 66 COMPANY |
11168225 | Colorless luminescent solar concentrators using colloidal semiconductor nanocrystals | TRIAD NATIONAL SECURITY, LLC |
11168250 | Methods for generating melatonin-response-tuned white light with high color rendering | ECOSENSE LIGHTING INC. |
11168251 | Phosphor that includes crystal phase containing cerium | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11168252 | Quantum dot material, preparation method, and semiconductor device | TCL TECHNOLOGY GROUP CORPORATION |
11168391 | Nozzle exit contours for pattern composition | UNIVERSAL DISPLAY CORPORATION |
11168411 | Impurity control during formation of aluminum nitride crystals and thermal treatment of aluminum nitride crystals | CRYSTAL IS, INC. |
11168514 | Spacer for insulating glazings comprising an integrated ribbon cable | SAINT-GOBAIN GLASS FRANCE |
11168845 | LED light bulb having muti-section bending filament | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
11168846 | Electronic device, electronic module and electronic device assembly | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11168865 | Light-emitting device and backlight | NICHIA CORPORATION |
11169022 | Photo-detection device and imaging system | CANON KABUSHIKI KAISHA |
11169227 | Dual free layer TMR magnetic field sensor | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169228 | Magnetic sensor with serial resistor for asymmetric sensing field range | WESTERN DIGITAL TECHNOLOGIES, INC. |
11169266 | Substrate embedded time of flight sensor packaging | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11169283 | Pixel array panel and digital x-ray detector comprising the same | LG DISPLAY CO., LTD. |
11169306 | Curvilinear prismatic film which eliminates glare and reduces front-surface reflections for solar panels and other surfaces | -- |
11169308 | Color material dispersion liquid for color filters, color material, color filter, liquid crystal display device and organic light-emitting display device | DAI NIPPON PRINTING CO., LTD. |
11169318 | Lighting device | LG INNOTEK CO., LTD. |
11169320 | Light source member and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11169424 | Display device | SHARP KABUSHIKI KAISHA |
11169556 | Power tracking circuit, corresponding system and method | STMICROELECTRONICS S.R.L. |
11169570 | Flexible display panel and display apparatus including the flexible display panel | SAMSUNG DISPLAY CO., LTD. |
11169644 | Electronic device | SAMSUNG DISPLAY CO., LTD. |
11169807 | System and method for dynamic accuracy and threshold control for branch classification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11170709 | Multi-mode OLED display | UNIVERSAL DISPLAY CORPORATION |
11170725 | Display device | SHARP KABUSHIKI KAISHA |
11170728 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11170805 | Multi-layer magnetoelectronic device | DEUTSCHES ELEKTRONEN-SYNCHROTRON DESY |
11170806 | Magnetic sensor array with single TMR film plus laser annealing and characterization | WESTERN DIGITAL TECHNOLOGIES, INC. |
11170832 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11170834 | Memory cells and methods of forming a capacitor including current leakage paths having different total resistances | MICRON TECHNOLOGY, INC. |
11170835 | Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery | MICRON TECHNOLOGY, INC. |
11170846 | Qubit apparatus and a qubit system | TECHNISCHE UNIVERSITEIT DELFT |
11170903 | Method and system to detect and locate the in-core position of fuel bundles with cladding perforations in candu-style nuclear reactors | WESTINGHOUSE ELECTRIC COMPANY LLC |
11170945 | Supercapacitor with electrolyte | PARIS SCIENCES ET LETTRES—QUARTIER LATIN |
11170989 | Gallium arsenide substrate comprising a surface oxide layer with improved surface homogeneity | FREIBERGER COMPOUND MATERIALS GMBH |
11170997 | Atomic layer deposition and etch for reducing roughness | LAM RESEARCH CORPORATION |
11171002 | Alternating hardmasks for tight-pitch line formation | TESSERA, INC. |
11171003 | Doping through diffusion and epitaxy profile shaping | -- |
11171005 | Semiconductor device manufacturing method | MITSUBISHI ELECTRIC CORPORATION |
11171015 | Multi-layered polysilicon and oxygen-doped polysilicon design for RF SOI trap-rich poly layer | -- |
11171034 | Manufacturing of cavities | STMICROELECTRONICS (CROLLES 2) SAS |
11171035 | Selective etches for reducing cone formation in shallow trench isolations | TEXAS INSTRUMENTS INCORPORATED |
11171039 | Composite semiconductor substrate, semiconductor device and method for manufacturing the same | -- |
11171042 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11171053 | Transistor device and related methods | -- |
11171057 | Semiconductor fin design to mitigate fin collapse | INTEL CORPORATION |
11171058 | Self-aligned 3-D epitaxial structures for MOS device fabrication | INTEL CORPORATION |
11171059 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11171060 | Dual metal contacts with ruthenium metal plugs for semiconductor devices | TOKYO ELECTRON LIMITED |
11171061 | Method for patterning a lanthanum containing layer | -- |
11171062 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11171067 | Module having a sealing resin layer with radiating member filled depressions | MURATA MANUFACTURING CO., LTD. |
11171071 | Power module and fabrication method of the power module | ROHM CO., LTD. |
11171072 | Heat dissipation substrate and manufacturing method thereof | -- |
11171083 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171085 | Semiconductor device structure with magnetic layer and method for forming the same | -- |
11171091 | Semiconductor device having contact plug connected to gate structure on PMOS region | -- |
11171104 | IC chip package with dummy solder structure under corner, and related method | MARVELL ASIA PTE, LTD. |
11171109 | Techniques for forming semiconductor device packages and related packages, intermediate products, and methods | MICRON TECHNOLOGY, INC. |
11171123 | Method of producing an optoelectronic lighting device and optoelectronic lighting device | OSRAM OLED GMBH |
11171125 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11171129 | Method for producing an optoelectronic component and optoelectronic component | OSRAM OLED GMBH |
11171131 | Multi-fingered diode with reduced capacitance and method of making the same | STMICROELECTRONICS INTERNATIONAL N.V. |
11171132 | Bi-directional breakdown silicon controlled rectifiers | GLOBALFOUNDRIES U.S. INC. |
11171133 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171134 | Techniques providing metal gate devices with multiple barrier layers | -- |
11171135 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171136 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11171137 | Method of making FinFET CMOS device including single diffusion break in each of NMOS and PMOS regions | -- |
11171138 | Semiconductor arrangement and method of manufacture | -- |
11171139 | Transistors with various threshold voltages and method for manufacturing the same | -- |
11171142 | Integrated circuit with vertical structures on nodes of a grid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171143 | Semiconductor structure with dielectric fin in memory cell and method for forming the same | -- |
11171145 | Memory devices based on capacitors with built-in electric field | INTEL CORPORATION |
11171151 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11171154 | Vertical memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11171155 | Multi-layer semiconductor element, semiconductor device, and electronic device for storage, and method of manufacturing the same | SONY CORPORATION |
11171158 | SOI substrate compatible with the RFSOI and FDSOI technologies | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11171159 | Display backplane and method for manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171161 | TFT substrate, scanning antenna provided with TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
11171163 | Photosensitive pixel structure with wrapped resistor | PIXIUM VISION SA |
11171165 | Photoelectric conversion element, imaging element, optical sensor comprising a photoelectric conversion film containing a predetermined compound, and compound | FUJIFILM CORPORATION |
11171166 | Camera assembly and packaging method thereof, lens module, electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11171175 | Magnetic device and memory device | TOSHIBA MEMORY CORPORATION |
11171176 | Asymmetric selector element for low voltage bipolar memory devices | INTEL CORPORATION |
11171177 | Phase change memory devices with enhanced vias | INTEL CORPORATION |
11171178 | Semiconductor memory device having a variable resistence layer | SK HYNIX INC. |
11171179 | Memory array, method for manufacturing memory array, memory array sheet, method for manufacturing memory array sheet, and wireless communication apparatus | TORAY INDUSTRIES, INC. |
11171180 | Array substrate, display device, and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11171182 | Light-emitting substrate and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171183 | Display panel | SAMSUNG DISPLAY CO., LTD. |
11171186 | Light-emitting structure of display panel with variable reflectivity units having piezoelectric structures, and control method for the same | SHANGHAI TIANMA AM-OLED CO., LTD. |
11171187 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11171188 | Organic light-emitting diode device, organic light-emitting diode display apparatus, and preparation method for organic light-emitting diode device | BOE TECHNOLOGY GROUP CO., LTD. |
11171189 | Display device | SAMSUNG DISPLAY CO., LTD. |
11171190 | Semiconductor device, input/output device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171191 | Display apparatus having a light-emitting device on an over-coat layer, and method of forming the same | LG DISPLAY CO., LTD. |
11171192 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11171193 | Semiconductor device including an oxide thin film transistor | SAMSUNG DISPLAY CO., LTD. |
11171194 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171195 | Display device including a power supply line that overlaps a driving circuit | SAMSUNG DISPLAY CO., LTD. |
11171197 | Display device | SAMSUNG DISPLAY CO., LTD. |
11171198 | Display device having flexibility | JAPAN DISPLAY INC. |
11171199 | Metal-insulator-metal capacitors with high breakdown voltage | -- |
11171200 | Integrated circuits having dielectric layers including an anti-reflective coating | TEXAS INSTRUMENTS INCORPORATED |
11171201 | Semiconductor integrated circuit having a first buried layer and a second buried layer | FUJI ELECTRIC CO., LTD. |
11171202 | Power semiconductor device having fully depleted channel regions | INFINEON TECHNOLOGIES AG |
11171203 | High electron mobility transistors with charge compensation | VIRGINIA TECH INTELLECTUAL PROPERTIES, INC. |
11171204 | High thermal budget compatible punch through stop integration using doped glass | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171205 | Semiconductor constructions, memory arrays, electronic systems, and methods of forming semiconductor constructions | MICRON TECHNOLOGY, INC. |
11171206 | Channel conduction in semiconductor devices | MICRON TECHNOLOGY, INC. |
11171207 | Transistor with isolation below source and drain | INTEL CORPORATION |
11171208 | High performance circuit applications using stacked 3D metal lines | TOKYO ELECTRON LIMITED |
11171209 | Semiconductor device and method of manufacture | -- |
11171210 | Double mesa heterojunction bipolar transistor | GLOBALPOUNDRIES U.S. INC. |
11171211 | Group IV and III-V p-type MOSFET with high hole mobility and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11171212 | Semiconductor device and method of formation | -- |
11171214 | Semiconductor epitaxial wafer, semiconductor device, and method for manufacturing semiconductor epitaxial wafer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11171215 | Threshold voltage adjustment using adaptively biased shield plate | SILANNA ASIA PTE LTD |
11171216 | Semiconductor device and control system | KABUSHIKI KAISHA TOSHIBA |
11171217 | Memory structure and manufacturing method thereof | -- |
11171219 | Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices | -- |
11171220 | Structure and method for high-K metal gate | -- |
11171221 | VFET bottom epitaxy formed with anchors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171222 | Semiconductor device and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11171223 | Method for manufacturing semiconductor device and integrated semiconductor device | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11171224 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171225 | Monolithic qubit integrated circuits | -- |
11171226 | GaAS based IGBT semiconductor structure | 3-5 POWER ELECTRONICS GMBH |
11171227 | Semiconductor device and fabricating method thereof | -- |
11171228 | Nitride semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11171229 | Low switching loss high performance power module | CREE, INC. |
11171230 | Semiconductor device and method for manufacturing a semiconductor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11171231 | Silicon carbide semiconductor device and method for manufacturing the same | DENSO CORPORATION |
11171232 | High voltage device and manufacturing method thereof | -- |
11171233 | Vertical field effect transistors (VFETs) with self-aligned wordlines | INTEL CORPORATION |
11171234 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11171235 | Semiconductor device and method for fabricating the same | -- |
11171236 | Cut-fin isolation regions and method forming same | -- |
11171237 | Middle of line gate structures | GLOBALFOUNDRIES U.S. INC. |
11171238 | FinFET device with high-k metal gate stack | -- |
11171239 | Transistor channel passivation with 2D crystalline material | INTEL CORPORATION |
11171240 | Recessed thin-channel thin-film transistor | INTEL CORPORATION |
11171241 | TFT substrate | JAPAN DISPLAY INC. |
11171242 | Semiconductor device and method for manufacturing semiconductor device | FUJITSU LIMITED |
11171243 | Transistor structures with a metal oxide contact buffer | INTEL CORPORATION |
11171244 | Semiconductor structure and manufacturing method thereof | -- |
11171245 | Thin film transistor comprising active layer having thickness difference and display apparatus comprising the same | LG DISPLAY CO., LTD. |
11171246 | Thin-film transistor, display device including the same, and method of manufacturing the same | LG DISPLAY CO., LTD. |
11171247 | Metal oxide thin film transistor and manufacturing method thereof | -- |
11171248 | Schottky rectifier with surge-current ruggedness | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11171249 | Wafer-level methods for manufacturing uniform layers of material on optoelectronic modules | AMS SENSORS SINGAPORE PTE. LTD. |
11171250 | Optically transparent electromagnetically shielding element comprising a plurality of zones | SAFRAN ELECTRONICS & DEFENSE |
11171251 | Process for forming conductive track or coating | JOHNSON MATTHEY PUBLIC LIMITED COMPANY |
11171252 | Solar photovoltaic module | SUSA, INC. |
11171253 | Solar cell, multi-junction solar cell, solar cell module, and photovoltaic system | KABUSHIKI KAISHA TOSHIBA |
11171254 | Bifacial photovoltaic cell and method of fabrication | SOLAROUND LTD. |
11171255 | High sensitivity optoelectronic device for detecting chemical species and related manufacturing method | STMICROELECTRONICS S.R.L. |
11171256 | Process for manufacturing a plurality of crystalline semiconductor islands having a variety of lattice parameters | SOITEC |
11171258 | Method for manufacturing a radiation-emitting semiconductor component and radiation-emitting semiconductor component | OSRAM OLED GMBH |
11171259 | Electrode substrate for transparent light-emitting diode display and method for manufacturing same | LG CHEM, LTD. |
11171260 | Light-emitting device and method for manufacturing light-emitting device | NICHIA CORPORATION |
11171261 | Light emitting device | NICHIA CORPORATION |
11171262 | LED module | SHENZHEN REFOND OPTOELECTRONICS CO., LTD. |
11171263 | Quantum dot and manufacturing method for the same and application using the same | -- |
11171264 | Light emitting module | SEOUL SEMICONDUCTOR CO., LTD. |
11171265 | Light emitting device having an optically pumped semiconductor wavelength converting element | LUMILEDS LLC |
11171266 | Shaped phosphor to reduce repeated reflections | LUMILEDS LLC |
11171267 | Process for fabricating an optoelectronic device including photoluminescent pads of photoresist | ALEDIA |
11171268 | Light emitting device and method of manufacturing the same | NICHIA CORPORATION |
11171269 | Light emitting device | TOYODA GOSEI CO., LTD. |
11171270 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171271 | Micro device and structure thereof | -- |
11171272 | Method of producing light source device comprising joining a wiring portion of a light emitting device and a support substrate by a solder member | NICHIA CORPORATION |
11171273 | LED package with integrated features for gas or liquid cooling | LUMILEDS LLC |
11171274 | Light emitting element and light emitting device | NICHIA CORPORATION |
11171275 | Method of manufacturing an LED assembly | LUMILEDS LLC |
11171276 | Thin-film thermocouple probe and method of preparing same | XI'AN JIAOTONG UNIVERSITY |
11171277 | Compound and thermoelectric conversion material | SUMITOMO CHEMICAL COMPANY, LIMITED |
11171278 | Thermoelectric conversion material, thermoelectric conversion module, and method for manufacturing thermoelectric conversion material | HITACHI METALS, LTD. |
11171279 | Thermoelectric conversion element module | MURATA MANUFACTURING CO., LTD. |
11171280 | Piezoelectric device, liquid ejection head, and printer | SEIKO EPSON CORPORATION |
11171281 | Piezoelectric nanoparticle-polymer composite structure | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11171282 | Elongated plate-form piezoelectric body and production method therefor, layered body and production method therefor, fabric, garment, and biological information acquisition device | -- |
11171283 | Modified double magnetic tunnel junction structure suitable for BEOL integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171284 | Memory device | -- |
11171285 | Non-ferromagnetic spacing composite layer, its method, synthetic antiferromagnetic laminated structure, and magnetoresistive random access memory | -- |
11171286 | Method of processing workpiece | TOKYO ELECTRON LIMITED |
11171287 | Variable resistance memory device including silicon capping pattern | SAMSUNG ELECTRONICS CO., LTD. |
11171288 | Mask assembly, deposition apparatus having the same, and method of fabricating display device using the same | SAMSUNG DISPLAY CO., LTD. |
11171289 | Method for manufacturing organic solar cell and organic solar cell manufactured using same | LG CHEM, LTD. |
11171290 | Cross linked surface coating and interfacial layer for a perovskite material photovoltaic device | HUNT PEROVSKITE TECHNOLOGIES, L.L.C. |
11171291 | Electroluminescent device, and display device comprising thereof | SAMSUNG ELECTRONICS CO., LTD. |
11171292 | Organic compound, anthracene derivative, and light-emitting element, light-emitting device, and electronic device in which the anthracene derivative is used | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171293 | Organic electroluminescent element, material for organic electroluminescent element, light emitting device, display device and lighting device each using said element, and compound used for said element | UDC IRELAND LIMITED |
11171294 | Esters containing aromatic groups as solvents for organic electronic formulations | MERCK PATENT GMBH |
11171295 | Organic electroluminescent element | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
11171296 | Organometallic compound, organic light-emitting device including the same, and organic light-emitting apparatus including the organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11171297 | Organic-inorganic hybrid perovskite compounds | NORTHWESTERN UNIVERSITY |
11171298 | Light-emitting device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171299 | Quantum dot device and electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11171300 | Quantum dot light emitting diode, method for fabricating the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171301 | Organic light emitting diode and method for fabricating the same | BOE TECHNOLOGY GROUP CO., LTD. |
11171302 | Organic electroluminescent device | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
11171303 | Display panel and method for fabricating the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171304 | Flexible substrate, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171305 | Organic light emitting display device | LG DISPLAY CO., LTD. |
11171306 | Package substrate, manufacturing method thereof, OLED display panel and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11171307 | Display screens and display devices having support pillars and buffers | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11171308 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11171309 | Encapsulating composition | LG CHEM, LTD. |
11171310 | Package structure having multiple organic layers with evenly distributed liquid crystal molecules. display panel, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171311 | Display device | LG DISPLAY CO., LTD. |
11171312 | Display panel and display device having dispersion and non-dispersion areas | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGIES CO., LTD. |
11171313 | Incoherent thin film encapsulation for display | APPLE INC. |
11171314 | Display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171315 | Semiconductor device having a structure which prevents a defect due to precision and bending and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11171316 | Display substrate, method for preparing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171317 | Method of manufacturing display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171318 | Method for manufacturing electroluminescent device with sacrificial layer | -- |
11171490 | System and method for low-cost, high-efficiency solar panel power feed | TIGO ENERGY, INC. |
11171571 | Alternating current solid-state switch | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11171578 | Power generation device and electric device incorporating the same | CITY UNIVERSITY OF HONG KONG |
11171599 | Tunable photonic harvesting for solar energy conversion and dynamic shading tolerance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171606 | Radio frequency oscillator | THALES |
11171628 | Acoustic resonator and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11171639 | Overvoltage protection | INFINEON TECHNOLOGIES AG |
11172147 | Photoelectric conversion device, method of driving photoelectric conversion device, imaging system, and movable object, generating a signal based on pulse width and pulse count | CANON KABUSHIKI KAISHA |
11172577 | Display device having an optical sensor | SAMSUNG DISPLAY CO., LTD. |
11173258 | Using piezoelectric electrodes as active surfaces for electroplating process | ANALOG DEVICES, INC. |
11173737 | Method and system for applying a patterned structure on a surface | NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETENSCHAPPELIJK ONDERZOEK TNO |
11173988 | Water floating-type solar photovoltaic power generator | BK ENERGY CO., LTD. |
11174152 | Over-under sensor packaging with sensor spaced apart from control chip | INFINEON TECHNOLOGIES AG |
11174154 | Monolithically integrated multi-sensor device on a semiconductor substrate and method therefor | VERSANA MICRO INC. |
11174159 | Micro-electromechanical device having a soft magnetic material electrolessly deposited on a metal layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174160 | Planar cavity MEMS and related structures, methods of manufacture and design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174210 | Compound, coating composition comprising same, organic light-emitting device using same and method for preparing same | LG CHEM, LTD. |
11174259 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11174276 | Organic-inorganic perovskite materials and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11174277 | Sequestering compositions and materials | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11174350 | Resin and photosensitive resin composition | TORAY INDUSTRIES, INC. |
11174361 | Urea (multi)-urethane (meth)acrylate-silane compositions and articles including the same | 3M INNOVATIVE PROPERTIES COMPANY |
11174394 | Surface treatment compositions and articles containing same | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11174427 | Aromatic compound and organic electroluminescence device including the same | SAMSUNG DISPLAY CO., LTD. |
11174429 | Semiconductor nanoparticles and method of producing semiconductor nanoparticles | NATIONAL UNIVERSITY CORPORATION TOKAI NATIONAL HIGHER EDUCATION AND RESEARCH SYSTEM |
11174430 | Fluoride fluorescent material, light emitting device, and method for producing fluoride fluorescent material | NICHIA CORPORATION |
11175191 | Mechanically actuated and shunted magnetostrictive dipole transmitter | HRL LABORATORIES, LLC |
11175219 | Throughput-scalable analytical system using single molecule analysis sensors | GENESENSE TECHNOLOGY INC. |
11175259 | Sensing device and ion detection method | -- |
11175321 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11175355 | Superconducting quantum interference apparatus | COMMONWEALTH SCIENTIFIC AND INDUSTRIAL RESEARCH ORGANISATION |
11175358 | Magnetic sensors with a mixed oxide passivation layer | MAGARRAY, INC. |
11175404 | Lidar system and method of operating the lidar system comprising a gating circuit range-gates a receiver based on a range-gating waveform | NXP B.V. |
11175405 | Spinning lidar unit with micro-optics aligned behind stationary window | OUSTER, INC. |
11175443 | Optical filter for anti-reflection and organic light-emitting device | LG CHEM, LTD. |
11175447 | Waveguide in-coupling using polarized light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11175448 | Light-emitting device and method for fabricating same | DURA-CHIP (NANTONG) LIMITED |
11175555 | Display panel | -- |
11175572 | Light emitting device and projector | SEIKO EPSON CORPORATION |
11175693 | Foldable display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11175697 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11175760 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
11176851 | Display device | BOE TECHNOLOGY GROUP CO., LTD. |
11176879 | Foldable display | LG DISPLAY CO., LTD. |
11176900 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11176918 | Piezoelectric element and musical instrument | YUPO CORPORATION |
11176981 | Spinel containing magnetic tunnel junction and method of making the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11176982 | Semiconductor device including spin-orbit torque line and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11176983 | Asynchronous read circuit using delay sensing in magnetoresistive random access memory (MRAM) | -- |
11176993 | Synapse element increasing a dynamic range of an output while suppressing and/or decreasing power consumption, and a neuromorphic processor including the synapse element | SAMSUNG ELECTRONICS CO., LTD. |
11176995 | Cross-point array of polymer junctions with individually-programmed conductances | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11176996 | Resistive random access memory and resetting method thereof | -- |
11176999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11177062 | Actuator system and use of an actuator system | ETO MAGNETIC GMBH |
11177078 | Light-absorbing layer precursor, organic-inorganic hybrid solar cell manufacturing method using same, and organic-inorganic hybrid solar cell | LG CHEM, LTD. |
11177123 | Compound semiconductor laminate substrate, method for manufacturing same, and semiconductor element | SHIN-ETSU CHEMICAL CO., LTD. |
11177130 | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177134 | Conductive pattern and method for manufacturing the same, thin film transistor, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177148 | Laser processing apparatus | DISCO CORPORATION |
11177154 | Carrier structure and micro device structure | -- |
11177158 | Integrated circuit structure with semiconductor-based isolation structure and methods to form same | GLOBALFOUNDRIES U.S. INC. |
11177172 | Semiconductor structure | -- |
11177173 | Semiconductor device with an interconnect structure and method for forming the same | -- |
11177176 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177178 | FinFETs and methods of forming FinFETs | -- |
11177179 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11177180 | Profile control of a gap fill structure | -- |
11177181 | Scalable device for FINFET technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177182 | Vertical transistor device comprising a two-dimensional (2D) material positioned in a channel region of the device and methods of making such vertical transistor devices | GLOBALFOUNDRIES U.S. INC. |
11177185 | Semiconductor memory and method of manufacturing the semiconductor memory | TOSHIBA MEMORY CORPORATION |
11177212 | Contact formation method and related structure | -- |
11177216 | Nitride structures having low capacitance gate contacts integrated with copper damascene structures | RAYTHEON COMPANY |
11177225 | Semiconductor device including physical unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177233 | Solder pads of variable thickness in an optoelectronic semiconductor chip, on a connection substrate for mounting a semiconductor chip, method of producing an optoelectronic component, and optoelectronic component having the solder pads | OSRAM OLED GMBH |
11177243 | Micro light-emitting diode display fabrication and assembly | INTEL CORPORATION |
11177245 | Large area passive micro light-emitting diode matrix display | -- |
11177246 | Photo-sensitive silicon package embedding self-powered electronic system | TEXAS INSTRUMENTS INCORPORATED |
11177248 | Light-emitting component, display device and method for manufacturing a display device | OSRAM OLED GMBH |
11177249 | Semiconductor memory device and method of manufacturing the same | SK HYNIX INC. |
11177250 | Method for fabrication of high density logic and memory for advanced circuit architecture | TOKYO ELECTRON LIMITED |
11177251 | Circuit overvoltage protection | TEXAS INSTRUMENTS INCORPORATED |
11177253 | Transistor with integrated capacitor | TEXAS INSTRUMENTS INCORPORATED |
11177255 | Transistor structures having multiple threshold voltage channel materials | INTEL CORPORATION |
11177256 | Odd-fin height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same | -- |
11177258 | Stacked nanosheet CFET with gate all around structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177259 | Multi-threshold gate structure with doped gate dielectric layer | -- |
11177260 | Semiconductor device having fin-type field effect transistor and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177262 | Semiconductor device or memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177263 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177264 | Semiconductor devices including a gate structure having multiple widths | SAMSUNG ELECTRONICS CO., LTD. |
11177265 | Integrated assemblies having threshold-voltage-inducing-structures proximate gated-channel-regions, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11177267 | Semiconductor structure and manufacturing method thereof | -- |
11177268 | Memory device and manufacturing method thereof | -- |
11177271 | Device, a method used in forming a circuit structure, a method used in forming an array of elevationally-extending transistors and a circuit structure adjacent thereto | MICRON TECHNOLOGY, INC. |
11177274 | Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device | SAMSUNG ELECTRONICS CO., LTD. |
11177275 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11177276 | Conductive structures, assemblies having vertically-stacked memory cells over conductive structures, and methods of forming conductive structures | MICRON TECHNOLOGY, INC. |
11177281 | Fabrication method for a 3-dimensional NOR memory array | SUNRISE MEMORY CORPORATION |
11177283 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177284 | Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same | SANDISK TECHNOLOGIES LLC |
11177285 | Conductive contacts in semiconductor on insulator substrate | ELPIS TECHNOLOGIES INC. |
11177286 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177287 | Thin film transistor, fabrication method therefor, and array substrate | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177288 | Display device comprising a plurality of thin film transistors and method for manufacturing the same | LG DISPLAY CO., LTD. |
11177289 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177291 | Displays with silicon and semiconducting oxide thin-film transistors | APPLE INC. |
11177293 | Array substrate and fabricating method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177296 | Array substrate, display device, thin film transistor, and method for manufacturing array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177297 | Array substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177298 | TFT driving backplane | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177306 | Support structure for integrated circuitry | -- |
11177307 | Stacked semiconductor dies with a conductive feature passing through a passivation layer | -- |
11177308 | CMOS sensors and methods of forming the same | -- |
11177310 | Solid-state image pickup device | CANON KABUSHIKI KAISHA |
11177315 | High-resolution display device | SAMSUNG ELECTRONICS CO., LTD. |
11177317 | Power harvesting for integrated circuits | SYNOPSYS, INC. |
11177318 | Semiconductor package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11177319 | RRAM device with spacer for electrode isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177320 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11177321 | Resistive random access memories and method for fabricating the same | -- |
11177322 | Image sensor and method of fabricating thereof | SAMSUNG ELECTRONICS CO., LTD. |
11177324 | Display component, display device and display control method | BOE TECHNOLOGY GROUP CO., LTD. |
11177325 | Light-emitting element, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177326 | Method of patterning film, display device with patterned film and method for preparing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11177327 | Display panel, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177328 | Touch panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177329 | Viewing angle color shift control | APPLE INC. |
11177330 | Organic light emitting display panel including a plurality organic and inorganic layers | SAMSUNG DISPLAY CO., LTD. |
11177331 | Semiconductor device and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11177333 | Display device having a storage capacitor | SAMSUNG DISPLAY CO., LTD. |
11177334 | Display substrate, display panel and method of fabricating display substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177335 | Display device | SAMSUNG DISPLAY CO., LTD. |
11177339 | Organic light-emitting display device and manufacturing method thereof | LG DISPLAY CO., LTD. |
11177340 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177341 | Display device | SAMSUNG DISPLAY CO., LTD. |
11177342 | Schottky diode with multiple guard ring structures | -- |
11177343 | Three-dimensional memory devices with backside isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177344 | Multi-gate device with air gap spacer and fabrication methods thereof | -- |
11177345 | Heterojunction bipolar transistor | GLOBALFOUNDRIES U.S. INC. |
11177346 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11177347 | Heterojunction bipolar transistor | GLOBALFOUNDRIES U.S. INC. |
11177348 | Silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11177349 | Field effect transistor with controllable resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177350 | Insulated-gate semiconductor device and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
11177351 | Semiconductor device including a superlattice with different non-semiconductor material monolayers | ATOMERA INCORPORATED |
11177352 | Graphene device, methods of manufacturing and operating the same, and electronic apparatus including the graphene device | SAMSUNG ELECTRONICS CO., LTD. |
11177353 | Silicon carbide semiconductor device, and manufacturing method of the same | DENSO CORPORATION |
11177354 | Method of manufacturing silicon carbide semiconductor devices | INFINEON TECHNOLOGIES AG |
11177355 | Semiconductor structure and manufacturing method thereof | -- |
11177356 | Thin film transistor, array substrate, display apparatus, and method of fabricating thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11177357 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11177358 | Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof | -- |
11177359 | Semiconductor device and manufacturing method of semiconductor device | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11177360 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11177361 | FinFET and gate-all-around FET with selective high-k oxide deposition | -- |
11177362 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11177363 | Display device | JAPAN DISPLAY INC. |
11177364 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177365 | Semiconductor device with adhesion layer | -- |
11177366 | Gate induced drain leakage reduction in FinFETs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177367 | Self-aligned bottom spacer EPI last flow for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177368 | Semiconductor arrangement | -- |
11177369 | Stacked vertical field effect transistor with self-aligned junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177370 | Vertical field effect transistor with self-aligned source and drain top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177371 | Transistor with superposed bars and double-gate structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11177372 | Stacked vertical transport field effect transistor electrically erasable programmable read only memory (EEPROM) devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177373 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177374 | Heterojunction bipolar transistor and method for forming the same | -- |
11177375 | Quantum dot devices with top gates | INTEL CORPORATION |
11177376 | III-N epitaxial device structures on free standing silicon mesas | INTEL CORPORATION |
11177377 | Semiconductive device with mesa structure and method of fabricating the same | -- |
11177378 | HEMT having conduction barrier between drain fingertip and source | TEXAS INSTRUMENTS INCORPORATED |
11177379 | Gate-sinking pHEMTs having extremely uniform pinch-off/threshold voltage | -- |
11177380 | Silicon carbide semiconductor component | INFINEON TECHNOLOGIES AG |
11177381 | Semiconductor device and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11177382 | FinFET having a relaxation prevention anchor and related methods | -- |
11177383 | Semiconductor device structure and method for forming the same | -- |
11177384 | Method of forming a semiconductor device | -- |
11177385 | Transistors with a hybrid source or drain | GLOBALFOUNDRIES U.S. INC. |
11177386 | Thin film transistor and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11177387 | Semiconductor device and manufacturing method thereof | -- |
11177388 | Semiconductor device and method of manufacturing semiconductor device | JAPAN DISPLAY INC. |
11177389 | Integrated transistors having gate material passing through a pillar of semiconductor material, and methods of forming integrated transistors | MICRON TECHNOLOGY, INC. |
11177390 | Transistor having vertical structure and electric device | LG DISPLAY CO., LTD. |
11177391 | Semiconductor device and manufacturing method thereof | -- |
11177392 | Transistor and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177393 | Semiconductor device with dual types of zero cost embedded memory | JONKER LLC |
11177394 | Wide bandgap semiconductor switching device with wide area schottky junction, and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
11177395 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11177396 | Window-integrated photovoltaic devices | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11177397 | Semiconductor devices and methods for forming the same | -- |
11177398 | Solar cell | UNIVERSITY OF SEOUL INDUSTRY COOPERATION FOUNDATION |
11177399 | Nanoparticle assemblies and method for producing nanoparticle assemblies | NATIONAL UNIVERSITY CORPORATION KUMAMOTO UNIVERSITY |
11177400 | Concentrator photovoltaic subassembly and method of constructing the same | MAGNOLIA OPTICAL TECHNOLOGIES, INC. |
11177401 | System and method for illuminating a sample and collecting light reflected from the sample | ZFX GMBH |
11177402 | Light scattering structures for thin-film solar cells and methods of making the same | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11177403 | Perovskite solar cell | KOREA RESEARCH INSTITUTE OF CHEMICAL TECHNOLOGY |
11177404 | Fin-based photodetector structure | GLOBALFOUNDRIES U.S. INC. |
11177405 | Thin film solar cell | -- |
11177406 | Solar cell and solar cell module using the same | LG ELECTRONICS INC. |
11177407 | Method for manufacturing solar cell, solar cell, and solar cell module | KANEKA CORPORATION |
11177408 | Light detection device | KABUSHIKI KAISHA TOSHIBA |
11177409 | On-chip integration of MMIC and single photon detectors | KABUSHIKI KAISHA TOSHIBA |
11177410 | Electrically modulated IR sensitive photodiode and its integration in CMOS | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11177411 | Photosensitive field-effect transistor | EMBERION OY |
11177412 | Sputter deposition apparatus including roller assembly and method | BEIJING APOLLO DING RONG SOLAR TECHNOLOGY CO., LTD. |
11177414 | Optoelectronic component and method for producing an optoelectronic component | OSRAM OLED GMBH |
11177415 | Projection optical unit, optoelectronic semiconductor chip, optoelectronic illumination system, camera, terminal | OSRAM OLED GMBH |
11177416 | Optoelectronic component, a module having at least two optoelectronic components, and a method for producing an optoelectronic component | OSRAM OLED GMBH |
11177417 | Light emitting device including phosphor layer with protrusions and recesses and method for manufacturing same | NICHIA CORPORATION |
11177418 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177419 | Optical device | -- |
11177420 | Optical coupling layer to improve output flux in LEDs | LUMILEDS LLC |
11177421 | Optoelectronic component | OSRAM OLED GMBH |
11177422 | LED filament with colored off state masking | SAVANT TECHNOLOGIES LLC |
11177423 | Light emitting device | CITIZEN ELECTRONICS CO., LTD. |
11177424 | Dual in-line package LED device | -- |
11177425 | Driving backplane, method for manufacturing the same, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11177426 | Low-temperature high-performance thermoelectric material and preparation method thereof | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11177427 | Fabrication of magnetic nanowire for Majorana qubits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177428 | Flux-biasing superconducting quantum processors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177429 | Vibrator element, vibrator, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11177430 | Memory device and manufacturing method thereof | -- |
11177431 | Magnetic memory device and method for manufacturing the same | HEFECHIP CORPORATION LIMITED |
11177432 | Heusler-alloy and ferrimagnet based magnetic domain-wall devices for artificial neural network applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177433 | Spin-transfer torque device | IMEC VZW |
11177434 | Chip package | -- |
11177435 | Cross-point memory-selector composite pillar stack structures and methods of forming the same | -- |
11177436 | Resistive memory with embedded metal oxide fin for gradual switching | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177437 | Alignment through topography on intermediate component for memory device patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177438 | Patterning oxidation resistant electrode in crossbar array circuits | TETRAMEN INC. |
11177439 | Processing of perovskite films using inks with complexing agents | TANDEM PV, INC. |
11177440 | Method for inkjet printing an organic-inorganic perovskite | AALTO UNIVERSITY FOUNDATION |
11177441 | Organic compound, composition, organic optoelectronic device, and display device | SAMSUNG SDI CO., LTD. |
11177442 | Thermally activated delayed fluorescence material and organic light emitting diode display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177443 | Compound and light emitting diode including same | LMS CO., LTD |
11177444 | Organic electroluminescent device | LG CHEM, LTD. |
11177445 | Compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11177446 | Silicon containing organic fluorescent materials | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
11177447 | Flexible display and manufacturing method thereof | -- |
11177448 | Flexible display device and manufacturing method | BOE TECHNOLOGY GROUP CO., LTD. |
11177449 | P-type semiconductor layer, P-type multilevel element, and manufacturing method for the element | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY |
11177450 | Organoelectroluminescent element, and light emission device, display device, and illumination device in which said organoelectroluminescent element is used | UDC IRELAND LIMITED |
11177451 | Light-emitting element, light-emitting device, display device, electronic device, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177452 | Three stack hybrid white OLED for enhanced efficiency and lifetime | UNIVERSAL DISPLAY CORPORATION |
11177453 | Display device | LG DISPLAY CO., LTD. |
11177454 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11177455 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11177456 | Display substrate, display apparatus, and method of fabricating display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11177457 | Display apparatus | -- |
11177458 | Display device having shock absorbing layer | SAMSUNG DISPLAY CO., LTD. |
11177459 | Light-emitting element encapsulation structure, method for fabricating the same and display panel | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD |
11177460 | Sub-pixel structure, pixel structure, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177461 | Organic light emitting diode display | SAMSUNG DISPLAY CO., LTD. |
11177462 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11177463 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11177464 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11177465 | Devices, structures, materials and methods for vertical light emitting transistors and light emitting displays | ATOM H2O, LLC |
11177466 | Display apparatus and method for manufacturing display apparatus | SAKAI DISPLAY PRODUCTS CORPORATION |
11177628 | Assembly comprising an electric component | OSRAM OLED GMBH |
11177768 | Integrated photovoltaic panel circuitry | SOLAREDGE TECHNOLOGIES LTD. |
11177792 | Power supply semiconductor integrated memory control circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11178320 | Electronic device including electronic component disposed through display | SAMSUNG ELECTRONICS CO., LTD. |
11178771 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11178772 | Component carrier connected with a separate tilted component carrier for short electric connection | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11178779 | Display device | SAMSUNG DISPLAY CO., LTD. |
11178784 | Display device | SHARP KABUSHIKI KAISHA |
11178786 | Method for manufacturing hermetic sealing lid member | HITACHI METALS, LTD. |
11178794 | Computer server heat regulation utilizing integrated precision air flow | DHK STORAGE, LLC |
11179029 | Image sensor with tolerance optimizing interconnects | DEPUY SYNTHES PRODUCTS, INC. |
11179582 | Ultrasonic surgical instruments | CILAG GMBH INTERNATIONAL |
11179748 | Mounting structure, ultrasonic device, ultrasonic probe, ultrasonic apparatus, and electronic apparatus | SEIKO EPSON CORPORATION |
11179936 | Liquid ejecting head, liquid ejecting apparatus, and piezoelectric device | SEIKO EPSON CORPORATION |
11180365 | MEMS devices and methods of forming same | -- |
11180409 | Wavelength conversion member and production method therefor | NIPPON ELECTRIC GLASS CO., LTD. |
11180513 | Compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11180519 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11180660 | Mixed cation perovskite material devices | CUBIC PEROVSKITE LLC |
11180668 | Printed circuit board with integrated optical waveguides | VADIENT OPTICS, LLC |
11180693 | Light converting luminescent composite material | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11180694 | Semiconductor nanocrystal particles, production methods thereof, and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11180697 | Etching solution having silicon oxide corrosion inhibitor and method of using the same | VERSUM MATERIALS US, LLC |
11180843 | Method for manufacturing deposition mask, method for manufacturing display device and deposition mask | TOPPAN PRINTING CO., LTD. |
11180896 | Signalling system | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11181327 | Deposition prevention by sweep gas | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11181393 | Encoder system for position determination with varying scale | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
11181418 | Avalanche diode arrangement and method for controlling an avalanche diode arrangement | AMS AG |
11181478 | Biosensors for biological or chemical analysis and methods of manufacturing the same | ILLUMINA, INC. |
11181672 | Imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11181673 | Optical filter, and camera module and electronic device comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11181676 | Polarizing film and image display device | NITTO DENKO CORPORATION |
11181677 | Polarizing film, method for preparing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11181704 | Fabrication method of high aspect ratio solder bumping with stud bump and injection molded solder, and flip chip joining with the solder bump | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11181732 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
11181762 | Display device | SAMSUNG DISPLAY CO., LTD. |
11181773 | Backlight module | -- |
11181792 | Display device and semiconductor device | JAPAN DISPLAY INC. |
11181793 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11181942 | Foldable display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11181959 | System for transmitting power to a remote PoE subsystem by forwarding PD input voltage | CLEARONE, INC. |
11182003 | Touch sensor with high sensitivity and display device having the same | SAMSUNG DISPLAY CO., LTD. |
11182015 | Organic light emitting display with touch sensor | LG DISPLAY CO., LTD. |
11182031 | Display device | LG DISPLAY CO., LTD. |
11182037 | Display device | SAMSUNG DISPLAY CO., LTD. |
11182527 | Cell placement site optimization | -- |
11182587 | Ultrasonic fingerprint sensor with low-frequency vibration source | QUALCOMM INCORPORATED |
11183091 | Display apparatus and method of detecting defect of the same | SAMSUNG DISPLAY CO., LTD. |
11183111 | Pixel unit and method for manufacturing the same, and double-sided OLED display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183115 | Active matrix OLED display with normally-on thin-film transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183142 | Thin film transistor, gate driver circuit and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11183223 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11183227 | Electric field switchable magnetic devices | REGENTS OF THE UNIVERSITY OF MINNESOTA |
11183228 | Memory circuit device including a selection circuit unit shared by a write circuit unit and a read circut unit | TOHOKU UNIVERSITY |
11183387 | Semiconductor device, semiconductor wafer and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11183388 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11183389 | Fin field effect transistor devices with self-aligned gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183394 | Structure and method to expose memory cells with different sizes | -- |
11183399 | Semiconductor device and method of manufacture | -- |
11183419 | Unconfined buried interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183420 | High resistivity silicon-on-insulator wafer manufacturing method for reducing substrate loss | -- |
11183423 | Liner structure in interlayer dielectric structure for semiconductor devices | -- |
11183424 | Barrier layer formation for conductive feature | -- |
11183426 | Method for forming a FinFET structure that prevents or reduces deformation of adjacent fins | -- |
11183430 | Self-limiting liners for increasing contact trench volume in n-type and p-type transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183432 | Integrated circuits with recessed gate electrodes | INTEL CORPORATION |
11183440 | Power modules for ultra-fast wide-bandgap power switching devices | GAN SYSTEMS INC. |
11183452 | Transfering informations across a high voltage gap using capacitive coupling with DTI integrated in silicon technology | INFINEON TECHNOLOGIES AUSTRIA AG |
11183459 | Fabric-based items with electrical component arrays | APPLE INC. |
11183468 | Chip protected against back-face attacks | STMICROELECTRONICS (CROLLES 2) SAS |
11183476 | Silicon carbide semiconductor device, silicon carbide semiconductor assembly, and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11183486 | High voltage solid-state transducers and solid-state transducer arrays having electrical cross-connections and associated systems and methods | MICRON TECHNOLOGY, INC. |
11183490 | Multi-layer power converter with devices having reduced lateral current | PSEMI CORPORATION |
11183492 | Multilevel template assisted wafer bonding | SKORPIOS TECHNOLOGIES, INC. |
11183495 | Power semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11183496 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11183497 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11183498 | Semiconductor memory device having an electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11183503 | Memory cell having top and bottom electrodes defining recesses | -- |
11183504 | Structures for testing nanoscale devices including ferroelectric capacitors and methods for forming the same | -- |
11183505 | Process for fabricating medium-voltage transistors and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
11183506 | Method of making embedded memory device with silicon-on-insulator substrate | SILICON STORAGE TECHNOLOGY, INC. |
11183507 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11183509 | Non-volatile memory with silicided bit line contacts | CYPRESS SEMICONDUCTOR CORPORATION |
11183510 | Manufacturing method of semiconductor device and semiconductor device | RENESAS ELECTRONICS CORPORATION |
11183514 | Vertically stacked field effect transistors | GLOBALFOUNDRIES U.S. INC. |
11183515 | Display device including polycrystalline silicon layer, method of manufacturing polycrystalline silicon layer, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11183516 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183518 | Transistor array panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11183521 | Display device having an embedded shielding layer flexible substrate | SAMSUNG DISPLAY CO., LTD. |
11183525 | Image sensor including laser shield pattern | SAMSUNG ELECTRONICS CO., LTD. |
11183528 | Solid-state image-capturing element and having floating diffusion and hollow regions | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183531 | Display screen, display module, and terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11183534 | Light emitting device with small footprint | BLACK PEAK LLC |
11183535 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183536 | Display panel, repair method of display panel, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11183537 | Light-emitting module | -- |
11183538 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11183539 | Display device having a detection element | SAMSUNG DISPLAY CO., LTD. |
11183540 | Imaging element, method of manufacturing imaging element, and imaging device | SONY CORPORATION |
11183541 | Very high resolution stacked OLED display | UNIVERSAL DISPLAY CORPORATION |
11183542 | Display panel and method for manufacturing display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11183543 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183544 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183546 | Thin film transistor and method of manufacturing the same, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183547 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183548 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183549 | Double-sided organic light emitting diode (OLED) display panel and manufacturing method | -- |
11183550 | Light-emitting device and electronic apparatus | SEIKO EPSON CORPORATION |
11183551 | Display panel having an electromagnetic shielding structure | -- |
11183552 | Display panel, method for manufacturing the same, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183553 | Organic light-emitting display device with sensing lines partially overlapping a common electrode | SAMSUNG DISPLAY CO., LTD. |
11183554 | Display device and method of manufacturing the display device | SAMSUNG DISPLAY CO., LTD. |
11183556 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183558 | Nanosheet transistor having partially self-limiting bottom isolation extending into the substrate and under the source/drain and gate regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183559 | Method for manufacturing a semiconductor structure, semiconductor structure, and electronic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183560 | Multi-gate semiconductor device | -- |
11183561 | Nanosheet transistor with inner spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183562 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11183563 | Substrate structure and method for fabricating semiconductor structure including the substrate structure | -- |
11183564 | Quantum dot devices with strain control | INTEL CORPORATION |
11183565 | Semiconductor devices including hyper-abrupt junction region including spaced-apart superlattices and related methods | ATOMERA INCORPORATED |
11183566 | Performance silicon carbide power devices | GENESIC SEMICONDUCTOR INC. |
11183567 | Doped aluminum nitride crystals and methods of making them | CRYSTAL IS, INC. |
11183568 | Two-dimensional semiconductor device, optoelectronic unit and method for making the two-dimensional semiconductor device | -- |
11183569 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11183570 | Structures and methods for noise isolation in semiconductor devices | -- |
11183571 | Memory device and manufacturing method thereof | -- |
11183572 | Flash memory device including a buried floating gate and a buried erase gate and methods of forming the same | -- |
11183573 | III-nitride field-effect transistor with dual gates | HRL LABORATORIES, LLC |
11183574 | Work function layers for transistor gate electrodes | -- |
11183575 | Memory device and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183576 | Gate electrode layout with expanded portions over active and isolation regions | MICRON TECHNOLOGY, INC. |
11183577 | Formation of air gap spacers for reducing parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183578 | Contact over active gate employing a stacked spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183579 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
11183580 | Structure and formation method of semiconductor device with metal gate stack | -- |
11183581 | Vertical field effect transistor having improved uniformity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183582 | Method for producing pillar-shaped semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
11183583 | Vertical transport FET with bottom source and drain extensions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183584 | Semiconductor device and manufacturing method thereof | -- |
11183585 | Amorphous metal thin film transistors | AMORPHYX, INCORPORATED |
11183586 | Cascode transistor device | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. |
11183587 | Bipolar junction transistor (BJT) comprising a multilayer base dielectric film | -- |
11183588 | Semiconductor device and inverter | MITSUBISHI ELECTRIC CORPORATION |
11183589 | Semiconductor device and manufacturing method therefor | RENESAS ELECTRONICS CORPORATION |
11183590 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11183591 | Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LTD. |
11183592 | Field effect transistor with a hybrid gate spacer including a low-k dielectric material | INTEL CORPORATION |
11183593 | Three-dimensional field effect device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183594 | Dual gate control for trench shaped thin film transistors | INTEL CORPORATION |
11183595 | Thin film transistor, image display panel, and method for manufacturing thin film transistor | SAKAI DISPLAY PRODUCTS CORPORATION |
11183596 | Thin film transistor and method for fabricating same | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11183597 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183598 | Electronic circuit with a transistor device and a level shifter | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11183599 | Semiconductor device and manufacturing method thereof | -- |
11183600 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183601 | Semiconductor device with carrier lifetime control | FUJI ELECTRIC CO., LTD. |
11183602 | Trench capacitor and method for manufacturing the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
11183603 | Germanium photodetector with extended responsivity | CISCO TECHNOLOGY, INC. |
11183604 | Selenium photomultiplier | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11183605 | Method for producing a layer structure for thin-film solar cells using etching or laser ablation to produce rear-electrode-layer-free region | (CNBM) BENGBU DESIGN RESEARCH INSTITUTE FOR GLASS INDUSTRY CO. LTD |
11183606 | Method for fabricating a solar module of rear contact solar cells using linear ribbon-type connector strips and respective solar module | REC SOLAR PTE. LTD. |
11183607 | Trench process and structure for backside contact solar cells with polysilicon doped regions | SUNPOWER CORPORATION |
11183608 | Photodetecting device with weak light signal detection and low power consumption | HAMAMATSU PHOTONICS K.K. |
11183610 | Photoelectric detector, preparation method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183611 | Substrate-free thin-film flexible photovoltaic device and fabrication method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183612 | Method for producing at least one optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
11183613 | Group III-nitride light emitting devices including a polarization junction | INTEL CORPORATION |
11183614 | Semiconductor device | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11183615 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11183616 | Phosphor converter structures for thin film packages and method of manufacture | LUMILEDS LLC |
11183617 | Phosphor sheet, white light source device including the phosphor sheet, and display device including the white light source device | DEXERIALS CORPORATION |
11183618 | Light emitting diode and display device comprising the same | LG DISPLAY CO., LTD. |
11183619 | Method of manufacturing light emitting device | NICHIA CORPORATION |
11183620 | Light emitting diode having a plurality of light emitting cells | SEOUL VIOSYS CO., LTD. |
11183621 | Component having a buffer layer and method for producing a component | OSRAM OLED GMBH |
11183622 | Micro light-emitting device module | -- |
11183623 | Vertical solid-state devices | VUEREAL INC. |
11183624 | Electronic assemblies incorporating heat flux routing structures for thermoelectric generation | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11183625 | Thermocouple device | MICROPEN TECHNOLOGIES CORPORATION |
11183626 | Magnetostrictive alternator | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11183627 | MRAM MTJ top electrode connection | -- |
11183628 | Magnetic memory device | SAMSUNG ELECTRONICS CO., LTD. |
11183629 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11183630 | Magnetoresistance effect element | TDK CORPORATION |
11183631 | Electrode structure to improve RRAM performance | -- |
11183632 | Self-aligned edge passivation for robust resistive random access memory connection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183633 | Switch device, storage apparatus, and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183634 | Electronic device and method of manufacturing electronic device | SK HYNIX INC. |
11183635 | Method for manufacturing semiconductor device | SK HYNIX INC. |
11183636 | Techniques for forming RRAM cells | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183637 | Organic photodetector | -- |
11183638 | Semiconducting polymer blends for high temperature organic electronics | PURDUE RESEARCH FOUNDATION |
11183639 | Organic electroluminescent element | NIPPON SHOKUBAI CO., LTD. |
11183640 | Organic electroluminescent device comprising electrontransport layer and electron buffer layer | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD. |
11183641 | Composition for an organic electronic device and organic electronic device using the same | SHANGHAI NICHEM FINE CHEMICAL CO., LTD. |
11183642 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11183643 | Nitrogen heterocycle fused ring-indene compound and organic light-emitting display device | SHANGHAI TIANMA AM-OLED CO., LTD. |
11183644 | Light-emitting element, light-emitting device, electronic appliance, and lighting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183645 | Organic thin film and method for manufacturing organic thin film, organic electroluminescence element, display device, illumination device, organic thin film solar cell, thin film transistor, and coating composition | NIPPON HOSO KYOKAI |
11183646 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11183648 | Polymers, their preparation and uses | CAMBRIDGE DISPLAY TECHNOLOGY LIMITED |
11183649 | Organic electroluminescent device | NOVALED GMBH |
11183650 | Display substrate, method of manufacturing the same, and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11183651 | Electronic apparatus | SAMSUNG DISPLAY CO., LTD. |
11183652 | Flexible electronic device including conformal flexible protective layer | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11183653 | Flexible display device having a micro coating layer covered circuit wire | LG DISPLAY CO., LTD. |
11183654 | Photoelectric conversion element, imaging device, and optical sensor | SONY CORPORATION |
11183655 | Photovoltaic device | NISSAN MOTOR CO., LTD. |
11183656 | Organic electroluminescent device, display substrate and display apparatus | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183657 | Quantum dot light emitting diode and preparation method thereof | TCL TECHNOLOGY GROUP CORPORATION |
11183658 | Method of manufacturing an organic light emitting diode (OLED) substrate and OLED substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183659 | Method of manufacturing organic light-emitting diode display substrate, display substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11183660 | Display device | -- |
11183661 | OLED package structure, display panel and method for preparing package structure | BOE TECHNOLOGY GROUP CO., LTD. |
11183662 | Display panel and manufacturing method thereof | -- |
11183663 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11183664 | Sealing structure and sealing method, electronic device and sealing layer recycling method | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11183665 | Display substrate and method for making the same, display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11183666 | Optoelectronic semiconductor component | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
11183667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11183668 | Top-emission type micro cavity OLED display device | SEEYA OPTRONICS CO., LTD. |
11183669 | Display apparatus and head-mounted display including the same | LG DISPLAY CO., LTD. |
11183670 | Organic light emitting diode with split emissive layer | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11183671 | Electroluminescent display and illuminating device and manufactured method thereof | -- |
11183672 | Display device having first and second adhesive portions | SAMSUNG DISPLAY CO., LTD. |
11183673 | Display device and a method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11183674 | Method for manufacturing flexible OLED device and support substrate | SAKAI DISPLAY PRODUCTS CORPORATION |
11183922 | Distributed power harvesting systems using DC power sources | SOLAREDGE TECHNOLOGIES LTD. |
11183950 | Control apparatus for vibratory actuator, driving apparatus, imaging apparatus, and method for controlling vibratory actuator | CANON KABUSHIKI KAISHA |
11183953 | Cantilever piezoelectric transducer | STMICROELECTRONICS S.R.L. |
11183967 | Isolating apparatus for a photovoltaic string | SMA SOLAR TECHNOLOGY AG |
11183968 | Photovoltaic panel circuitry | SOLAREDGE TECHNOLOGIES LTD. |
11183969 | Testing of a photovoltaic panel | SOLAREDGE TECHNOLOGIES LTD. |
11183970 | Device for testing a satellite solar array | AIRBUS DEFENCE AND SPACE SAS |
11183978 | Low-noise amplifier with quantized conduction channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183998 | Correlated electron switch | CERFE LABS, INC. |
11184001 | Power switching devices with high dV/dt capability and methods of making such devices | CREE, INC. |
11184003 | Silicon carbide power device, driving circuit and control method | SHANGHAI HESTIA POWER INC. |
11184224 | System, method and compute program product for accessing a device on a network | REMOT3.IT, INC. |
11184983 | Embedding known-good component between known-good component carrier blocks with late formed electric connection structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11185886 | Microelectromechanical systems, devices, and methods for fabricating a microelectromechanical systems device, and methods for generating a plurality of frequencies | VANGUARD INIERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD. |
11185918 | Self-aligning metal patterning based on photonic sintering of metal nanoparticles | NATIONAL RESEARCH COUNCIL OF CANADA |
11186084 | Electrode structures for micro-valves for use in jetting assemblies | MATTHEWS INTERNATIONAL CORPORATION |
11186481 | Sensor device and manufacturing method thereof | -- |
11186495 | Metal oxide nanoparticle | CUBIC PEROVSKITE LLC |
11186529 | Advanced oxidative coupling of methane | LUMMUS TECHNOLOGY LLC |
11186669 | Polymerizable composition and optically anisotropic body using same | DIC CORPORATION |
11186672 | Polymer, composition, coating film, layered product, back sheet, and solar cell module | DAIKIN INDUSTRIES, LTD. |
11186676 | Polymer containing S,S-dioxide-dibenzothiophene in backbone chain with content-adjustable triarylamine end groups and preparation method and application thereof | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11186767 | Quantum dots, a composition or composite including the same, and an electronic device including the same | SAMSUNG DISPLAY CO., LTD. |
11186769 | Wavelength conversion luminescent resin composition, method for producing wavelength conversion luminescent resin composition, wavelength conversion member, and light-emitting element | FUJIFILM CORPORATION |
11186770 | II-VI based non-Cd quantum dots, manufacturing method thereof and QLED using the same | HONGIK UNIVERSITY INDUSTRY-ACADEMIA COOPERATION FOUNDATION |
11186775 | Polymerizable liquid crystal composition, optically anisotropic layer, optical laminate, method for producing optical laminate, and image display device | FUJIFILM CORPORATION |
11186917 | Composite electrodes and methods for the fabrication and use thereof | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ALABAMA |
11187226 | Actuating-type gas guiding device | -- |
11187385 | Light emitting device | NICHIA CORPORATION |
11187397 | LED lighting apparatuses for backlight unit of a display | LUMENS CO., LTD. |
11187672 | Superhydrophobic electrode and biosensing device using the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11187680 | Piezoelectric sensors and quartz crystal monitors | SYRACUSE UNIVERSITY |
11187789 | Devices incorporating integrated detectors and ultra-small vertical cavity surface emitting laser emitters | SENSE PHOTONICS, INC. |
11187802 | Electronically scanned light ranging device with multiplexed photosensors | OUSTER, INC. |
11187839 | Display device having an eyepiece | LG DISPLAY CO., LTD. |
11187842 | Light emitting module | NICHIA CORPORATION |
11187917 | Three-dimensional display and aerial three-dimensional display | SHARP KABUSHIKI KAISHA |
11187940 | Backlight module having a surface light source, liquid crystal display panel and welding method of light-emitting diode chip | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11187944 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11187949 | Array substrate and manufacturing method thereof, display panel, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11187953 | Laser processing apparatus, semiconductor device manufacturing method, and amorphous silicon crystallization method | THE JAPAN STEEL WORKS, LTD. |
11187990 | Mirror for a microlithographic projection exposure apparatus, and method for operating a deformable mirror | CARL ZEISS SMT GMBH |
11188133 | System for transmitting power to a remote PoE subsystem by forwarding PD input voltage | CLEARONE, INC. |
11188151 | Vibration driven housing component for audio reproduction, haptic feedback, and force sensing | APPLE INC. |
11188162 | Display apparatus having a touch electrode on an encapsulating element | LG DISPLAY CO., LTD. |
11188163 | Touch display device and fabrication method thereof | LG DISPLAY CO., LTD. |
11188166 | Input device | ALPS ALPINE CO., LTD. |
11188237 | Anti-hacking mechanisms for flash memory device | SILICON STORAGE TECHNOLOGY, INC. |
11189223 | Element substrate and light emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189390 | Power source and method of forming same | MEDTRONIC, INC. |
11189432 | Microfluidic electrical energy harvester | INDIAN INSTITUTE OF TECHNOLOGY, GUWAHATI |
11189433 | Multifunctional solid-state devices for solar control, photovoltaic conversion and artificial lighting | CONSIGLIO NAZIONALE DELLE RICERCHE |
11189435 | Switch device facilitating frequency shift of a resonator in a quantum device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189488 | Core-shell nanoplatelets and uses thereof | NEXDOT |
11189490 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11189493 | Silicon carbide semiconductor device and method for manufacturing the same | DENSO CORPORATION |
11189522 | Semiconductor device and method of manufacturing the same | -- |
11189530 | Manufacturing method of chips | DISCO CORPORATION |
11189531 | Fin field-effect transistor device and method | -- |
11189532 | Dual width finned semiconductor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189549 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189559 | Semiconductor device and fabrication method thereof | -- |
11189564 | Metal-oxide-semiconductor field-effect-transistors (MOSFET) as antifuse elements | INTEL CORPORATION |
11189569 | Power grid layout designs for integrated circuits | ADVANCED MICRO DEVICES, INC. |
11189572 | Maintaining height of alignment key in semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11189577 | Semiconductor structure | -- |
11189586 | Semiconductor device and fabrication method of the semiconductor device | ROHM CO., LTD. |
11189601 | Reflective solder mask layer for LED phosphor package | LUMILEDS LLC |
11189602 | Light-emitting device | -- |
11189605 | Displays with transparent bezels | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11189606 | Light emitting diode panel and method for manufacturing the light emitting diode panel | SAMSUNG ELECTRONICS CO., LTD. |
11189611 | Electrostatic discharge protection semiconductor device | -- |
11189613 | Semiconductor device | -- |
11189614 | Process etch with reduced loading effect | INTEL CORPORATION |
11189615 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11189616 | Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189617 | Gate-all-around devices with reduced parasitic capacitance | QUALCOMM INCORPORATED |
11189618 | Semiconductor memory device including work function adjusting layer in buried gate line and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189620 | Dynamic memory structure with a shared counter electrode | -- |
11189621 | DRAM array, semiconductor layout structure therefor and fabrication method | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11189622 | Semiconductor device with graphene layer and method for forming the same | -- |
11189624 | Memory structure and its formation method | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11189626 | Partially disposed gate layer into the trenches | TEXAS INSTRUMENTS INCORPORATED |
11189627 | Method to reduce kink effect in semiconductor devices | -- |
11189628 | Trench gate high voltage transistor for embedded memory | -- |
11189633 | Semiconductor device and apparatus of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189634 | Non-volatile memory device including vertical pass transistors having a greater width in an area between a gate and a word line than a width of a channel structure in an area between a ground select line and the word line | SAMSUNG ELECTRONICS CO., LTD. |
11189635 | 3D-NAND mold | APPLIED MATERIALS, INC. |
11189637 | Three-dimensional memory array including self-aligned dielectric pillar structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11189638 | Semiconductor memory device including three-dimensionally stacked memory cells | KIOXIA CORPORATION |
11189640 | Integrated circuit including asymmetric ending cells and system-on-chip including the same | SAMSUNG ELECTRONICS CO., LTD. |
11189641 | Method for manufacturing array substrate, array substrate and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11189642 | Semiconductor device and light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189646 | Display substrate including signal line electrically connected to conductive pattern through the plurality of via holes | BOE TECHNOLOGY GROUP CO., LTD. |
11189647 | Liquid crystal display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189650 | Image sensor structure and manufacturing method thereof | -- |
11189651 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11189653 | Semiconductor device with buffer layer and method of forming | -- |
11189656 | Imaging device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189658 | Magnetic random access memory and manufacturing method thereof | -- |
11189659 | Techniques for MRAM MTJ top electrode to via interface | -- |
11189661 | FinFET 2T2R RRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189662 | Memory cell stack and via formation for a memory device | MICRON TECHNOLOGY, INC. |
11189663 | Efficient interconnecting layer for tandem solar cells | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11189664 | Radiation detection device comprising organic photodiodes | ISORG |
11189668 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11189669 | Display apparatus having a flexible circuit board for driving a shutter film and method of manufacturing thereof | SAMSUNG DISPLAY CO., LTD. |
11189670 | Display apparatus having zero bezel by bending bezel area | LG DISPLAY CO., LTD. |
11189671 | Display device | SAMSUNG DISPLAY CO., LTD. |
11189674 | Anode structure, method of forming the same and organic light emitting diode display device including the same | DB HITEK CO., LTD. |
11189676 | Light-emitting device having fluorescent and phosphorescent materials | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189677 | Organic light emitting diode display device and method of manufacturing an organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11189678 | Electroluminescent display apparatus and display apparatus | LG DISPLAY CO., LTD. |
11189679 | Array substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189680 | Display device | SAMSUNG DISPLAY CO., LTD. |
11189681 | Organic light emitting diode display and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11189683 | Array substrate, display panel and manufacturing method for array substrate | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD |
11189685 | Resistance element and manufacturing method of resistance element | FUJI ELECTRIC CO., LTD. |
11189686 | Integrated device coupled to a capacitor structure comprising a trench capacitor | QUALCOMM INCORPORATED |
11189687 | Semiconductor devices and methods of manufacturing the same | -- |
11189688 | Insulated gate power semiconductor device and method for manufacturing such device | ABB POWER GRIDS SWITZERLAND AG |
11189689 | Semiconductor device including an active region that includes a switchable current path | MITSUBISHI ELECTRIC CORPORATION |
11189690 | Method for forming a superjunction transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11189691 | Method of manufacturing semiconductor device | UNITED MICROELECTRONICS CORP. |
11189692 | VFET standard cell architecture with improved contact and super via | SAMSUNG ELECTRONICS CO., LTD. |
11189693 | Transistor having reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189694 | Semiconductor devices and methods of forming the same | -- |
11189695 | Semiconductor device and method for fabricating the same | -- |
11189696 | Method for preparing self-aligned surface channel field effect transistor and power device | THE 13TH RESEARCH INSTITUTE OF CHINA ELECTRONICS |
11189697 | Ultra-thin fin structure and method of fabricating the same | -- |
11189698 | Semiconductor power device | SUZHOU ORIENTAL SEMICONDUCTOR CO., LTD |
11189699 | Superlattice structure including two-dimensional material and device including the superlattice structure | SAMSUNG ELECTRONICS CO., LTD. |
11189700 | Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices | INTEL CORPORATION |
11189701 | Bipolar junction transistor with vertically integrated resistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189702 | Split gate semiconductor with non-uniform trench oxide | VISHAY SILICONIX, LLC |
11189703 | Semiconductor device with trench structure having differing widths | ROHM CO., LTD. |
11189704 | Thin film transistor and electrical circuit | TCL CHINA STAR OPTOFI FCTRONICS TECHNOLOGY CO.. LTD. |
11189705 | Methods of reducing parasitic capacitance in multi-gate field-effect transistors | -- |
11189706 | FinFET structure with airgap and method of forming the same | -- |
11189707 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11189708 | Semiconductor device structure with gate stack and method for forming the same | -- |
11189709 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11189710 | Method of forming a bottom isolation dielectric by directional sputtering of a capping layer over a pair of stacks | APPLIED MATERIALS, INC. |
11189711 | Semiconductor structures | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11189712 | Formation of vertical transport field-effect transistor structure having increased effective width | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189713 | Nanosheet transistor having wrap-around bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189714 | Gate stack structure and method for forming the same | -- |
11189715 | Semiconductor device and manufacturing method thereof | -- |
11189716 | Open type heterojunction transistor having a reduced transition resistance | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11189717 | Steep slope transistors with threshold switching devices | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11189718 | Semiconductor device with suppressed self-turn-on | KABUSHIKI KAISHA TOSHIBA |
11189719 | Apparatus and circuits including transistors with different gate stack materials and methods of fabricating the same | -- |
11189720 | Silicon carbide semiconductor device and power converter | MITSUBISHI ELECTRIC CORPORATION |
11189721 | Trench gate trench field plate vertical MOSFET | TEXAS INSTRUMENTS INCORPORATED |
11189722 | Semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11189723 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11189724 | Method of forming a top epitaxy source/drain structure for a vertical transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189725 | VTFET with cell height constraints | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189726 | Transistor with a negative capacitance and a method of creating the same | -- |
11189727 | FinFET contacts and method forming same | -- |
11189728 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11189729 | Forming a sacrificial liner for dual channel devices | TESSERA, INC. |
11189730 | Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nMOS transistors | INTEL CORPORATION |
11189731 | Thin-film transistor and display panel | -- |
11189732 | Array substrate including a substrate, a first thin film transistor and a second thin film transistor, manufacturing method thereof, display panel and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11189733 | Thin-film transistors with low contact resistance | INTEL CORPORATION |
11189734 | Semiconductor device and display device | JAPAN DISPLAY INC. |
11189735 | Semiconductor device and display apparatus | JOLED INC. |
11189736 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189737 | Laminated body | IDEMITSU KOSAN CO., LTD. |
11189738 | Solar cell side surface interconnects | ZHEJIANG KAIYING NEW MATERIALS CO., LTD. |
11189739 | Solar cell | JINKO GREEN ENERGY (SHANGHAI) MANAGEMENT CO., LTD. |
11189740 | Photoelectric sensor and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11189741 | Photodiode device, photodiode detector and methods of fabricating the same | NUCTECH COMPANY LIMITED |
11189742 | Photo-detection device, photo-detection system, and mobile apparatus | CANON KABUSHIKI KAISHA |
11189743 | Single photon avalanche diode | -- |
11189744 | Low noise Geiger-mode avalanche photodiode and manufacturing process | STMICROELECTRONICS S.R.L. |
11189745 | Light sensor circuit, light sensor device, and display device | JAPAN DISPLAY INC. |
11189746 | Photodetector comprising dual cells with different thickness of interposing substrates, photodetection device, laser imaging detection and ranging apparatus and method of manufacturing a photodetector | KABUSHIKI KAISHA TOSHIBA |
11189747 | Solar panel and method for producing the solar panel | THE BOEING COMPANY |
11189748 | PERC-like contact to CdTe solar cells | THE UNIVERSITY OF TOLEDO |
11189749 | Transfer apparatus and transfer method for transferring light emitting diode chip | -- |
11189750 | Separating a wafer of light emitting devices | LUMILEDS LLC |
11189751 | Multi-quantum well structure and LED device including the same | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11189752 | Nanowire structure and method for producing such a structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11189753 | Solid state light sheet having wide support substrate and narrow strips enclosing LED dies in series | QUARKSTAR LLC |
11189754 | Semiconductor substrate | -- |
11189755 | Light emitting diode having side reflection layer | SEOUL VIOSYS CO., LTD. |
11189757 | Light emitting diodes with reflective sidewalls comprising porous particles | LUMILEDS LLC |
11189758 | Light-emitting device | NICHIA CORPORATION |
11189759 | Light source device and light emitting device | SHARP KABUSHIKI KAISHA |
11189760 | Display device | LG DISPLAY CO., LTD. |
11189761 | Image display device and method for manufacturing image display device | NICHIA CORPORATION |
11189762 | Self-emissive element and manufacturing method of light emitting apparatus | -- |
11189763 | Backlight structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11189764 | Light-emitting device and manufacturing method thereof | NICHIA CORPORATION |
11189765 | Light emitting device and method of manufacturing light emitting device | NICHIA CORPORATION |
11189766 | Light emitting diode packages | CREELED, INC. |
11189767 | Display apparatus comprising light emitting devices coupled to a wiring board with conductive adhesive | LG ELECTRONICS INC. |
11189768 | Light emitting device, method of manufacturing light emitting device, and lighting tool for vehicle | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
11189769 | Light emitting device package with reflective side coating | LUMILEDS LLC |
11189770 | LS grid core LED connector system and manufacturing method | -- |
11189771 | Breathable micro light emitting diode display | MIKRO MESA TECHNOLOGY CO., LTD. |
11189772 | Thermoelectric power generator | DENSO CORPORATION |
11189773 | Superconductor thermal filter | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11189774 | High-temperature carbon-based superconductor: B-doped Q-carbon | NORTH CAROLINA STATE UNIVERSITY |
11189775 | Composite substrate for preventing bonding failure between substrates | BROTHER KOGYO KABUSHIKI KAISHA |
11189776 | Piezoelectric element and method for manufacturing piezoelectric element | SUMITOMO PRECISION PRODUCTS CO., LTD. |
11189777 | Multilayer piezoelectric element and vibrating device | TDK CORPORATION |
11189778 | Element, cell, and power generation device | RICOH COMPANY, LTD. |
11189779 | Electroactive polymer actator | KONINKLIJKE PHILIPS N.V. |
11189780 | Anisotropic strain-driven magnetoelectric devices | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11189781 | Magnetoresistive stack/structure including metal insertion substance | EVERSPIN TECHNOLOGIES, INC. |
11189782 | Multilayered bottom electrode for MTJ-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189783 | Embedded MRAM device formation with self-aligned dielectric cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189784 | Method for forming magnetic film and method for manufacturing magnetic storage element | ULVAC, INC. |
11189785 | Magnetoresistive stack/structure and method of manufacturing same | EVERSPIN TECHNOLOGIES, INC. |
11189786 | Tapered resistive memory with interface dipoles | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189787 | Method for forming a phase change memory (PCM) cell with a low deviation contact area between a heater and a phase change element | -- |
11189788 | RRAM bottom electrode | -- |
11189789 | Resistive random-access memory (RRAM) cell with recessed bottom electrode sidewalls | -- |
11189790 | Spacer-based patterning for tight-pitch and low-variability random access memory (RAM) bit cells and the resulting structures | INTEL CORPORATION |
11189791 | Integrated circuit and fabrication method thereof | -- |
11189792 | Oxide-based resistive non-volatile memory cell and method for manufacturing same | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11189793 | Method of forming resistive random access memory cell | -- |
11189794 | Perovskite multilayered structure and manufacturing method thereof | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11189796 | Array substrate and manufacturing method thereof, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11189797 | Display panel, plasma etching method and system | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11189798 | Formation of carbon nanotube-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189800 | Heterocyclic compound and organic light emitting device comprising the same | LG CHEM, LTD. |
11189801 | Phenoxazine derivatives for organic electroluminescent devices | MERCK PATENT GMBH |
11189802 | Organic electroluminescent element | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
11189803 | Organic electroluminescent element and electronic device containing the organic electroluminescent element | IDEMITSU KOSAN CO., LTD. |
11189804 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11189805 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11189806 | Heteroleptic carbene complexes and the use thereof in organic electronics | UDC IRELAND LIMITED |
11189807 | Organometallic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11189808 | Platinum complexes and devices | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11189809 | Organic EL device and display unit | SONY CORPORATION |
11189810 | Quantum-dot light emitting device comprising solution processed charge generation junction and manufacturing method thereof | UNIVERSITY-INDUSTRY COOPERATION GROUP OF KYUNG HEE UNIVERSITY |
11189811 | Organic light emitting diode display panel having wide color gamut and low power consumption, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189812 | Light-emitting element, light-emitting device, and electronic appliance | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189813 | Wound management method and apparatus | OLD FIELD |
11189814 | Organic light emitting display apparatus and method of manufacturing the same | LG DISPLAY CO., LTD. |
11189815 | Display panel | LG DISPLAY CO., LTD. |
11189816 | Display substrate having a unitary cathode layer connected to a plurality of light emitting blocks, display apparatus, and method of fabricating display having the same | BOE TECHNOLOGY GROUP CO., LTD. |
11189817 | Light-emitting device, module, electronic device, and method for manufacturing light-emitting device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189914 | Liquid crystal cell and scanning antenna | SHARP KABUSHIKI KAISHA |
11190010 | Annular bearer network and service bearing implementation method therefor | GUANGDONG POWER GRID CO. LTD. |
11190111 | Vibration wave motor and electronic equipment using vibration wave motor | CANON KABUSHIKI KAISHA |
11190113 | Leadless autonomous cardiac capsule with rotatably-mounted piezoelectric energy harvester | CAIRDAC |
11190127 | Support assembly for photovoltaic modules and mounting system using the same | UNIRAC, INC. |
11190128 | Parallel-connected solar roof tile modules | TESLA, INC. |
11190133 | Oven-controlled frequency reference oscillator and method of fabricating thereof | KYOCERA TIKITIN OY |
11190179 | Advanced gate drivers for silicon carbide bipolar junction transistors | TURNTIDE TECHNOLOGIES INC. |
11190878 | Headphones with on-head detection | APPLE INC. |
11191140 | Methods for generating tunable white light with high color rendering | ECOSENSE LIGHTING INC. |
11191160 | Flexible base substrate, manufacturing method thereof, display device | BOE TECHNOLOGY GROUP CO., LTD. |
11191162 | Circuit board supporting structure and light emitting device having the same | HOYA CORPORATION |
11191172 | Display device | JAPAN DISPLAY INC. |
11192276 | Method for manufacturing a sensor element or an active component of a sensor element | ENDRESS+HAUSER SE+CO. KG |
11192367 | Piezoelectric device, liquid discharging head, and liquid discharging apparatus | SEIKO EPSON CORPORATION |
11192777 | MEMS sensor package systems and methods | INFINEON TECHNOLOGIES AG |
11192858 | Diamine-based compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11192881 | Compound for organic electroluminescence device using the same | -- |
11192884 | Heterocyclic compound and organic light-emitting device comprising same | LG CHEM, LTD. |
11192901 | Nitrogen-containing heterocyclic organic compound and organic electroluminescent device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11192906 | Lead halide adduct compound and perovskite element using same | GLOBALFRONTIER CENTER FOR MULTISCALE ENERGYSYSTEMS |
11192909 | Method for the separation of enantiomeric mixtures from metal complexes | MERCK PATENT GMBH |
11192910 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11192989 | Urea (multi)-urethane (meth)acrylate-silane compositions and articles including the same | 3M INNOVATIVE PROPERTIES COMPANY |
11193010 | Polyolefin compositions for photovoltaic encapsulant films | DOW GLOBAL TECHNOLOGIES LLC |
11193043 | System for chemical mechanical polishing of Ge-based materials and devices | -- |
11193059 | Processes for preparing color stable red-emitting phosphor particles having small particle size | CURRENT LIGHTING SOLUTIONS, LLC |
11193061 | Semiconductor nanocrystal particles and devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11193064 | Phase difference plate for organic EL display device, organic EL display device, and method for producing phase difference plate | FUJIFILM CORPORATION |
11193203 | Mask for display | LG DISPLAY CO., LTD. |
11193217 | Silicon-based molten composition and method for manufacturing silicon carbide single crystal using the same | LG CHEM, LTD. |
11193307 | Key, lock core and lock | BOE TECHNOLOGY GROUP CO., LTD. |
11193696 | Advanced multi-layer active magnetic regenerator systems and processes for magnetocaloric liquefaction | BATTELLE MEMORIAL INSTITUTE |
11193832 | Infrared detector, imaging device including the same, and manufacturing method for infrared detector | FUJITSU LIMITED |
11193904 | Pixel for analyzing a gaseous analyte | -- |
11194059 | Methods of fabricating vacuum housings with hermetic solder seals using capillary solder wicks | THE UNIVERSITY OF CHICAGO |
11194087 | Integrated waveguide coupler and light source | FACEBOOK TECHNOLOGIES, LLC |
11194089 | Method for manufacturing light emitting module | NICHIA CORPORATION |
11194180 | Optical adjustable filter sub-assembly | IL-VI DELAWARE, INC. |
11194191 | Foldable touch display device having different thickness at a folding region | -- |
11194203 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11194207 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11194395 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11194397 | Apparatus, system, and method for actuator-based touch simulation | FACEBOOK TECHNOLOGIES, LLC |
11194413 | Display device for vehicle | SAMSUNG DISPLAY CO., LTD. |
11194414 | Touch display device | LG DISPLAY CO., LTD. |
11194944 | False path timing exception handler circuit | TEXAS INSTRUMENTS INCORPORATED |
11195086 | Neuromorphic computing device utilizing a biological neural lattice | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195087 | Synapse array of a neuromorphic device including a synapse array having a plurality of ferroelectricity field effect transistors | SK HYNIX INC. |
11195088 | Data processing device using neural network, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195441 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195456 | Display device with a reduced dead space | SAMSUNG DISPLAY CO., LTD. |
11195457 | Organic EL display device with reduced surface roughness and manufacturing method therefor | SAKAI DISPLAY PRODUCTS CORPORATION |
11195468 | Display apparatus and method of driving display panel using the same | SAMSUNG DISPLAY CO., LTD. |
11195561 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195577 | Switch element and method for manufacturing switch element | FUJITSU LIMITED |
11195695 | Ion implantation method, ion implantation apparatus and semiconductor device | INFINEON TECHNOLOGIES AG |
11195713 | Methods of forming a silicon-insulator layer and semiconductor device having the same | INFINEON TECHNOLOGIES AG |
11195721 | Ohmic contacts and methods for manufacturing the same | PRINCETON OPTRONICS, INC. |
11195742 | Micro device transfer apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11195745 | Forming single and double diffusion breaks for fin field-effect transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195746 | Nanosheet transistor with self-aligned dielectric pillar | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195749 | Semiconductor device and manufacturing method | FUJI ELECTRIC CO., LTD. |
11195751 | Bilayer barrier for interconnect and memory structures formed in the BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195752 | Semiconductor device and method of forming same | -- |
11195753 | Tiered-profile contact for semiconductor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195755 | Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195758 | Semiconductor device and method for manufacturing semiconductor device having plurality of insulator | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195759 | Semiconductor arrangement and method for making | -- |
11195760 | Fin-type field-effect transistor device having substrate with heavy doped and light doped regions, and method of fabricating the same | -- |
11195761 | IC structure with short channel gate structure having shorter gate height than long channel gate structure | GLOBALFOUNDRIES U.S. INC. |
11195762 | Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195763 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11195764 | Vertical transport field-effect transistors having germanium channel surfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195765 | Semiconductor device including stressed source/drain, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11195767 | Integration of a III-V device on a Si substrate | IMEC VZW |
11195772 | CMOS based devices for harsh media | MELEXIS TECHNOLOGIES NV |
11195773 | Flip chip assembly of quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195780 | Stacked silicon package assembly having thermal management using phase change material | XILINX, INC. |
11195782 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
11195791 | Method for forming semiconductor contact structure | -- |
11195794 | Stacked integrated circuit devices including a routing wire | SAMSUNG ELECTRONICS CO., LTD. |
11195796 | Semiconductor device structure and method for forming the same | -- |
11195799 | Hybrid readout package for quantum multichip bonding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195803 | Semiconductor element, semiconductor device, power conversion device, and method of manufacturing semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11195810 | Bonding structure and method of forming same | -- |
11195821 | LED packaging unit, LED lamp comprising same, and method of the manufacture same | NANOGRID LIMITED |
11195822 | Light-emitting package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11195824 | Pixel, display device including the same, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11195826 | Electrostatic discharge protection | ALLEGRO MICROSYSTEMS, LLC |
11195828 | Semiconductor device | JAPAN DISPLAY INC. |
11195831 | 3D semiconductor structure and method of fabricating the same | -- |
11195832 | High performance nanosheet fabrication method with enhanced high mobility channel elements | TOKYO ELECTRON LIMITED |
11195833 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11195834 | Semiconductor device having deep wells | -- |
11195836 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11195837 | Semiconductor devices including support patterns | SAMSUNG ELECTRONICS CO., LTD. |
11195838 | Arrays of capacitors, methods used in forming integrated circuitry, and methods used in forming an array of capacitors | MICRON TECHNOLOGY, INC. |
11195840 | Method and structures pertaining to improved ferroelectric random-access memory (FeRAM) | -- |
11195841 | Integrated circuit and method for manufacturing the same | -- |
11195843 | Non-volatile memory device having a floating gate type memory cell | KIOXIA CORPORATION |
11195847 | Memory device and method for forming the same | -- |
11195848 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11195859 | Array substrate and flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195860 | Display unit and electronic apparatus | SONY GROUP CORPORATION |
11195861 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11195862 | Thin film transistor having gate insulating layer including different types of insulating layers, method of manufacturing the same, and display device comprising the same | LG DISPLAY CO., LTD. |
11195863 | Display panel having a storage capacitor, manufacturing method the same thereof and display module having the same | -- |
11195866 | Imaging device including photoelectic conversion element and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195876 | Solid state transducer devices, including devices having integrated electrostatic discharge protection, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11195877 | Ultra-small LED electrode assembly having improved luminance and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11195878 | Optoelectronic device comprising three-dimensional semiconductor structures in an axial configuration | ALEDIA |
11195879 | Method and related apparatus for improving memory cell performance in semiconductor-on-insulator technology | -- |
11195880 | Display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11195881 | Array substrate and flexible display panel | -- |
11195883 | OLED display device comprising touch sensor, and method for manufacturing same | HUBEI |
11195884 | Organic light emitting display | SAMSUNG DISPLAY CO., LTD. |
11195885 | Display device | SAMSUNG DISPLAY CO., LTD. |
11195886 | Organic light emitting diode and three-dimensional tactile display apparatus using stretchable light-emitting material and manufacturing method thereof | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
11195887 | Touch control panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195888 | Display device | LG DISPLAY CO., LTD. |
11195889 | Method of manufacturing flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195890 | Display panel comprising gate metal layer electrically connected to metal connection region via through hole and method of manufacturing same | -- |
11195891 | Display device having film with groove | SAMSUNG DISPLAY CO., LTD. |
11195892 | Electroluminescence display device and manufacturing method thereof | JAPAN DISPLAY INC. |
11195893 | Display apparatus having shield electrode overlapping connection line | SAMSUNG DISPLAY CO., LTD. |
11195894 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11195896 | Organic light emitting diode display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11195897 | OLED array substrate and OLED display device | -- |
11195898 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11195899 | Display substrate, display panel, display device and method for manufacturing display substrate | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195900 | Array substrate with protrusion patterns and method of fabricating same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11195901 | Bendable display device | SAMSUNG DISPLAY CO., LTD. |
11195904 | High-frequency transistor | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11195905 | Metal-oxide-semiconductor transistor and method of fabricating the same | -- |
11195906 | Semiconductor device to suppress electric field concentration on insulating protection film | DENSO CORPORATION |
11195907 | Semiconductor device and semiconductor device manufacturing method | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11195908 | Semiconductor device with carrier lifetime control | FUJI ELECTRIC CO., LTD. |
11195909 | LDMOS transistors with breakdown voltage clamps | MAXIM INTEGRATED PRODUCTS, INC. |
11195910 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195911 | Bottom dielectric isolation structure for nanosheet containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195912 | Inner spacer for nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195913 | Semiconductor device including fin structure and manufacturing method thereof | -- |
11195914 | Transistor and method for forming a transistor | APPLIED MATERIALS, INC. |
11195915 | Semiconductor devices with a sloped surface | TEXAS INSTRUMENTS INCORPORATED |
11195917 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195918 | Structure of semiconductor device and method for fabricating the same | -- |
11195919 | Method of fabricating a semiconductor device with strained SiGe fins and a Si cladding layer | INTEL CORPORATION |
11195920 | Semiconductor structure having porous semiconductor segment for RF devices and bulk semiconductor region for non-RF devices | NEWPORT FAB, LLC |
11195921 | Semiconductor device with silicon carbide body | INFINEON TECHNOLOGIES AG |
11195922 | Silicon carbide semiconductor device | -- |
11195923 | Method of fabricating a semiconductor device having reduced contact resistance | APPLIED MATERIALS, INC. |
11195924 | Broken bandgap contact | INTEL CORPORATION |
11195925 | Heterojunction bipolar transistors | GLOBALFOUNDRIES U.S. INC. |
11195926 | Gate-all-around structure and manufacturing method for the same | -- |
11195927 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195928 | Semiconductor devices including a gate isolation structure and a gate capping layer including different materials from each other | SAMSUNG ELECTRONICS CO., LTD. |
11195929 | Conformal replacement gate electrode for short channel devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195930 | Semiconductor devices with backside power rail and methods of fabrication thereof | -- |
11195931 | Gate structure, semiconductor device and the method of forming semiconductor device | -- |
11195932 | Ferroelectric gate dielectrics in integrated circuits | INTEL CORPORATION |
11195933 | Method of forming a GaN sensor having a controlled and stable threshold voltage | TOWER SEMICONDUCTOR LTD. |
11195934 | Structure and method for bi-layer self-aligned contact | -- |
11195935 | Semiconductor device with novel spacer structures having novel configurations | GLOBALFOUNDRIES U.S. INC. |
11195936 | Semiconductor structure | -- |
11195937 | Multi-gate transistor structure | -- |
11195938 | Device performance by fluorine treatment | -- |
11195939 | Common-emitter and common-base heterojunction bipolar transistor | WAYTHON INTELLIGENT TECHNOLOGIES SUZHOU CO., LTD |
11195940 | High-voltage terahertz strained SiGe/InGaP heterojunction bipolar transistor and preparation method thereof | YANSHAN UNIVERSITY |
11195941 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11195942 | Semiconductor device including electrode trench structure and isolation trench structure and manufacturing method therefore | INFINEON TECHNOLOGIES AG |
11195943 | Epitaxial structure of Ga-face group III nitride, active device, and gate protection device thereof | -- |
11195944 | Gallium nitride (GaN) transistor structures on a substrate | INTEL CORPORATION |
11195945 | Cap structure coupled to source to reduce saturation current in HEMT device | -- |
11195946 | Method of manufacturing a silicon carbide semiconductor device with trench gate structure and vertical pn junction between body region and drift structure | INFINEON TECHNOLOGIES AG |
11195947 | Semiconductor device with doped region adjacent isolation structure in extension region | GLOBALFOUNDRIES U.S. INC. |
11195948 | High voltage semiconductor device and manufacturing method thereof | -- |
11195949 | Laterally diffused metal-oxide-semiconductor (LDMOS) transistors | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11195950 | Semiconductor device with at least a portion of gate electrode enclosed by an insulating structure and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11195951 | Semiconductor device with self-aligned wavy contact profile and method of forming the same | -- |
11195952 | Semiconductor devices including a stress pattern | SAMSUNG ELECTRONICS CO., LTD. |
11195953 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11195954 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11195955 | Thin film transistor and display substrate having the same | SAMSUNG DISPLAY CO., LTD. |
11195956 | Thin-film transistor, display device including the same, and method of manufacturing the same | LG DISPLAY CO., LTD. |
11195957 | Schottky barrier diode | SILICON WORKS CO., LTD. |
11195958 | Semiconductor device with deep trench isolation and trench capacitor | TEXAS INSTRUMENTS INCORPORATED |
11195959 | Mixed cation perovskite solid state solar cell and fabrication thereof | ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL) |
11195960 | Solar module, photovoltaic system, and voltage limitation method | SMA SOLAR TECHNOLOGY AG |
11195961 | Solar cell element | KYOCERA CORPORATION |
11195962 | High responsivity high bandwidth photodiode and method of manufacture | NEWPORT CORPORATION |
11195963 | Texture structure manufacturing method | NEC CORPORATION |
11195964 | Voltage breakdown device for solar cells | SUNPOWER CORPORATION |
11195965 | Solar cell module, wiring sheet, and method of manufacturing wiring sheet | SHARP KABUSHIKI KAISHA |
11195966 | Bifacial solar cells with reflective back contacts | SUNPOWER CORPORATION |
11195967 | High radiation detection performance from photoactive semiconductor single crystals | NORTHWESTERN UNIVERSITY |
11195968 | Solid-state neutron detector | TEXAS TECH UNIVERSITY SYSTEM |
11195969 | Method of forming a metal silicide transparent conductive electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195970 | Light emitting diode panel and tiling display apparatus | -- |
11195971 | Glass wiring substrate, method of producing the same, part-mounted glass wiring substrate, method of producing the same, and display apparatus substrate | SONY CORPORATION |
11195973 | III-nitride micro-LEDs on semi-polar oriented GaN | FACEBOOK TECHNOLOGIES, LLC |
11195974 | Semiconductor chips and method for producing semiconductor chips | OSRAM OLED GMBH |
11195975 | Device and method for III-V light emitting micropixel array device having hydrogen diffusion barrier layer | OSTENDO TECHNOLOGIES, INC. |
11195976 | Optoelectronic component | OSRAM OLED GMBH |
11195977 | Light emitting device | NICHIA CORPORATION |
11195978 | Method for producing a multiplicity of radiation-emitting semiconductor components, and radiation-emitting semiconductor component | OSRAM OLED GMBH |
11195979 | Light-emitting device | NICHIA CORPORATION |
11195980 | Transparent sealing member and optical component | NGK INSULATORS, LTD. |
11195981 | Method of producing a radiation-emitting semiconductor component, and radiation-emitting semiconductor component | OSRAM OLED GMBH |
11195982 | Qubit frequency tuning structures and fabrication methods for flip chip quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195983 | Piezoelectric film, piezoelectric element, and method for manufacturing piezoelectric film | FUJIFILM CORPORATION |
11195984 | Piezoelectric transformer | MURATA MANUFACTURING CO., LTD. |
11195985 | Electroactive polymer actuator device and driving method | KONINKLIJKE PHILIPS N.V. |
11195986 | Piezoelectric composition and piezoelectric element | TDK CORPORATION |
11195987 | Method for producing composite wafer having oxide single-crystal film | SHIN-ETSU CHEMICAL CO., LTD. |
11195988 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11195989 | Ferromagnetic tunnel junction element and method of manufacturing the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11195990 | Hall sensor device and Hall sensing method | INFINEON TECHNOLOGIES AG |
11195991 | Magnetic random access memory assisted devices and methods of making | -- |
11195992 | Spin-orbit torque type magnetization rotational element, spin-orbit torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11195993 | Encapsulation topography-assisted self-aligned MRAM top contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195994 | Method for fabricating semiconductor device including embedded magnetic resistance random access memory | -- |
11195995 | Back-end-of-line compatible processing for forming an array of pillars | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195996 | Phase-change memory device having reversed phase-change characteristics and phase-change memory having highly integrated three-dimensional architecture using same | SAMSUNG ELECTRONICS CO., LTD. |
11195997 | Variable resistance memory devices including self-heating layer and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11195998 | Memory structures having improved write endurance | INTEL CORPORATION |
11195999 | Phase change material with reduced reset state resistance drift | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11196000 | Low forming voltage non-volatile memory (NVM) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11196001 | 3D ReRAM formed by metal-assisted chemical etching with replacement wordline and wordline separation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11196002 | Method for producing vapor deposition mask, method for producing organic semiconductor element, and method for producing organic EL display | DAI NIPPON PRINTING CO., LTD. |
11196003 | Organic semiconductor polymers | QATAR FOUNDATION FOR EDUCATION, SCIENCE AND COMMUNITY DEVELOPMENT |
11196004 | High-molecular-weight compound having substituted triarylamine skeleton | HODOGAYA CHEMICAL CO., LTD. |
11196005 | Organic semiconducting compounds | -- |
11196006 | Organic compound and organic light-emitting element | CANON KABUSHIKI KAISHA |
11196007 | Organic compound, organic light-emitting element, display apparatus, image pickup apparatus, electronic device, and moving object | CANON KABUSHIKI KAISHA |
11196008 | Compound for organic electric element, organic electric element using same, and electronic apparatus thereof | DUK SAN NEOLUX CO., LTD. |
11196009 | Fluorene derivative, organic light emitting device using same, and manufacturing method therefor | LG CHEM, LTD. |
11196010 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11196012 | Flexible organic light-emitting panel and manufacturing method thereof | -- |
11196013 | Flexible OLED display panel and display module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196014 | Flexible display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196015 | Display apparatus and manufacturing method thereof | -- |
11196016 | Pixel structure, display apparatus, and method of fabricating pixel structure | BOE TECHNOLOGY GROUP CO., LTD. |
11196017 | Display having a blunt structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196018 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11196019 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11196020 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11196021 | Composite film layer, having alternately-stacked sub-film layers with different refractive indexes | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196022 | Package structure and display device including package structure | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11196023 | Display device having undercut groove filled with encapsulation layer | SAMSUNG DISPLAY CO., LTD. |
11196024 | Display panel including peripheral area with plurality of refraction pieces having openings facing substrate, and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196025 | Organic light-emitting diode (OLED) display panel having a ring-shaped barrier, manufacturing method thereof, and OLED display device having the same | -- |
11196026 | Encapsulation structure, production method thereof, glue-spreading device, and encapsulation glue | BOE TECHNOLOGY GROUP CO., LTD. |
11196027 | Light-emitting apparatus and method for producing a light-emitting apparatus | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
11196028 | Flexible OLED display with internal reflective component | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11196029 | Display structure and manufacturing method thereof | -- |
11196030 | High efficiency vapor transport sublimation source using baffles coated with source material | UNIVERSAL DISPLAY CORPORATION |
11196074 | Reversible bio sensitized photoelectric conversion and H<sub>2 </sub>to electricity devices | -- |
11196250 | Bidirectional precision surge clamp with near-zero dynamic resistance and ultra-low leakage current | TEXAS INSTRUMENTS INCORPORATED |
11196360 | System and method for electrostatically chucking a substrate to a carrier | APPLIED MATERIALS, INC. |
11196402 | Coupled MEMS resonator | KYOCERA TIKITIN OY |
11196407 | Resonator and resonant device | MURATA MANUFACTURING CO., LTD. |
11196948 | Photo-detection device and imaging system | CANON KABUSHIKI KAISHA |
11196983 | Imaging system including light source, image sensor, and double-band pass filter | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11197370 | Flexible printed circuit film and stretchable display device including the same | LG DISPLAY CO., LTD. |
11197375 | Lighting assembly with high irradiance | LUMILEDS LLC |
11198020 | Methods for generating melatonin-response-tuned white light with high color rendering | ECOSENSE LIGHTING INC. |
11198198 | Method for manufacturing substrate with transparent conductive film, substrate with transparent conductive film, and solar cell | FURUKAWA ELECTRIC CO., LTD. |
11198274 | Optical laminate having thin glass, polarizer, and protective film with specified modulus of elasticity | NITTO DENKO CORPORATION |
11198283 | LED curing during vacuum lamination process | ROCKWELL COLLINS, INC. |
11198648 | Cordierite-based sintered body, method for producing the same, and composite substrate | NGK INSULATORS, LTD. |
11198698 | Organic semiconductor composition, organic thin film comprising same, and use thereof | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11198813 | Systems for providing tunable white light with high color rendering | ECOSENSE LIGHTING INC. |
11198815 | Etching composition and method for fabricating semiconductor device by using the same | SAMSUNG ELECTRONICS CO., LTD. |
11198901 | Method for forming nano-gaps in graphene | OXFORD UNIVERSITY INNOVATION LIMITED |
11199298 | Method for manufacturing light emitting diode filament | ZHONGSHAN MLS ELECTRONIC CO., LTD |
11199307 | Display device, backlight unit, light emitting module and lens | SEOUL SEMICONDUCTOR CO., LTD. |
11199445 | Ambient light and noise cancelling device | OSRAM OPTO SEMICONDUCTORS GMBH |
11199455 | Thermal detector and thermal detector array | TEKNOLOGIAN TUTKIMUSKESKUS VTT OY |
11199623 | Ultrasonic imaging device with programmable anatomy and flow imaging | EXO IMAGING, INC. |
11199633 | Packaging of radiation detectors | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11199650 | Lens unit, exposure device, reading head, image forming apparatus, and image reading apparatus | OKI ELECTRIC INDUSTRY CO., LTD. |
11199672 | Multiple waveguide coupling to one or more photodetectors | GLOBALFOUNDRIES U.S. INC. |
11199731 | Integrated electro-optical device | STMICROELECTRONICS (CROLLES 2) SAS |
11199736 | Electronic device | -- |
11199742 | Display device and driving method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11199746 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11199769 | Method and apparatus for neutral beam processing based on gas cluster ion beam technology | -- |
11199776 | Resin composition | TORAY INDUSTRIES, INC. |
11199920 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11199935 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11200020 | Intelligent wearable device | JRD COMMUNICATION (SHENZHEN) LTD |
11200818 | Method and device for processing abnormal data | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11200840 | Display panel, manufacturing method thereof, maintenance method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11200933 | Magnetic multilayer film, magnetic memory element, magnetic memory and method for producing same | TOHOKU UNIVERSITY |
11200934 | Tunneling metamagnetic resistance memory device and methods of operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11200947 | Superconducting nanowire-based programmable processor | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11200948 | System for a flexible conductance crossbar | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11200950 | Programming enhancement in self-selecting memory | MICRON TECHNOLOGY, INC. |
11200997 | Semiconductor device with epitaxial liftoff layers for directly converting radioisotope emissions into electrical power | CITY LABS, INC. |
11201007 | Modulated inductance module | -- |
11201049 | Thiourea organic compound for gallium arsenide based optoelectronics surface passivation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201055 | Semiconductor device having high-κ dielectric layer and method for manufacturing the same | -- |
11201058 | GaN devices with ion implanted ohmic contacts and method of fabricating devices incorporating the same | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11201059 | Device having work function metal stack and method of forming the same | -- |
11201060 | Structure and formation method of semiconductor device with metal gate stack | -- |
11201061 | Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201077 | Parallel assembly of discrete components onto a substrate | KULICKE & SOFFA NETHERLANDS B.V. |
11201080 | Epitaxy substrate and method of manufacturing the same | -- |
11201082 | Deep trench isolation structure in semiconductor device | -- |
11201083 | Methods for forming memory devices, and associated devices and systems | MICRON TECHNOLOGY, INC. |
11201084 | Fin field-effect transistor device and method of forming the same | -- |
11201086 | Semiconductor devices and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11201087 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201088 | Semiconductor device and method for forming the same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201089 | Robust low-k bottom spacer for VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201090 | Semiconductor structures with isolation structures and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201091 | Semiconductor structure implementing series-connected transistor and resistor and method for forming the same | -- |
11201092 | Gate channel length control in VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201106 | Semiconductor device with conductors embedded in a substrate | -- |
11201114 | Methods of forming thin film resistor structures utilizing interconnect liner materials | INTEL CORPORATION |
11201115 | Semiconductor device | -- |
11201120 | Display having an amorphous silicon light shield below a thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11201127 | Device comprising contact to contact coupling of packages | QUALCOMM INCORPORATED |
11201141 | Light emitting device | OSRAM OLED GMBH |
11201144 | Electrostatic discharge handling for sense IGBT using Zener diode | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11201145 | Semiconductor integrated circuit device having an electrostatic discharge protection circuit and method of manufacturing the semiconductor integrated circuit device | SK HYNIX INC. |
11201147 | Composite power element and method for manufacturing the same | -- |
11201150 | System on chip | SAMSUNG ELECTRONICS CO., LTD. |
11201151 | Resonant fin transistor (RFT) | INTEL CORPORATION |
11201152 | Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor | GLOBALFOUNDRIES INC. |
11201153 | Stacked field effect transistor with wrap-around contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201155 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11201158 | SRAM structure | -- |
11201163 | High-density NOR-type flash memory | HOUSTON |
11201167 | Semiconductor pillars having triangular-shaped lateral peripheries, and integrated assemblies | MICRON TECHNOLOGY, INC. |
11201170 | Three-dimensional semiconductor memory device and manufacturing method of the three-dimensional semiconductor memory device | SK HYNIX INC. |
11201171 | Semiconductor storage device | KIOXIA CORPORATION |
11201175 | Array substrate with capacitance forming portion to hold potential at electrode | SHARP KABUSHIKI KAISHA |
11201176 | Array substrate, display apparatus, and method of fabricating array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11201179 | Thin film transistor assembly, array substrate and display panel | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11201184 | Image sensing devices with reflector arrays | SHENZHEN ADAPS PHOTONICS TECHNOLOGY CO. LTD. |
11201189 | Semiconductor device having rare earth oxide layer and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11201190 | RRAM memory cell with multiple filaments | -- |
11201191 | Semiconductor memory device having a plurality of memory cells each having a phase change material | TOSHIBA MEMORY CORPORATION |
11201192 | Memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11201193 | Vertically stacked multilayer high-density RRAM | QUALCOMM INCORPORATED |
11201195 | Electronic device including flexible display panel | SAMSUNG ELECTRONICS CO., LTD. |
11201196 | Display panel having camera and normal display sub-areas | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201197 | Display device including a trench and manufacturing method thereof | LG DISPLAY CO., LTD. |
11201198 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11201200 | Semiconductor device package and method of manufacturing the same | -- |
11201201 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11201204 | Display device | SAMSUNG DISPLAY CO., LTD. |
11201205 | Interconnect layout for semiconductor device | -- |
11201206 | Semiconductor device including metal insulator metal capacitor | -- |
11201207 | Methods of forming an array of capacitors, methods of forming an array of memory cells individually comprising a capacitor and a transistor, arrays of capacitors, and arrays of memory cells individually comprising a capacitor and a transistor | MICRON TECHNOLOGY, INC. |
11201208 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11201209 | Semiconductor device and method for forming the same | -- |
11201210 | Semiconductor device, inverter circuit, drive device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11201211 | Method of manufacturing a super junction structure and super junction structure | -- |
11201212 | MOSFET with ultra low drain leakage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201213 | Channel all-around semiconductor device and method of manufacturing the same | -- |
11201214 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
11201215 | MOSFET and memory cell having improved drain current through back bias application | ZENO SEMICONDUCTOR, INC. |
11201216 | Silicon carbide semiconductor device and manufacturing method of silicon carbide semiconductor device | DENSO CORPORATION |
11201217 | Nitride semiconductor substrate | COORSTEK KK |
11201218 | Silicon carbide epitaxial substrate, method of manufacturing thereof, silicon carbide semiconductor device, and method of manufacturing thereof | FUJI ELECTRIC CO., LTD. |
11201219 | Integrated circuit device and method of manufacturing integrated circuit device | TOSHIBA MEMORY CORPORATION |
11201220 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11201221 | Backside contact structures and fabrication for metal on both sides of devices | INTEL CORPORATION |
11201222 | Semiconductor device and fabrication method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGY CO., LTD. |
11201223 | Semiconductor device, inverter circuit, drive device, vehicle, and elevator each having a threshold-voltage-increasing portion in silicon carbide layer | KABUSHIKI KAISHA TOSHIBA |
11201224 | Semiconductor device including gate structure and separation structure | SAMSUNG ELECTRONICS CO., LTD. |
11201225 | Structure and formation method of semiconductor device with stressor | -- |
11201227 | Gate structure with barrier layer and method for forming the same | -- |
11201228 | Semiconductor device with air-spacer | -- |
11201229 | Structure and formation method of semiconductor device with metal gate stack | -- |
11201230 | Semiconductor device structure with metal gate stacks | -- |
11201231 | Silicon germanium alloy fins with reduced defects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201232 | Semiconductor structure with metal containing layer | -- |
11201233 | Compound semiconductor heterojunction bipolar transistor | -- |
11201234 | High electron mobility transistor | -- |
11201235 | Semiconductor device, method for producing semiconductor device, power supply device, and amplifier | FUJITSU LIMITED |
11201236 | Semiconductor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11201237 | Semiconductor with unified transistor structure and voltage regulator diode | ROHM CO., LTD. |
11201238 | Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11201239 | Semiconductor device including saturation current suppression layer | DENSO CORPORATION |
11201240 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11201241 | Vertical field effect transistor and method of manufacturing a vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201242 | Structure to enable titanium contact liner on pFET source/drain regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201243 | Nanowire stack GAA device and methods for producing the same | -- |
11201244 | FinFET resistive switching device having interstitial charged particles for memory and computational applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201245 | Method and apparatus improving gate oxide reliability by controlling accumulated charge | PSEMI CORPORATION |
11201246 | Field-effect transistor structure and fabrication method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201247 | LTPS type TFT and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201248 | Thin-film transistor including oxide semiconductor layer, method of manufacturing the same, and display apparatus including the same | LG DISPLAY CO., LTD. |
11201249 | Liquid crystal display device comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11201250 | Schottky barrier diode and method for manufacturing the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11201251 | High speed photo detectors with reduced aperture metal contact and method therefor | OEPIC SEMICONDUCTORS, INC. |
11201252 | Solar cell module | LG ELECTRONICS INC. |
11201253 | High photovoltaic-conversion efficiency solar cell, method for manufacturing the same, solar cell module, and photovoltaic power generation system | SHIN-ETSU CHEMICAL CO., LTD. |
11201254 | (GaMe)<sub>2</sub>O<sub>3 </sub>ternary alloy material, its preparation method and application in solar-blind ultraviolet photodetector | HUBEI UNIVERSITY |
11201255 | Concentrator photovoltaic module, concentrator photovoltaic panel, and concentrator photovoltaic apparatus | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11201256 | Infrared detecting device and infrared detecting system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11201257 | Methods for group V doping of photovoltaic devices | FIRST SOLAR, INC. |
11201258 | Method for manufacturing light emitting device | NICHIA CORPORATION |
11201259 | Enhancement film and lens array of quantum dot display panel | -- |
11201260 | Semiconductor chip of light emitting diode having quantum well layer stacked on N-type gallium nitride layer | XIAMEN CHANGELIGHT CO., LTD. |
11201261 | Deep ultraviolet light emitting element and method of manufacturing the same | DOWA ELECTRONICS MATERIALS CO., LTD. |
11201262 | Light-emitting element | NICHIA CORPORATION |
11201263 | Surface roughening method for light emitting device and light emitting device | ENKRIS SEMICONDUCTOR, INC. |
11201264 | LED with patterned surface features based on emission field patterns | LUMILEDS LLC |
11201265 | Micro light emitting devices | LUMILEDS LLC |
11201266 | Light-emitting device | NICHIA CORPORATION |
11201267 | Photoresist patterning process supporting two step phosphor-deposition to form an LED matrix array | LUMILEDS LLC |
11201268 | Light source circuit unit, illuminator, and display | SATURN LICENSING LLC |
11201269 | Backlight including light emitting module and light reflective members | NICHIA CORPORATION |
11201270 | Method for increasing the light output of microLED devices using quantum dots | NANOSYS, INC. |
11201271 | Method for manufacturing light emitting device including first and second reflectors | NICHIA CORPORATION |
11201272 | Optical device package | POINT ENGINEERING CO., LTD. |
11201273 | Semiconductor-superconductor heterostructure | MICROSOFT TECHNOLOGY LICENSING, LLC |
11201274 | Entangled microwave-photon-pair generator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201275 | Superconducting stress-engineered micro-fabricated springs | PALO ALTO RESEARCH CENTER INCORPORATED |
11201276 | Switch cell device | CERFE LABS, INC. |
11201277 | Qubit hardware for electrons on helium | -- |
11201278 | Josephson Junction using molecular beam epitaxy | AMBATURE, INC. |
11201279 | Piezoelectric material, piezoelectric element, vibration wave motor, optical apparatus, and electronic apparatus | CANON KABUSHIKI KAISHA |
11201280 | Bottom leads chemical mechanical planarization for TMR magnetic sensors | WESTERN DIGITAL TECHNOLOGIES, INC. |
11201281 | Method for forming a flat bottom electrode via (BEVA) top surface for memory | -- |
11201282 | Magnetic memory structure and device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11201283 | Scaled nanotube electrode for low power multistage atomic switch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201284 | Magnesium ion based synaptic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201285 | Techniques for dopant implantation and multilayer oxides for resistive switching devices | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11201286 | Resistive memory cell | MICRON TECHNOLOGY, INC. |
11201287 | Preparing method of quantum dot film | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201288 | Generalized organic vapor jet depositor capable of high resolution printing and method for OVJP printing | UNIVERSAL DISPLAY CORPORATION |
11201289 | Thermally activated delayed fluorescence polymeric material and preparing method of same | WUHAN CHINA STAR OPTOELECTRONIES SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD. |
11201290 | Tetraphenylene anthracene compounds | BEIJING SUMMER SPROUT TECHNOLOGY CO., LTD. |
11201291 | Organic molecules having two non-conjugated bridges between a donor and an acceptor for effective thermally activated delayed fluorescence for use in optoelectronic devices | SICHUAN KNOWLEDGE EXPRESS INSTITUTE FOR INNOVATIVE TECHNOLOGIES CO., LTD |
11201293 | Heterocyclic compound and organic light-emitting device comprising same | LG CHEM, LTD. |
11201294 | Photoelectric conversion element, optical sensor, imaging element, and compound | FUJIFILM CORPORATION |
11201295 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11201296 | Compounds having fluorene structures | MERCK PATENT GMBH |
11201297 | Organic electroluminescence device and electronic device | IDEMITSU KOSAN CO., LTD. |
11201298 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11201299 | Organic electroluminescent materials and devices | UNIVERSAL DISPLAY CORPORATION |
11201300 | Condensed cyclic compound and organic light-emitting device including condensed cyclic compound | SAMSUNG ELECTRONICS CO., LTD. |
11201301 | Base support plate and method of manufacturing the same, and method of manufacturing a flexible display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11201302 | Flexible display panel, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11201303 | Flexible display panel, method for manufacturing flexible display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD |
11201305 | Display panel and method of manufacturing the same | HKC CORPORATION LIMITED |
11201306 | Active OLED display, method for preparing an active OLED display and compound | NOVALED GMBH |
11201307 | Display panel and electronic apparatus including the same | SAMSUNG DISPLAY CO., LTD. |
11201308 | Light-emitting device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11201309 | Display unit and electronic apparatus including a divided electrode | JOLED INC. |
11201310 | Optoelectronic assembly and method for producing an optoelectronic assembly | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
11201311 | Organic light emitting display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11201312 | Organic light-emitting display panel and encapsulation film each having auxiliary encapsulation layer doped with water absorbing material and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201313 | Enhanced outcoupling from surface plasmon modes in corrugated OLEDs | UNIVERSAL DISPLAY CORPORATION |
11201314 | Low onset gain saturation OLED | -- |
11201315 | Electro-optical device with a luminance adjustment layer | SEIKO EPSON CORPORATION |
11201316 | Display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201317 | Method of peeling mother protective film and method of manufacturing organic light-emitting display apparatus using the same | SAMSUNG DISPLAY CO., LTD. |
11201451 | Porous distributed Bragg reflectors for laser applications | IQE PLC |
11201494 | Systems and methods to provide enhanced diode bypass paths | TIGO ENERGY, INC. |
11201571 | Method of manufacturing an oscillator | CANON KABUSHIKI KAISHA |
11201582 | Tracking type portable thin-film solar power generating device | -- |
11201585 | Versatile flexible circuit interconnection for flexible solar modules | UTICA LEASECO, LLC |
11201608 | Superconducting latch system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11203040 | Piezoelectric driving device, driving method of piezoelectric driving device, and robot | SEIKO EPSON CORPORATION |
11203180 | Gas barrier film and method for producing gas barrier film | FUJIFILM CORPORATION |
11203181 | Barrier film-containing format and the use thereof for pre-applied underfill film for 3D TSV packages | HENKEL AG & CO. KGAA |
11203207 | Techniques for manufacturing thin films with improved homogeneity and print speed | KATEEVA, INC. |
11203526 | Reprogrammable quantum processor architecture incorporating quantum error correction | EQUAL1.LABS INC. |
11203584 | Nitrogen-containing compound, electronic element and electronic device | SHAANXI LIGHTE OPTOELECTRONICS MATERIAL CO., LTD. |
11203607 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
11203675 | Machines and processes for producing polymer films and films produced thereby | PURDUE RESEARCH FOUNDATION |
11203713 | Phosphor, light-emitting device, image display device, and illumination device | MITSUBISHI CHEMICAL CORPORATION |
11203715 | Quantum dot composite material, preparation method, and semiconductor device | TCL TECHNOLOGY GROUP CORPORATION |
11203716 | Compound, polymerizable compound, mixture, polymerizable liquid crystal composition, polymer, optical film, optically anisotropic product, polarizing plate, flat panel display device, organic electroluminescence display device, and anti-reflection film | ZEON CORPORATION |
11203808 | Mask plate | BOE TECHNOLOGY GROUP CO., LTD. |
11203817 | One-step in situ solution growth for lead halide perovskite | UCHICAGO ARGONNE, LLC |
11204313 | Throughput-scalable analytical system using transmembrane pore sensors | GENESENSE TECHNOLOGY INC. |
11204397 | Hall probe | LUXEMBOURG INSTITUTE OF SCIENCE AND TECHNOLOGY (LIST) |
11204518 | Display panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11204539 | Color error corrected segmented LED array | LUMILEDS LLC |
11204540 | Diffractive waveguide providing a retinal image | DIGILENS INC. |
11205062 | Display device and method of driving the same | SAMSUNG DISPLAY CO., LTD. |
11205461 | Memory device comprising first through fourth transistors | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205466 | Semiconductor device and semiconductor logic device | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11205478 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11205570 | Method for manufacturing single-grained nanowire and method for manufacturing semiconductor device employing same single-grained nanowire | -- |
11205573 | Ge-containing Co-film forming material, Ge-containing Co film and film forming method thereof | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11205578 | Dopant anneal with stabilization step for IC with matched devices | TEXAS INSTRUMENTS INCORPORATED |
11205594 | Fin spacer protected source and drain regions in FinFETs | -- |
11205595 | Methods of fabricating semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11205596 | Method of FinFET contact formation | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205597 | Semiconductor device and method | -- |
11205598 | Double sided NMOS/PMOS structure and methods of forming the same | -- |
11205641 | Optoelectronic component | OSRAM OLED GMBH |
11205645 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11205647 | Semiconductor device and method of manufacture | -- |
11205648 | IC structure with single active region having different doping profile than set of active regions | GLOBALFOUNDRIES U.S. INC. |
11205649 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205650 | Input/output semiconductor devices | -- |
11205651 | Memory structure and method for manufacturing the same | -- |
11205653 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11205655 | Method for manufacturing semiconductor device including fin-structured transistor | RENESAS ELECTRONICS CORPORATION |
11205657 | Semiconductor constructions | MICRON TECHNOLOGY, INC. |
11205658 | Three-dimensional memory device with corrosion-resistant composite spacer | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205661 | Three-dimensional memory devices with enlarged joint critical dimension and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205664 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205666 | Array substrate and display panel | -- |
11205667 | Method of preparing thin film transistor substrate | HEFEI BOE OPTOELECTRONICS TECHNOLOGY, CO., LTD. |
11205668 | Light receiving device, method of manufacturing light receiving device, imaging device, and electronic apparatus | SONY CORPORATION |
11205669 | Semiconductor device including photoelectric conversion element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205673 | Image sensor and image sensing-enabled display apparatus including the same, and method of making image sensor | SHANGHAI HARVEST INTELLIGENCE TECHNOLOGY CO., LTD. |
11205677 | Micro-LED device, display apparatus and method for manufacturing a micro-LED device | GOERTEK INC. |
11205678 | Embedded MRAM device with top via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205679 | Magnetic memory device including a free layer and a pinned layer | SAMSUNG ELECTRONICS CO., LTD. |
11205680 | Non-volatile random access memory (NVRAM) | NXP USA, INC. |
11205681 | Memory for embedded applications | MICRON TECHNOLOGY, INC. |
11205682 | Memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11205683 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11205685 | Electro-luminescent display device and method of fabricating the same | LG DISPLAY CO., LTD. |
11205686 | Display substrate, preparation method of display substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11205688 | Display module having a circuit insulating layer | SAMSUNG DISPLAY CO., LTD. |
11205689 | Light emitting display apparatus | CANON KABUSHIKI KAISHA |
11205690 | Display panel and electronic device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205692 | Display device and method for manufacturing the same | MIKUNI ELECTRON CORPORATION |
11205693 | Fan-out wiring structure of display panel and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205694 | Organic light-emitting display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11205695 | Method of fabricating a thick oxide feature on a semiconductor wafer | TEXAS INSTRUMENTS INCORPORATED |
11205696 | High dielectric constant material at locations of high fields | SKYWORKS SOLUTIONS, INC. |
11205697 | Shallow trench isolating structure and semiconductor device | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11205698 | Multiple work function nanosheet transistors with inner spacer modulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205699 | Epitaxial semiconductor material regions for transistor devices and methods of forming same | GLOBALFOUNDRIES U.S. INC. |
11205700 | Air gap spacer and related methods | -- |
11205701 | Transistors with sectioned extension regions | GLOBALFOUNDRIES U.S. INC. |
11205702 | Method for manufacturing a structure for forming a tridimensional monolithic integrated circuit | SOITEC |
11205703 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205704 | Semiconductor device and production method therefor | MITSUBISHI ELECTRIC CORPORATION |
11205705 | Metal gate structure and method of fabricating the same | -- |
11205706 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11205707 | Optimizing gate profile for performance and gate fill | INTEL CORPORATION |
11205708 | Dual self-aligned gate endcap (SAGE) architectures | INTEL CORPORATION |
11205709 | Defect filling in patterned layer | -- |
11205710 | Fabricating method of semiconductor structure | -- |
11205711 | Selective inner spacer implementations | -- |
11205712 | Methods of manufacturing low-temperature polysilicon thin film and transistor | HKC CORPORATION LIMITED |
11205713 | FinFET having a non-faceted top surface portion for a source/drain region | -- |
11205714 | Dummy structure at fin cut | -- |
11205715 | Self-aligned nanowire | INTEL CORPORATION |
11205716 | Method for forming a heterojunction bipolar transistor and a heterojunction bipolar transistor device | IMEC VZW |
11205717 | Epitaxially fabricated heterojunction bipolar transistors | INTEL CORPORATION |
11205718 | High performance super-beta NPN (SBNPN) | TEXAS INSTRUMENTS INCORPORATED |
11205719 | Insulated-gate semiconductor device | FUJI ELECTRIC CO., LTD. |
11205720 | Semiconductor device with contact plugs | ROHM CO., LTD. |
11205721 | Semiconductor device with isolation layer and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205722 | Lateral DMOS having reduced lateral size | CHENGDU MONOLITHIC POWER SYSTEMS CO., LTD. |
11205723 | Selective source/drain recess for improved performance, isolation, and scaling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205724 | Self-aligned gate hard mask and method forming same | -- |
11205725 | Buffer structure, display panel, and manufacturing method of buffer structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205726 | Thin film transistor and manufacturing method thereof, gate driving circuit, display substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205727 | Array substrate and display panel | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205728 | Vertical field effect transistor with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205729 | Semiconductor device and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11205731 | Light source package structure | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11205732 | Multi-junction solar cell | KABUSHIKI KAISHA TOSHIBA |
11205733 | Semi-transparent solar panel apparatus | -- |
11205734 | Multijunction solar cells with graded buffer Bragg reflectors | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11205735 | Low temperature p-i-n hybrid mesoporous optoelectronic device | UNIVERSIDAD DE ANTIOQUIA |
11205737 | Photomemcapacitor and method for the production thereof | KING ABDULAZIZ UNIVERSITY |
11205738 | Back plate and method for fluid-assisted assembly of micro-LEDs thereon | -- |
11205739 | Semiconductor light-emitting device and method of manufacturing the same | DOWA ELECTRONICS MATERIALS CO., LTD. |
11205740 | Light emitting device package and lighting device including same | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11205741 | Method for forming a composite having semiconductor structures including a nanocrystalline core and shell embedded in a matrix | OSRAM OPTO SEMICONDUCTORS GMBH |
11205742 | Luminescent material and light emitting device and display device using the same | -- |
11205743 | High luminance light emitting device and method for creating a high luminance light emitting device | LUMILEDS LLC |
11205744 | Light emitting device | NICHIA CORPORATION |
11205745 | Light emitting device | NICHIA CORPORATION |
11205746 | Heat conversion apparatus | LG INNOTEK CO., LTD. |
11205747 | Piezoelectric transducer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11205748 | 3-contact vertical hall sensor elements connected in a ring and related devices, systems, and methods | INFINEON TECHNOLOGIES AG |
11205749 | Spintronic devices, duplexers, transceivers and telecommunication devices | INTEL CORPORATION |
11205750 | 1S1R memory integrated structure with larger selector surface area which can effectively suppress leakage current in the cross array without increasing the overall size of the integrated structure and method for fabricating the same | INSTITUTE OF MICROELECTRONICS CHINESE ACADEMY OF SCIENCES |
11205751 | Nozzle design for organic vapor jet printing | UNIVERSAL DISPLAY CORPORATION |
11205752 | Mask frame and method for manufacturing the same, mask assembly for evaporation and evaporation apparatus | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11205753 | Use of sequential processing for highly efficient organic solar cells based on conjugated polymers with temperature dependent aggregation | THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11205754 | Formation of carbon nanotube-containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205755 | Compound for organic electronic element, organic electronic element using same, and electronic device thereof | DUK SAN NEOLUX CO., LTD. |
11205756 | Green light thermally activated delayed fluorescence (TADF) material and application thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205757 | Core-shell structured perovskite particle light-emitter, method of preparing the same and light emitting device using the same | SN DISPLAY CO., LTD. |
11205758 | Organometallic compound, organic light-emitting device including the organometallic compound, and diagnostic composition including the organometallic compound | SAMSUNG ELECTRONICS CO., LTD. |
11205759 | Display apparatus including an anti-crack projection | SAMSUNG DISPLAY CO., LTD. |
11205760 | Display device having opening surrounding display region | JAPAN DISPLAY INC. |
11205761 | Light emitting device and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11205762 | Display substrate with microprism structure and manufacturing method therefor | BOE TECHNOLOGY GROUP CO., LTD. |
11205763 | Organic electroluminescent device and an organic electroluminescent apparatus | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11205765 | OLED display panel and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205766 | Display device | SAMSUNG DISPLAY CO., LTD. |
11205767 | Silicon-based display panel, forming method thereof, and photomask assembly for exposure process of silicon-based display panel | SEEYA OPTRONICS CO., LTD. |
11205768 | Method for manufacturing of display device | SAMSUNG DISPLAY CO., LTD. |
11205769 | Method of manufacturing a display panel with a sacrificial protective film | SAMSUNG DISPLAY CO., LTD. |
11205770 | Lift-off method and apparatus for manufacturing flexible light emitting device | SAKAI DISPLAY PRODUCTS CORPORATION |
11205974 | Piezoelectric driving device, piezoelectric motor, robot, electronic component conveyance apparatus, printer, and projector | SEIKO EPSON CORPORATION |
11205991 | Photovoltaic module for roofs | LUMETA HOLDINGS, LLC |
11205993 | Versatile flexible circuit interconnection for connecting two flexible solar cells | UTICA LEASECO, LLC |
11205994 | Solar photovoltaic installation | CHURAECONET LLC |
11206259 | Authentication by transmitting information through magnetic fields | ADVANCED NEW TECHNOLOGIES CO., LTD. |
11206734 | Electronic device and wiring structure thereof | -- |
11206740 | High voltage power module | CREE FAYETTEVILLE, INC. |
11207705 | Mask and method of manufacturing mask assembly including the same | SAMSUNG DISPLAY CO., LTD. |
11207888 | Liquid discharge head including supply and discharge channels, liquid discharge device, and liquid discharge apparatus | RICOH COMPANY, LTD. |
11208368 | Double-spiro type compound and organic light-emitting device containing same | LG CHEM, LTD. |
11208401 | Materials for organic electroluminescent devices | MERCK PATENT GMBH |
11208402 | Heterocyclic compound and organic light emitting device comprising the same | LG CHEM, LTD. |
11208406 | Disubstituted diaryloxybenzoheterodiazole compounds | ENI S.P.A. |
11208532 | Addition-curable silicone resin composition and a semiconductor device | SHIN-ETSU CHEMICAL CO., LTD. |
11208593 | Luminescent materials | LUMILEDS HOLDING B.V. |
11208719 | SiC composite substrate and method for manufacturing same | SHIN-ETSU CHEMICAL CO., LTD. |
11209129 | Light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11209272 | Circuit device, physical quantity measurement device, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11209308 | Semiconductor light detection device and method of detecting light of specific wavelength | ABLIC INC. |
11209318 | Radiation detector including field effect transistor in resonant cavity nanostructure | TIMBRE TECHNOLOGIES, INC. |
11209323 | Sensor package with reduced height cavity walls and sensor package module including the same | HAESUNG DS CO., LTD. |
11209395 | Baw sensing and filtration device and related methods | QORVO US, INC. |
11209438 | Fluorescent methods and materials for directed biomarker signal amplification | BECTON, DICKINSON AND COMPANY |
11209504 | Magneto-resistive effect element | TDK CORPORATION |
11209505 | Large field range TMR sensor using free layer exchange pinning | WESTERN DIGITAL TECHNOLOGIES, INC. |
11209544 | Accurate photo detector measurements for LIDAR | OUSTER, INC. |
11209683 | Vehicle display apparatus | WAYMO LLC |
11209688 | Reflective layer obtained by immobilizing cholesteric liquid crystalline phase | FUJIFILM CORPORATION |
11209697 | Backlight unit with phosphors and quantum dots | -- |
11209698 | Display device | SAMSUNG DISPLAY CO., LTD. |
11209702 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11209859 | Organic light emitting diode display panel having double retaining wall structure provided with at least a notch reducing its border width | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11209875 | Adhesive member and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11209925 | Display device | LG DISPLAY CO., LTD. |
11209943 | OLED touch display panel and touch display device | BOE TECHNOLOGY GROUP CO., LTD. |
11210447 | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices | -- |
11210493 | Fingerprint recognition card | SISOUL CO., LTD. |
11210601 | Circuit assembly, a system and a method for cooling quantum electric devices | IQM FINLAND OY |
11210971 | Light emitting diode display with tilted peak emission pattern | CREE HUIZHOU SOLID STATE LIGHTING COMPANY LIMITED |
11210998 | Transparent display device | SYMONICS GMBH |
11211010 | Display panel and driving method thereof, and display device | XIAMEN TIANMA MICRO ELECTRONICS CO., LTD. |
11211117 | Ferrimagnetic/ferromagnetic exchange bilayers for use as a fixed magnetic layer in a superconducting-based memory device | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11211120 | Bit line and word line connection for memory array | -- |
11211121 | Resistive storage electronic device for adjusting voltage depending on temeperature | SK HYNIX INC. |
11211123 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211124 | Multifunctional memory cells | MICRON TECHNOLOGY, INC. |
11211125 | Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating | ZENO SEMICONDUCTOR, INC. |
11211203 | Manufacturing method for capacitor unit by cutting | -- |
11211243 | Method of filling gaps with carbon and nitrogen doped film | -- |
11211248 | SiC electronic device fabricated by Al/Be co-implantation | ABB POWER GRIDS SWITZERLAND AG |
11211249 | Herbal extracts and flavor systems for oral products and methods of making the same | SENSIENT FLAVORS LLC |
11211257 | Semiconductor device fabrication with removal of accumulation of material from sidewall | -- |
11211260 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11211279 | Method for processing a 3D integrated circuit and structure | MONOLITHIC 3D INC. |
11211283 | Method for forming a bulk semiconductor substrate configured to exhibit soi behavior | -- |
11211285 | Method of producing bonded wafer and bonded wafer | SUMCO CORPORATION |
11211286 | Airgap formation processes | APPLIED MATERIALS, INC. |
11211289 | Metal loss prevention using implantation | -- |
11211290 | MIM capacitor with adjustable capacitance via electronic fuses | QUALCOMM INCORPORATED |
11211292 | Assemblies containing PMOS decks vertically-integrated with NMOS decks, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11211293 | FinFET device and methods of forming the same | -- |
11211294 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11211295 | FinFET doping methods and structures thereof | -- |
11211297 | Method for testing bridging in adjacent semiconductor devices and test structure | -- |
11211298 | System and method for a transducer in an EWLB package | INFINEON TECHNOLOGIES AG |
11211303 | Semiconductor device including a passivation structure and manufacturing method | INFINEON TECHNOLOGIES AG |
11211308 | Semiconductor device and manufacturing method thereof | -- |
11211313 | Lead frame array for carrying chips and LED package structure with multiple chips | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11211323 | Method of fabricating field effect transistor having non-orthogonal gate electrode | -- |
11211329 | Power island segmentation for selective bond-out | KIOXIA CORPORATION |
11211330 | Standard cell layout architectures and drawing styles for 5nm and beyond | ADVANCED MICRO DEVICES, INC. |
11211359 | Semiconductor device and method of forming modular 3D semiconductor package with horizontal and vertical oriented substrates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11211364 | Semiconductor device assemblies and systems with improved thermal performance and methods for making the same | MICRON TECHNOLOGY, INC. |
11211365 | Mini LED backlight panel and backlight module | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211366 | Method for manufacturing display device and substrate for manufacturing display device | LG ELECTRONICS INC. |
11211367 | Display panel and method for fabricating the same | -- |
11211368 | Semiconductor device | ROHM CO., LTD. |
11211375 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11211376 | Three-dimensional integrated circuit having ESD protection circuit | -- |
11211380 | Semiconductor structure and manufacturing method thereof | -- |
11211381 | Semiconductor device structure and method for forming the same | -- |
11211383 | Semiconductor device and manufacturing method thereof | -- |
11211384 | Memory cells, arrays of two transistor-one capacitor memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry | MICRON TECHNOLOGY, INC. |
11211385 | Semiconductor device and manufacturing method thereof | -- |
11211387 | Fin-based strap cell structure for improving memory performance | -- |
11211388 | Array boundfary structure to reduce dishing | -- |
11211389 | Memory device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211390 | Staircase patterning for 3D NAND devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211392 | Hole pre-charge scheme using gate induced drain leakage generation | SANDISK TECHNOLOGIES LLC |
11211393 | Memory device and forming method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211400 | Three-dimensional flash memory device with increased storage density | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211401 | Memory device and method for fabricating the same | -- |
11211406 | Semiconductor device and method for controlling semiconductor device | RENESAS ELECTRONICS CORPORATION |
11211408 | Wiring layer and manufacturing method therefor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211409 | Solid-state imaging device to improve photoelectric efficiency | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211413 | Imaging element, imaging device, and manufacturing apparatus and method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211416 | Photoelectric conversion apparatus having light shielding portions above semiconductor layer on back surface side and equipment | CANON KABUSHIKI KAISHA |
11211420 | Image sensors and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11211421 | Sensor comprising gate modulation with inductor to form a resonant circuit | OMNIVISION TECHNOLOGIES, INC. |
11211424 | Display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211425 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11211426 | Tunnel junction selector MRAM | -- |
11211427 | Switching element, variable resistance memory device, and method of manufacturing the switching element | SAMSUNG ELECTRONICS CO., LTD. |
11211428 | Integrated circuit including transistors having a common base | STMICROELECTRONICS (ROUSSET) SAS |
11211429 | Vertical intercalation device for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211430 | Display panel for improving display effect in low-resolution area, manufacturing method thereof, and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211432 | Light emitting device and display apparatus including the light emitting device | SAMSUNG ELECTRONICS CO., LTD. |
11211434 | Organic light emitting diode display panel and manufacturing method thereof, and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211435 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11211436 | Display device | JAPAN DISPLAY INC. |
11211437 | Method of manufacturing organic EL display panel, organic EL display panel, and organic EL display device | JOLED INC. |
11211438 | Electroluminescent display apparatus | LG DISPLAY CO., LTD. |
11211440 | Display device, method of manufacturing display device, and electronic apparatus with contact electrode | SONY GROUP CORPORATION |
11211441 | Organic light emitting display device and method of manufacturing organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
11211442 | Array substrate, with shielding layer, method for fabricating array substrate with shielding layer, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11211443 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11211444 | Display apparatus | LG DISPLAY CO., LTD. |
11211445 | Foldable display panel | -- |
11211447 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211448 | Capacitor structure with MIM layer over metal pillars | GLOBALFOUNDRIES U.S. INC. |
11211449 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11211450 | Integrated circuit device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11211451 | Stretchable electronic structures and techniques for the formation thereof | NORTHEASTERN UNIVERSITY |
11211452 | Transistor having stacked source/drain regions with formation assistance regions and multi-region wrap-around source/drain contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211453 | FinFET with shorter fin height in drain region than source region and related method | GLOBALFOUNDRIES U.S. INC. |
11211454 | Semiconductor devices including source/drain regions having antimony doped layer | SAMSUNG ELECTRONICS CO., LTD. |
11211455 | Formation of dislocations in source and drain regions of FinFET devices | -- |
11211456 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11211457 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211458 | Photocatalytic device based on rare-earth elements: methods of manufacture and use | -- |
11211459 | Semiconductor device and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11211460 | 2D crystal hetero-structures and manufacturing methods thereof | -- |
11211461 | Semiconductor device and memory device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211462 | Using selectively formed cap layers to form self-aligned contacts to source/drain regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211463 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11211464 | Normally-off nitride semiconductor transistor device | -- |
11211465 | Semiconductor device having gate dielectric and inhibitor film over gate dielectric | -- |
11211466 | Semiconductor device having an air gap and method for fabricating the same | SK HYNIX INC. |
11211467 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211468 | Silicon carbide device with trench gate structure and method of manufacturing | INFINEON TECHNOLOGIES AG |
11211469 | Third generation flash memory structure with self-aligned contact and methods for forming the same | -- |
11211470 | Semiconductor device and method | -- |
11211471 | Method of manufacturing a semiconductor device | -- |
11211472 | Semiconductor device and method of forming the same | -- |
11211473 | Epitaxial fin structures having an epitaxial buffer region and an epitaxial capping region | -- |
11211474 | Gate oxide for nanosheet transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211475 | Semiconductor device and formation method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211476 | FinFET device and method of forming same | -- |
11211477 | FinFETs having epitaxial capping layer on fin and methods for forming the same | -- |
11211478 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11211479 | Method of fabricating trimmed fin and fin structure | -- |
11211480 | Heterojunction bipolar transistor | -- |
11211481 | III-V semiconductor device | CAMBRIDGE GAN DEVICES LIMITED |
11211482 | AND gate based on ballistic electrons | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11211483 | Method for forming an insulation layer in a semiconductor body and transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11211484 | Vertical transistor structure with buried channel and resurf regions and method of manufacturing the same | MONOLITHIC POWER SYSTEMS, INC. |
11211485 | Trench power transistor | SUZHOU ORIENTAL SEMICONDUCTOR CO., LTD. |
11211486 | Power MOS device with low gate charge and a method for manufacturing the same | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11211487 | Transistors, memory structures and memory arrays containing two-dimensional materials between a source/drain region and a channel region | MICRON TECHNOLOGY, INC. |
11211488 | Method for producing a pillar-shaped semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
11211489 | Low resistance field-effect transistors and methods of manufacturing the same | INTEL CORPORATION |
11211490 | FinFETs having step sided contact plugs and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11211491 | Semiconductor memory structure having drain stressor, source stressor and buried gate and method of manufacturing the same | -- |
11211492 | Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga | -- |
11211493 | Apparatus and method of modulating threshold voltage for fin field effect transistor (FinFET) and nanosheet FET | SAMSUNG ELECTRONICS CO., LTD. |
11211494 | FinFET transistor | SAMSUNG ELECTRONICS CO., LTD. |
11211495 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11211496 | FinFET device and method of forming | -- |
11211497 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211498 | FinFETs with wrap-around silicide and method forming the same | -- |
11211499 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211500 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211501 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211502 | Transistor and manufacturing method thereof, transistor device, display substrate and apparatus | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11211503 | Memory arrays | MICRON TECHNOLOGY, INC. |
11211504 | Solar cell | LG ELECTRONICS INC. |
11211505 | Indium phosphide wafer, photoelectric conversion element, and method for producing a monocrystalline indium phosphide | JX NIPPON MINING & METALS CORPORATION |
11211506 | Self-bypass diode function for gallium arsenide photovoltaic devices | UTICA LEASECO, LLC |
11211507 | Method for manufacturing interconnected solar cells and such interconnected solar cells | STICHTING ENERGIEONDERZOEK CENTRUM NEDERLAND |
11211508 | Thin-film solar module with improved shunt resistance | (CNBM) BENGBU DESIGN & RESEARCH INSTITUTE FOR GLASS INDUSTRY CO., LTD |
11211509 | Metamorphic layers in multijunction solar cells | SOLAERO TECHNOLOGIES CORP. |
11211510 | Multijunction solar cell with bonded transparent conductive interlayer | THE BOEING COMPANY |
11211511 | Multijunction metamorphic solar cell for space applications | SOLAERO TECHNOOGIES CORP. |
11211512 | Semiconductor component having a highly doped quantum structure emitter | AE 111 AUTARKE ENERGIE GMBH |
11211513 | Optical sensor and detector for an optical detection | TRINAMIX GMBH |
11211514 | Short wavelength infrared optoelectronic devices having graded or stepped dilute nitride active regions | ARRAY PHOTONICS, INC. |
11211515 | Edge-mountable semiconductor chip package | APPLE INC. |
11211516 | Stack-like III-V semiconductor product and production method | AZUR SPACE SOLAR POWER GMBH |
11211517 | Pressurized heated rolling press for manufacture and method of use | UTICA LEASECO, LLC |
11211518 | Tiled solar module repair process | SOLARIA CORPORATION |
11211519 | Method for manufacturing solar cell | KANEKA CORPORATION |
11211520 | Fluidic assembly using tunable suspension flow | ELUX INC. |
11211521 | Method of manufacturing light-emitting device including phosphor pieces | NICHIA CORPORATION |
11211522 | Method of selectively transferring semiconductor device | -- |
11211523 | Method for manufacturing optical semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11211524 | Method of producing an optoelectronic component | OSRAM OLED GMBH |
11211525 | Tunnel junction ultraviolet light emitting diodes with enhanced light extraction efficiency | OHIO STATE INNOVATION FOUNDATION |
11211526 | Semiconductor light-emitting element | TOSHIBA MATERIALS CO., LTD. |
11211527 | Light emitting diode (LED) devices with high density textures | LUMILEDS LLC |
11211528 | Light emitting device for display and display apparatus having the same | SEOUL VIOSYS CO., LTD. |
11211529 | Semiconductor light-emitting element and method for manufacturing same | TOSHIBA MATERIALS CO., LTD. |
11211530 | Light source and illumination device including the light source | OPPLE LIGHTING CO., LTD. |
11211531 | Light-emitting device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11211532 | Light emitting device | NICHIA CORPORATION |
11211533 | Optoelectronic component and display device | OSRAM OLED GMBH |
11211534 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11211535 | Method for fabricating micro light-emitting diode display | -- |
11211536 | Semiconductor device package and a method of manufacturing the same | -- |
11211537 | Vertical light emitting devices with nickel silicide bonding and methods of manufacturing | MICRON TECHNOLOGY, INC. |
11211538 | Thermal management system for electrically-powered devices | -- |
11211539 | Thermoelectric conversion element and thermoelectric conversion module | -- |
11211540 | Thermoelectric generator sleeve | -- |
11211541 | Superconducting element, particle detection device, and particle detection method | TOSHIBA MEMORY CORPORATION |
11211542 | Cryogenic refrigeration for low temperature devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211543 | Semiconductor-superconductor hybrid device and its fabrication | MICROSOFT TECHNOLOGY LICENSING, LLC |
11211544 | Actuator or sensor device based on an electroactive or photoactive polymer | KONINKLIJKE PHILIPS N.V. |
11211545 | Vibration controller | YAMAHA CORPORATION |
11211546 | Parylene coating process for temperature sensitive components | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11211547 | Spin-orbit-torque type magnetization rotating element, spin-orbit-torque type magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11211548 | Spin current magnetization reversal element, element assembly, and method for producing spin current magnetization reversal element | TDK CORPORATION |
11211549 | Integrated circuit and method for manufacturing the same | -- |
11211550 | Magnetic memory devices with magnetic field sensing and shielding | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11211551 | Current sensor package with continuous insulation | INFINEON TECHNOLOGIES AG |
11211552 | Spin-orbit torque magnetoresistance effect element and magnetic memory | TDK CORPORATION |
11211553 | Magnetoresistive devices and methods of fabricating such devices | EVERSPIN TECHNOLOGIES, INC. |
11211554 | Electronic systems including magnetic regions | MICRON TECHNOLOGY, INC. |
11211555 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11211556 | Resistive element for PCM RPU by trench depth patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211557 | Formulations with a low content of phenol type impurities | MERCK PATENT GMBH |
11211558 | Deposition mask device and method of manufacturing deposition mask device | DAI NIPPON PRINTING CO., LTD. |
11211559 | Materials for controlling the epitaxial growth of photoactive layers in photovoltaic devices | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11211560 | Self-assembly of nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211561 | Display panel and manufacturing method thereof, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11211562 | Method of synthesis for organic semiconducting polymers | PHILLIPS 66 COMPANY |
11211563 | Organic light emitting device | LG CHEM, LTD. |
11211564 | Organic light-emitting device | SAMSUNG DISPLAY CO., LTD. |
11211565 | Condensed-cyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11211566 | Organic light emitting device and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11211567 | Heterocyclic compound and organic light-emitting device including the same | SAMSUNG DISPLAY CO., LTD. |
11211568 | Compound for organic electroluminescence device using the same | -- |
11211569 | Laser printable organic semiconductor compositions and applications thereof | WAKE FOREST UNIVERSITY |
11211570 | Hole transporting material and photovoltaic device that uses it | ENI S.P.A. |
11211571 | Organometallic compound and organic light-emitting device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11211572 | Mask plate and manufacturing method thereof, flexible substrate stripping apparatus and flexible substrate stripping method | BOE TECHNOLOGY GROUP CO., LTD. |
11211573 | Manufacturing methods for flexible display screens and composite substrates for flexible display screens | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11211574 | Light emitting device and fabrication method thereof, and electronic apparatus | FUZHOU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211575 | Organic light emitting diode | SAMSUNG DISPLAY CO., LTD. |
11211576 | Organic light emitting device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11211577 | Organic light emitting diode device, manufacturing method thereof, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11211578 | Display device | LG DISPLAY CO., LTD. |
11211579 | Substrate sealing structure body, and, display device and production method therefor | SHARP KABUSHIKI KAISHA |
11211580 | Display device and method for manufacturing the same | JAPAN DISPLAY INC. |
11211581 | Display panel, manufacturing method thereof, and display device having multiple spacers | BOE TECHNOLOGY GROUP CO., LTD. |
11211582 | Organic light-emitting display apparatus with protection layer surrounding the pixel electrode | SAMSUNG DISPLAY CO., LTD. |
11211583 | Encapsulation structure, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11211584 | Electronic panel and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11211585 | Flexible substrate and flexible organic light emitting diode device | LG DISPLAY CO., LTD. |
11211586 | Flexible display device including window substrate, and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11211587 | Organic light-emitting diode display with structured electrode | APPLE INC. |
11211588 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11211589 | Display panel with refractive film layers, manufacturing method thereof, and display apparatus with refractive film layers | BOE TECHNOLOGY GROUP CO., LTD. |
11211590 | Organic light-emitting component having a light-emitting layer as part of a charge generation layer | PICTIVA DISPLAYS INTERNATIONAL LIMITED |
11211591 | Organic light-emitting display device having an encapsulating substrate of high thermal conductivity | LG DISPLAY CO., LTD. |
11211592 | Organic luminescent substrate, preparation method thereof, display apparatus, and display driving method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11211593 | Display apparatus, OLED display panel and method of manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
11211628 | Bismuth calcium ferrites for electrolyte having high oxygen ionic mobility | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11211722 | Superconductor interconnect system | MICROSOFT TECHNOLOGY LICENSING, LLC |
11211768 | Semiconductor optical integrated device | MITSUBISHI ELECTRIC CORPORATION |
11211897 | Photovoltaic module | LG ELECTRONICS INC. |
11211913 | Generation and enhancement of surface acoustic waves on a highly doped p-type III-V semiconductor substrate | THE GEORGE WASHINGTON UNIVERSITY |
11211915 | Elastic wave device | MURATA MANUFACTURING CO., LTD. |
11211918 | Effective coupling coefficients for strained single crystal epitaxial film bulk acoustic resonators | AKOUSTIS, INC. |
11212622 | Piezoelectric assembly, electronic device and assembly process for piezoelectric assembly | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11212901 | Light apparatus | XIAMEN ECO LIGHTING CO. LTD. |
11212947 | Power module with capacitor configured for improved thermal management | EPCOS AG |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 17:16
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社