|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第39个技术领域是半导体零配件,主要包括安装架、密封层、支架、冷却装置、防辐射保护装置等半导体通用零部件。2021年,美国专利商标局在该领域共授权专利6512项(增长率为-10%),占总授权量的2%,是专利数量第49多的领域。
2021年,美国在该领域获得专利权2052项,占该领域专利授权总量的28%。中国在该领域做出专利发明323项,获得专利权339项,流失专利发明-16项。日本和韩国获得的专利权数量分别为1184和748项。
表17.39-1 2021年各国半导体零配件领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 1845 | 2052 | -207 | -11.2% | 28.3% | 31.5% | -3.2% |
2 | 日本 | 1265 | 1184 | 81 | 6.4% | 19.4% | 18.2% | 1.2% |
3 | 韩国 | 794 | 748 | 46 | 5.8% | 12.2% | 11.5% | 0.7% |
4 | 中国 | 323 | 339 | -16 | -5.0% | 5.0% | 5.2% | -0.2% |
5 | 德国 | 204 | 205 | -1 | -0.5% | 3.1% | 3.1% | 0.0% |
6 | 法国 | 81 | 71 | 10 | 12.3% | 1.2% | 1.1% | 0.2% |
7 | 加拿大 | 34 | 20 | 14 | 41.2% | 0.5% | 0.3% | 0.2% |
8 | 英国 | 28 | 28 | 0 | 0.0% | 0.4% | 0.4% | 0.0% |
9 | 瑞士 | 20 | 23 | -3 | -15.0% | 0.3% | 0.4% | 0.0% |
10 | 荷兰 | 22 | 35 | -13 | -59.1% | 0.3% | 0.5% | -0.2% |
11 | 瑞典 | 6 | 8 | -2 | -33.3% | 0.1% | 0.1% | 0.0% |
12 | 以色列 | 36 | 7 | 29 | 80.6% | 0.6% | 0.1% | 0.4% |
13 | 意大利 | 31 | 23 | 8 | 25.8% | 0.5% | 0.4% | 0.1% |
14 | 印度 | 18 | 1 | 17 | 94.4% | 0.3% | 0.0% | 0.3% |
15 | 其他 | 1805 | 1768 | 37 | 2.0% | 27.7% | 27.1% | 0.6% |
小计 | 6512 | 6512 | 0 | 0% | 100% | 100% | 0% |
图17.39-1 2021年各国半导体零配件领域的在美专利发明和专利权数量对比
2021年,在半导体零配件领域上获得美国专利授权最多的机构是台湾积体电路制造公司、三星电子公司、国际商业机器公司。中国专利最多的机构是台湾积体电路制造公司,获得887项专利。
表17.39-2 2021年半导体零配件领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 台湾积体电路制造公司 | 中国 | TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. | 887 | 945 |
2 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 445 | 468 |
3 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 347 | 415 |
4 | 英特尔公司 | 美国 | INTEL CORPORATION | 292 | 291 |
5 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 197 | 217 |
6 | 日月光半导体公司 | 中国 | ADVANCED SEMICONDUCTOR ENGINEERING, INC. | 125 | 89 |
7 | 德州仪器公司 | 美国 | TEXAS INSTRUMENTS INCORPORATED | 116 | 159 |
8 | 三菱电机公司 | 日本 | MITSUBISHI ELECTRIC CORPORATION | 115 | 110 |
9 | 英飞凌科技公司 | 德国 | INFINEON TECHNOLOGIES AG | 104 | 100 |
10 | 东芝存储器株式会社 | 日本 | TOSHIBA MEMORY CORPORATION | 95 | 118 |
注:本表数据按照第一权利人进行统计。
图17.39-2 2021年半导体零配件领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10882258 | Microchip affixing probe and method of use | PHARMASEQ, INC. |
10882280 | Magnetic articles | VIAVI SOLUTIONS INC. |
10882298 | System for adjusting relative positions between components of a bonding apparatus | ASM TECHNOLOGY SINGAPORE PTE LTD |
10882736 | Semiconductor structures provided within a cavity and related design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10882737 | Through silicon interposer wafer and method of manufacturing the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10882738 | Wafer level package for a mems sensor device and corresponding manufacturing process | STMICROELECTRONICS (MALTA) LTD |
10882740 | Wafer-level package with enhanced performance and manufacturing method thereof | QORVO US, INC. |
10882778 | Glass substrate, laminated substrate, laminate, and method for producing semiconductor package | AGC INC. |
10883694 | Method of manufacturing an LED lighting assembly | LUMILEDS LLC |
10883770 | Loop type heat pipe | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10883777 | Shape memory material based thermal coupler/decoupler and method | RAYTHEON COMPANY |
10883953 | Semiconductor device for sensing impedance changes in a medium | TEXAS INSTRUMENTS INCORPORATED |
10884185 | Semiconductor device including vertically integrated optical and electronic devices and comprising a superlattice | ATOMERA INCORPORATED |
10884450 | Clock distribution system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10884560 | Integrated light-emitting pixel arrays based devices by bonding | -- |
10884955 | Stacked and folded above motherboard interposer | MORGAN/WEISS TECHNOLOGIES INC. |
10885296 | Electronic device and fingerprint sensing method | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10885421 | RFID integrated circuits with antenna contacts on multiple surfaces | IMPINJ, INC. |
10885811 | Method of using hand-made circuit board for learning | AIDMICS BIOTECHNOLOGY (HK) CO., LIMITED |
10885946 | Stacked DRAM device and method of manufacture | RAMBUS INC. |
10885971 | Multi-die memory device | RAMBUS INC. |
10885978 | Nonvolatile nanotube switches with reduced switching voltages and currents | NANTERO, INC. |
10886049 | Coiled coupled-line hybrid coupler | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10886119 | Aromatic underlayer | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10886124 | Multi-state device based on ion trapping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886139 | Method of etching one or more of mixed metal and dielectric layers of a semiconductor device | TESCAN BRNO, S.R.O. |
10886145 | Production of a multi-chip component | OSRAM OLED GMBH |
10886146 | Copper foil with carrier, production method for same, production method for coreless support with wiring layer, and production method for printed circuit board | MITSUI MINING & SMELTING CO., LTD. |
10886149 | Semiconductor device package and method of manufacturing the same | -- |
10886152 | Method and system for dual stretching of wafers for isolated segmented chip scale packages | LUMILEDS LLC |
10886153 | Display including an LED element having a pressure sensitive adhesive (PSA) for micro pick and bond assembly of the display | INTEL CORPORATION |
10886166 | Dielectric surface modification in sub-40nm pitch interconnect patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886168 | Surface modified dielectric refill structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886169 | Airgap formation in BEOL interconnect structure using sidewall image transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886170 | Method of forming tungsten film | TOKYO ELECTRON LIMITED |
10886171 | Rlink-on-die interconnect features to enable signaling | INTEL CORPORATION |
10886172 | Methods for wordline separation in 3D-NAND devices | APPLIED MATERIALS, INC. |
10886175 | Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom | INTEL CORPORATION |
10886177 | 3D chip with shared clock distribution network | XCELSIS CORPORATION |
10886178 | Device with highly active acceptor doping and method of production thereof | GLOBALFOUNDRIES INC. |
10886181 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886184 | Test condition determining apparatus and test condition determining method | MITSUBISHI ELECTRIC CORPORATION |
10886186 | Semiconductor package system | INFINEON TECHNOLOGIES AG |
10886187 | Thermal management in integrated circuit using phononic bandgap structure | TEXAS INSTRUMENTS INCORPORATED |
10886188 | Electronic component-incorporating substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10886189 | Semiconductor die with improved ruggedness | CREE, INC. |
10886190 | Devices and methods for heat dissipation of semiconductor integrated circuits | -- |
10886191 | Heat transfer plate | ROBERT BOSCH GMBH |
10886192 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886193 | Thermal interface material | PARKER-HANNIFIN CORPORATION |
10886194 | Radiator component and heat dissipation system for power semiconductor device | SIEMENS AKTIENGESELSCHAFT |
10886195 | Systems and methods for improved through-silicon-vias | INTEL CORPORATION |
10886196 | Semiconductor devices having conductive vias and methods of forming the same | MICRON TECHNOLOGY, INC. |
10886197 | Controlling via critical dimension with a titanium nitride hard mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886198 | Robust electronics mounting device | CREE, INC. |
10886199 | Molded semiconductor package with double-sided cooling | INFINEON TECHNOLOGIES AG |
10886200 | Power module and manufacturing method thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10886201 | Power device having a substrate with metal layers exposed at surfaces of an insulation layer and manufacturing method thereof | -- |
10886202 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10886203 | Packaging structure with recessed outer and inner lead surfaces | ROHM CO., LTD. |
10886204 | Semiconductor device with lead terminals having portions thereof extending obliquely | ROHM CO., LTD. |
10886205 | Terminal structure and semiconductor module | FUJI ELECTRIC CO., LTD. |
10886206 | Lead frame, resin-equipped lead frame, optical semiconductor device, and method for manufacturing lead frame | OHKUCHI MATERIALS CO., LTD. |
10886207 | Semiconductor device | DENSO CORPORATION |
10886208 | Semiconductor device package, electronic assembly and method for manufacturing the same | -- |
10886209 | Multiple-layer, self-equalizing interconnects in package substrates | INTEL CORPORATION |
10886210 | Cover for an electronic device and method of fabrication | STMICROELECTRONICS (GRENOBLE 2) SAS |
10886211 | Wiring board and semiconductor package | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10886212 | Phase-change material (PCM) radio frequency (RF) switches with capacitive couplings between lower portions and upper portions of RF terminals | NEWPORT FAB, LLC |
10886213 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10886214 | Semiconductor device and method for manufacturing same | MICRON TECHNOLOGY, INC. |
10886215 | Interconnect structure and related methods | IMEC VZW |
10886216 | Electric fuse structure for optimizing programming current window of the electric fuse structure and manufacturing method | SHANGHAI HUALI INTEGRATED CIRCUIT MFG. CO., LTD. |
10886217 | Integrated circuit device with back-side interconnection to deep source/drain semiconductor | INTEL CORPORATION |
10886218 | Fabric die to fabric die interconnect for modularized integrated circuit devices | INTEL CORPORATION |
10886219 | Electronic component mounting package | TDK CORPORATION |
10886220 | Semiconductor integrated circuit device | SOCIONEXT INC. |
10886221 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10886222 | Via contact, memory device, and method of forming semiconductor structure | -- |
10886223 | Semiconductor package | -- |
10886224 | Power distribution network using buried power rail | SAMSUNG ELECTRONICS CO., LTD. |
10886225 | BEOL alternative metal interconnects: integration and process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886226 | Conductive contact having staircase barrier layers | -- |
10886227 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10886228 | Improving size and efficiency of dies | INTEL CORPORATION |
10886229 | Controlling warp in semiconductor laminated substrates with conductive material layout and orientation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886230 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886231 | Method of forming RDLS and structure formed thereof | -- |
10886232 | Package structure and fabrication methods | APPLIED MATERIALS, INC. |
10886233 | Semiconductor device package and method of manufacturing the same | -- |
10886234 | Semiconductor device and semiconductor package comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
10886235 | Integrated shield package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10886236 | Interconnect structure | -- |
10886237 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10886238 | Supporting InFO packages to reduce warpage | -- |
10886239 | Customisation of an integrated circuit during the realisation thereof | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886240 | Method for protecting an integrated circuit, and corresponding device | STMICROELECTRONICS (ROUSSET) SAS |
10886241 | Semiconductor package structure | -- |
10886242 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886243 | Fan-out antenna packaging structure and preparation thereof | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10886244 | Collars for under-bump metal structures and associated systems and methods | MICRON TECHNOLOGY, INC. |
10886245 | Semiconductor structure, 3DIC structure and method of fabricating the same | -- |
10886246 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886247 | Power converter | MITSUBISHI ELECTRIC CORPORATION |
10886248 | Laser bonding apparatus, method of bonding semiconductor devices, and method of manufacturing semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886249 | Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization | AMS INTERNATIONAL AG |
10886250 | Structures and methods for low temperature bonding using nanoparticles | INVENSAS CORPORATION |
10886251 | Multi-layered composite bonding materials and power electronics assemblies incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10886252 | Method of bonding semiconductor substrates | IMEC VZW |
10886253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886254 | Flip-chip electronic device with carrier having heat dissipation elements free of solder mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886255 | Die stack structure, semiconductor package having the same and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10886256 | Methods and systems for wafer bonding alignment compensation | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886262 | Light bulb | -- |
10886263 | Stacked semiconductor package assemblies including double sided redistribution layers | -- |
10886264 | Manufacturing method of light-emitting diode package structure | -- |
10886276 | Semiconductor memory device and method of fabricating the same | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
10886277 | Methods of manufacturing devices including a buried gate cell and a bit line structure including a thermal oxide buffer pattern | SAMSUNG ELECTRONICS CO., LTD. |
10886278 | Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10886283 | Compact antifuse element and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
10886284 | Anti-fuse with reduced programming voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886286 | Vertical memory control circuitry located in interconnect layers | INTEL CORPORATION |
10886295 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10886299 | Semiconductor memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10886300 | Semiconductor device | ROHM CO., LTD. |
10886307 | Flexible electronic device | -- |
10886315 | Photosensitive assembly and formation methods thereof, lens module, and electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10886316 | Linear image sensor and method for manufacturing same | HAMAMATSU PHOTONICS K.K. |
10886326 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886330 | Memory device having overlapping magnetic tunnel junctions in compliance with a reference pitch | SPIN MEMORY, INC. |
10886348 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886354 | Display device | SAMSUNG DISPLAY CO., LTD. |
10886357 | Circuit for preventing static electricity and display device having the same | SAMSUNG DISPLAY CO., LTD. |
10886361 | Semiconductor devices including resistor structures | SAMSUNG ELECTRONICS CO., LTD. |
10886378 | Method of forming air-gap spacers and gate contact over active region and the resulting device | GLOBALFOUNDRIES INC. |
10886383 | Replacement gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10886394 | Semiconductor structure | -- |
10886395 | Method for fabricating tunneling field effect transistor having interfacial layer containing nitrogen | -- |
10886404 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10886426 | Method for producing an electronic device and electronic device | OSRAM OLED GMBH |
10886440 | Wavelength converted semiconductor light emitting device | LUMILEDS LLC |
10886465 | Resistive random access memory device | -- |
10886593 | Structure of integrated radio frequency multi-chip package and method of fabricating the same | -- |
10886594 | Packaging structure and packaging method for antenna | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10886606 | Microelectronic devices designed with flexible package substrates with distributed stacked antennas for high frequency communication systems | INTEL CORPORATION |
10886704 | Semiconductor laser and method for producing a semiconductor laser | OSRAM OLED GMBH |
10886730 | Filter having an ESD protection device | MURATA MANUFACTURING CO., LTD. |
10886846 | Power converter with switching control | TEXAS INSTRUMENTS INCORPORATED |
10886862 | Semiconductor device | JTEKT CORPORATION |
10886921 | Multi-chip stacked devices | XILINX, INC. |
10886924 | Logic drive using standard commodity programmable logic IC chips | -- |
10887947 | Transistor implemented heat source | -- |
10887977 | Hybrid component carrier and method for manufacturing the same | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10887978 | Expanding thermal device and system for effecting heat transfer within electronics assemblies | RAYTHEON COMPANY |
10887979 | Low cycle fatigue prevention | HAMILTON SUNDSTRAND CORPORATION |
10887985 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10887994 | Antenna substrate and antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10888000 | Manufacturing method of circuit board and of semiconductor device including the same | -- |
10888003 | Copper foil with carrier, coreless support with wiring layer, and method for producing printed circuit board | MITSUI MINING & SMELTING CO., LTD. |
10888031 | Memory device with memory modules located within liquid coolant chamber | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10888032 | Apparatus for liquid immersion cooling, system for liquid immersion cooling, and method of cooling electronic device | FUJITSU LIMITED |
10888040 | Double-sided module with electromagnetic shielding | QORVO US, INC. |
10888257 | Connectors for making connections between analyte sensors and other devices | ABBOTT DIABETES CARE INC. |
10888929 | Sheet and composite sheet | NITTO DENKO CORPORATION |
10888940 | Systems and methods for saw tooth milling to prevent chip fraud | CAPITAL ONE SERVICES, LLC |
10888941 | Power semiconductor module | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10888957 | Soldering material | SENJU METAL INDUSTRY CO., LTD. |
10888958 | Hybrid high temperature lead-free solder preform | INDIUM CORPORATION |
10889082 | Laminated structure and method for producing the same | SONY CORPORATION |
10889492 | Methods for producing thin-film layers and microsystems having thin-film layers | INFINEON TECHNOLOGIES AG |
10889899 | Ceramic laminate, ceramic insulating substrate, and method for manufacturing ceramic laminate | NIPPON STEEL CORPORATION |
10889900 | Ceramic laminate | NIPPON STEEL CORPORATION |
10890360 | Heat exchanger | SHINWA CONTROLS, CO., LTD. |
10890382 | Heat dissipation device | -- |
10890385 | Apparatus and system for exchanging heat with a fluid | ETALIM INC. |
10890387 | Heat sinks with vibration enhanced heat transfer | UNITED ARAB EMIRATES UNIVERSITY |
10890553 | Sensing device, sensing apparatus and sensing system | -- |
10890809 | Display substrate and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10890813 | Electronic device having a first electronic component and a second electronic component connected by wiring, and manufacturing method therefor | OMRON CORPORATION |
10891402 | Active shield for detecting an intrusion on an integrated circuit | NAGRAVISION S.A. |
10891459 | Fingerprint sensor module and method for manufacturing a fingerprint sensor module | FINGERPRINT CARDS AB |
10892011 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
10892080 | Tunable inductor arrangement, transceiver, method, and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10892087 | On-chip inductors | MARVELL ASIA PTE, LTD. |
10892099 | Fringe capacitor for high resolution ADC | NXP USA, INC. |
10892159 | Semipolar or nonpolar group III-nitride substrates | SAPHLUX, INC. |
10892161 | Enhanced selective deposition process | APPLIED MATERIALS, INC. |
10892163 | Semiconductor device with side wall protection film for bond pad and wiring | ABLIC INC. |
10892169 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10892170 | Fabricating an integrated circuit chip module with stiffening frame and orthogonal heat spreader | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892185 | Semiconductor device including a blocking pattern in an interconnection line | SAMSUNG ELECTRONICS CO., LTD. |
10892187 | Method for creating a fully self-aligned via | MICROMATERIALS LLC |
10892189 | Method for manufacturing a semiconductor device having a dummy section | LAPIS SEMICONDUCTOR CO., LTD. |
10892192 | Non-planar I/O and logic semiconductor devices having different workfunction on common substrate | INTEL CORPORATION |
10892199 | Semiconductor package structure, product and method for manufacturing the same | -- |
10892200 | Semiconductor apparatus and electric power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10892201 | Electronic device comprising a support substrate and an encapsulating cover for an electronic component | STMICROELECTRONICS (GRENOBLE 2) SAS |
10892202 | External gettering method and device | MICRON TECHNOLOGY, INC. |
10892203 | Power semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
10892204 | Electromagnetic wave absorbing heat conductive sheet, method for producing electromagnetic wave absorbing heat conductive sheet, and semiconductor device | DEXERIALS CORPORATION |
10892205 | Package structure and power module using same | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
10892206 | Methods of forming power electronic assemblies using metal inverse opal structures and encapsulated-polymer spheres | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10892207 | Power module | ROBERT BOSCH GMBH |
10892208 | Heat dissipation apparatus and method for power semiconductor devices | BEIJING E. MOTOR ADVANCE CO. LTD. |
10892209 | Semiconductor device with metal die attach to substrate with multi-size cavity | TEXAS INSTRUMENTS INCORPORATED |
10892210 | Package structures | -- |
10892211 | Side-solderable leadless package | SEMTECH CORPORATION |
10892212 | Flat no-lead package with surface mounted structure | STMICROELECTRONICS, INC. |
10892213 | Wiring structure and method for manufacturing the same | -- |
10892214 | Semiconductor chip comprising a multiplicity of external contacts, chip arrangement and method for checking an alignment of a position of a semiconductor chip | INFINEON TECHNOLOGIES AG |
10892215 | Metal on both sides with power distributed through the silicon | INTEL CORPORATION |
10892216 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10892217 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10892218 | Semiconductor device | ROHM CO., LTD. |
10892219 | Molded embedded bridge for enhanced EMIB applications | INTEL CORPORATION |
10892220 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10892221 | Transformer for a circuit in MMIC technology | THALES |
10892222 | Anti-fuse for an integrated circuit (IC) product and method of making such an anti-fuse for an IC product | GLOBALFOUNDRIES INC. |
10892223 | Advanced lithography and self-assembled devices | INTEL CORPORATION |
10892224 | Apparatuses comprising protective material along surfaces of tungsten-containing structures | MICRON TECHNOLOGY, INC. |
10892225 | Die interconnect structures and methods | INTEL CORPORATION |
10892226 | Power semiconductor module | LSIS CO., LTD. |
10892227 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10892228 | Method of manufacturing conductive feature and method of manufacturing package | -- |
10892229 | Media shield with EMI capability for pressure sensor | NXP USA, INC. |
10892230 | Magnetic shielding material with insulator-coated ferromagnetic particles | -- |
10892231 | Electronics package including integrated electromagnetic interference shield and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
10892232 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10892233 | Mitigating moisture-driven degradation of features designed to prevent structural failure of semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892234 | Method for detecting a differential fault analysis attack and a thinning of the substrate in an integrated circuit, and associated integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10892235 | Die seal ring and manufacturing method thereof | -- |
10892236 | Integrated circuit having a periphery of input/output cells | QUALCOMM INCORPORATED |
10892237 | Methods of fabricating high voltage semiconductor devices having improved electric field suppression | GENERAL ELECTRIC COMPANY |
10892238 | Circuit structure and chip package | -- |
10892239 | Bond pad reliability of semiconductor devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10892240 | Semiconductor fabrication apparatus and semiconductor fabrication method | TOSHIBA MEMORY CORPORATION |
10892241 | Substrate device, electronic apparatus, and method for manufacturing substrate device | SONY CORPORATION |
10892242 | Resin composition, conductive copper paste, and semiconductor device | NAMICS CORPORATION |
10892243 | Anisotropic electrically conductive film and connection structure | DEXERIALS CORPORATION |
10892244 | Apparatus and method for securing substrates with varying coefficients of thermal expansion | CEREBRAS SYSTEMS INC. |
10892245 | Semiconductor switching device | ABB POWER GRIDS SWITZERLAND AG |
10892246 | Structures and methods for low temperature bonding using nanoparticles | INVENSAS CORPORATION |
10892247 | Soldering a conductor to an aluminum metallization | INFINEON TECHNOLOGIES AG |
10892248 | Multi-stacked die package with flexible interconnect | INTEL CORPORATION |
10892249 | Carrier and integrated memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892250 | Stacked package structure with encapsulation and redistribution layer and fabricating method thereof | -- |
10892251 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10892252 | Face-to-face mounted IC dies with orthogonal top interconnect layers | XCELSIS CORPORATION |
10892253 | Semiconductor device manufacturing method and semiconductor device | FUJI ELECTRIC CO., LTD. |
10892254 | Defect-tolerant layout and packaging for GaN power devices | -- |
10892257 | Foldable display device | -- |
10892265 | Word line structure and method of manufacturing the same | -- |
10892267 | Three-dimensional memory device containing through-memory-level contact via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10892270 | Semiconductor memory device having an array chip bonded to a circuit chip by a bonding metal | TOSHIBA MEMORY CORPORATION |
10892272 | Semiconductor memory devices including a stress relief region | SAMSUNG ELECTRONICS CO., LTD. |
10892277 | High-κ dielectric layer in three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892283 | Flexible display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10892284 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10892285 | Display panel and manufacturing method thereof | -- |
10892290 | Interconnect layer contact and method for improved packaged integrated circuit reliability | OMNIVISION TECHNOLOGIES, INC. |
10892291 | Bonding pad architecture using capacitive deep trench isolation (CDTI) structures for electrical connection | STMICROELECTRONICS (CROLLES 2) SAS |
10892303 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10892310 | Display device including a passivation layer having an uneven surface | SAMSUNG DISPLAY CO., LTD. |
10892312 | Flexible display device | LG DISPLAY CO., LTD. |
10892316 | High density ball grid array (BGA) package capacitor design | GOOGLE LLC |
10892318 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10892326 | Removal of a bottom-most nanowire from a nanowire device stack | INTEL CORPORATION |
10892333 | Method of making a gallium nitride device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892337 | Backside source/drain replacement for semiconductor devices with metallization on both sides | INTEL CORPORATION |
10892338 | Scaled gate contact and source/drain cap | GLOBALFOUNDRIES INC. |
10892341 | Flash memory with assistant gate and method of fabricating the same | -- |
10892372 | High performance solar cells, arrays and manufacturing processes therefor | MPOWER TECHNOLOGY, INC. |
10892384 | Etched trenches in bond materials for die singulation, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10892391 | Light-emitting device package including a lead frame | SAMSUNG ELECTRONICS CO., LTD. |
10892405 | Hall-effect sensor package with added current path | TEXAS INSTRUMENTS INCORPORATED |
10892439 | Display panel having filler layer and heat dissipation layer and packaging method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10892588 | Electrical connections for wearables and other articles | DUPONT ELECTRONICS, INC. |
10892712 | Stacked-die bulk acoustic wave oscillator package | TEXAS INSTRUMENTS INCORPORATED |
10892748 | Power module | SHARP KABUSHIKI KAISHA |
10893605 | Textured test pads for printed circuit board testing | SEAGATE TECHNOLOGY LLC |
10893610 | Switching device driving unit | MITSUBISHI ELECTRIC CORPORATION |
10894403 | Semiconductor apparatus, liquid discharge head substrate, liquid discharge head, and liquid discharge apparatus | CANON KABUSHIKI KAISHA |
10894848 | Polyarylene resins | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10894935 | Composition for removing silicone resins and method of thinning substrate by using the same | SAMSUNG ELECTRONICS CO., LTD. |
10895262 | Pump module having two impellers in series and a multiple plate housing | -- |
10895538 | Method of preparing sample surface, method of analyzing sample surface, field-enhanced oxidation probe, and scanning probe microscope including field-enhanced oxidation probe | SUMCO CORPORATION |
10895594 | Electrical connector assembly having fan support thereon | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10895680 | Display device and manufacturing method thereof | -- |
10895807 | Cured film and positive photosensitive resin composition | TORAY INDUSTRIES, INC. |
10896720 | Apparatuses and methods for drivers with reduced noise | MICRON TECHNOLOGY, INC. |
10896780 | Resonant LC tank package and method of manufacture | INTEL IP CORPORATION |
10896820 | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process | ASM IP HOLDING B.V. |
10896821 | Asymmetric wafer bow compensation by physical vapor deposition | LAM RESEARCH CORPORATION |
10896826 | Method for fabricating semiconductor device and lead frame | RENESAS ELECTRONICS CORPORATION |
10896844 | Staircase formation in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10896846 | Controlling performance and reliability of conductive regions in a metallization network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896848 | Method of manufacturing a semiconductor device | -- |
10896851 | Vertically stacked transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896860 | Method and curable compound for casting electronic components or component groups | ROBERT BOSCH GMBH |
10896861 | Heterogeneous multi-layer MMIC assembly | RAYTHEON COMPANY |
10896862 | Thermal interface material on package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896863 | Semiconductor device and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10896864 | Power semiconductor chip module | HS ELEKTRONIK SYSTEM GMBH |
10896865 | Power electronics modules including an integrated cooling channel extending through an electrically-conductive substrate | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10896866 | Power module semiconductor device and inverter equipment, and fabrication method of the power module semiconductor device, and metallic mold | ROHM CO., LTD. |
10896867 | Terminal plate and semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10896868 | Electronic device and connector | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10896869 | Method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10896870 | Semiconductor device with air gaps in interlayer insulating layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10896871 | Circuit board, method for manufacturing circuit board, and electronic device | FUJITSU LIMITED |
10896872 | Connecting structure and circuit | NEC CORPORATION |
10896873 | Massive deep trench capacitor die fill for high performance application specific integrated circuit (ASIC) applications | GOOGLE LLC |
10896874 | Interconnects separated by a dielectric region formed using removable sacrificial plugs | GLOBALFOUNDRIES INC. |
10896875 | Forming conductive plugs for memory device | MICRON TECHNOLOGY, INC. |
10896876 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10896877 | System in package with double side mounted board | FLEX LTD. |
10896878 | Integrated circuit saw bow break point | NXP B.V. |
10896879 | Semiconductor package having reflective layer with selective transmittance | SAMSUNG ELECTRONICS CO., LTD. |
10896880 | Semiconductor package with in-package compartmental shielding and fabrication method thereof | -- |
10896881 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10896882 | Electronic package having heat dissipating element and method for fabricating the same | PHOENIX & CORPORATION |
10896883 | Integrated circuit security | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896884 | Semiconductor package and antenna module including the same | SAMSUNG ELECTRONICS CO., LTD. |
10896885 | High-voltage MOSFET structures | POLAR SEMICONDUCTOR, LLC |
10896886 | Semiconductor devices having discretely located passivation material, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10896887 | Stress relieving structure for semiconductor device | INFINEON TECHNOLOGIES AG |
10896888 | Integrated circuit (IC) device including a force mitigation system for reducing under-pad damage caused by wire bond | MICROCHIP TECHNOLOGY INCORPORATED |
10896889 | Multilayer clip structure attached to a chip | JMJ KOREA CO., LTD. |
10896890 | Multi-access memory system and a method to manufacture the system | ALTERA CORPORATION |
10896891 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10896892 | Wire bonding apparatus | FUJI ELECTRIC CO., LTD. |
10896893 | Soldering a conductor to an aluminum metallization | INFINEON TECHNOLOGIES AG |
10896894 | Wafer-level methods of fabricating semiconductor device packages and related packages | MICRON TECHNOLOGY, INC. |
10896896 | Semiconductor device comprising PN junction diode and schottky barrier diode | ROHM CO., LTD. |
10896898 | Edge interconnect self-assembly substrate | INDIANA INTEGRATED CIRCUITS, LLC |
10896900 | Methods and systems for packaging an integrated circuit | MARVELL ASIA PTE, LTD. |
10896901 | Method of manufacturing semiconductor device, and mounting device | SHINKAWA LTD. |
10896909 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10896914 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10896918 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
10896919 | Semiconductor integrated circuit device | RENESAS ELECTRONICS CORPORATION |
10896945 | Display device including concave/convex structure in the inorganic insulation layer | JAPAN DISPLAY INC. |
10896949 | Inductor/transformer with closed ring | QUALCOMM INCORPORATED |
10896963 | Semiconductor device contacts with increased contact area | INTEL CORPORATION |
10896996 | Optical device | ROHM CO., LTD. |
10897093 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10897142 | Half bridge circuit with bootstrap capacitor charging circuit | NAVITAS SEMICONDUCTOR LIMITED |
10897280 | Electronic device including plurality of antenna arrays | SAMSUNG ELECTRONICS CO., LTD. |
10897819 | Display panel, flexible circuit board and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
10897820 | Printed wiring board, printed circuit board, and electronic device | CANON KABUSHIKI KAISHA |
10897821 | Method of making single reflow power pin connections | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10897833 | Hierarchical hydrophilic/hydrophobic micro/nanostructures for pushing the limits of critical heat flux | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
10898946 | Semiconductor-mounting heat dissipation base plate and production method therefor | MITSUBISHI ELECTRIC CORPORATION |
10899067 | Multi-layer stamp | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10899620 | Carbon conductive structure and method of manufacturing the same | FUJITSU LIMITED |
10899949 | Filler-containing film | DEXERIALS CORPORATION |
10900118 | Magnetically enhanced low temperature-high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond like films | IONQUEST CORP. |
10900120 | Passivation against vapor deposition | ASM IP HOLDING B.V. |
10900412 | Electronics assembly having a heat sink and an electrical insulator directly bonded to the heat sink | BORGWARNER INC. |
10900719 | Heat dissipation unit | -- |
10900848 | Articles comprising a resistor comprising core shell liquid metal encapsulates and method of detecting an impact | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10901029 | Chip and chip burning method | HUAWEI TECHNOLOGIES CO., LTD. |
10901324 | Imprint method, imprint apparatus, and article manufacturing method using the same | CANON KABUSHIKI KAISHA |
10901391 | Multi-scanning electron microscopy for wafer alignment | CARL ZEISS SMT GMBH |
10902907 | Output drivers, and related methods, memory devices, and systems | MICRON TECHNOLOGY, INC. |
10902913 | Semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10902917 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
10902918 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10902919 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10902967 | Printed circuit surface finish, method of use, and assemblies made therefrom | -- |
10902973 | Anisotropic conductive film and production method of the same | DEXERIALS CORPORATION |
10903013 | Dielectric powder and multilayer capacitor using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903070 | Asymmetric wafer bow compensation by chemical vapor deposition | LAM RESEARCH CORPORATION |
10903088 | Electronic package and method for fabricating the same | -- |
10903089 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10903090 | Method of singulate a package structure using a light transmitting film on a polymer layer | -- |
10903111 | Semiconductor device with linerless contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903113 | Selective deposition of aluminum and nitrogen containing material | ASM IP HOLDING B.V. |
10903114 | Decoupled via fill | INTEL CORPORATION |
10903115 | Controlling grain boundaries in high aspect-ratio conductive regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903116 | Void-free metallic interconnect structures with self-formed diffusion barrier layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903117 | Fabricating vias with lower resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903118 | Chamferless via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903119 | Semiconductor chip, method of producing a semiconductor chip and apparatus having a plurality of semiconductor chips | OSRAM OLED GMBH |
10903120 | Semiconductor wafer dicing crack prevention using chip peripheral trenches | INFINEON TECHNOLOGIES AUSTRIA AG |
10903121 | Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process | APPLIED MATERIALS, INC. |
10903127 | Film for a package substrate | SAMSUNG ELECTRONICS CO., LTD. |
10903128 | Hermetic package for power semiconductor | MICROSEMI CORPORATION |
10903129 | Electronic device | ROHM CO., LTD. |
10903130 | Semiconductor apparatus and manufacturing method of semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10903131 | Semiconductor packages including bridge die spaced apart from semiconductor die | SK HYNIX INC. |
10903133 | Method of producing an SMD package with top side cooling | INFINEON TECHNOLOGIES AUSTRIA AG |
10903134 | Silicon heat-dissipation package for compact electronic devices | -- |
10903135 | Chip package structure and manufacturing method thereof | HUAWEI TECHNOLOGIES CO., LTD. |
10903136 | Package structure having a plurality of insulating layers | -- |
10903137 | Electrical interconnections with improved compliance due to stress relaxation and method of making | INTEL CORPORATION |
10903138 | Semiconductor device and method of manufacturing the same | DENSO CORPORATION |
10903139 | Superlattice structures for thermoelectric devices | THE JOHNS HOPKINS UNIVERSITY |
10903140 | Bi-directional heatsink dampening force system | CISCO TECHNOLOGY, INC. |
10903141 | Thermal management of RF devices using embedded microjet arrays | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10903142 | Micro through-silicon via for transistor density scaling | INTEL CORPORATION |
10903143 | Semiconductor device | -- |
10903144 | Semiconductor package and manufacturing method thereof | -- |
10903145 | Symmetric input circuitry for IC in two-pin package | MICROCHIP TECHNOLOGY INCORPORATED |
10903146 | Electrode connection structure, lead frame, and method for forming electrode connection structure | WASEDA UNIVERSITY |
10903147 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10903148 | High performance multi-component electronics power module | MICROCHIP TECHNOLOGY INCORPORATED |
10903149 | Semiconductor module, electric vehicle, and power control unit | FUJI ELECTRIC CO., LTD. |
10903150 | Lead frame | OHKUCHI MATERIALS CO., LTD. |
10903151 | Semiconductor device package and method of manufacturing the same | -- |
10903152 | Low loss substrate for high data rate applications | -- |
10903153 | Thinned die stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903154 | Semiconductor device and method of forming cantilevered protrusion on a semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10903155 | Vertical modular stiffeners for stacked multi-device packages | INTEL CORPORATION |
10903156 | Electronic device with stud bumps | TDK CORPORATION |
10903157 | Semiconductor device having a glass substrate core layer | SKC CO., LTD. |
10903158 | Semiconductor arrangement having a circuit board with a patterned metallization layer | INFINEON TECHNOLOGIES AG |
10903159 | Electrical cable | MD ELEKTRONIK GMBH |
10903160 | Housing for an electronic component, in particular a semiconductor chip | POSSEHL ELECTRONICS DEUTSCHLAND GMBH |
10903161 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903162 | Fuse element resistance enhancement by laser anneal and ion implantation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903163 | Trench MOSFET with self-aligned body contact with spacer | VISHAY-SILICONIX, LLC |
10903164 | Bonded assembly including a semiconductor-on-insulator die and methods for making the same | SANDISK TECHNOLOGIES LLC |
10903165 | Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903166 | Integrated circuit packages | INTEL IP CORPORATION |
10903167 | Electronic package, packaging substrate, and methods for fabricating the same | -- |
10903168 | Multi-RDL structure packages and methods of fabricating the same | ADVANCED MICRO DEVICES, INC. |
10903169 | Conductive structure and wiring structure including the same | -- |
10903170 | Substrate having embedded interconnect structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903171 | Semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10903172 | Package with interlocking leads and manufacturing the same | STMICROELECTRONICS, INC. |
10903173 | Pre-conditioned substrate | PALO ALTO RESEARCH CENTER INCORPORATED |
10903174 | Electronic chip | STMICROELECTRONICS (CROLLES 2) SAS |
10903175 | Semiconductor device having features to prevent reverse engineering | -- |
10903176 | Method of forming a photodiode | PALO ALTO RESEARCH CENTER INCORPORATED |
10903177 | Method of manufacturing a semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10903178 | Isolation network for multi-way power divider/combiners | QORVO US, INC. |
10903179 | Semiconductor contact structure having stress buffer layer formed between under bump metal layer and copper pillar | -- |
10903180 | Device including semiconductor chips and method for producing such device | INFINEON TECHNOLOGIES AG |
10903181 | Wafer level fan out semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10903182 | Amplifier die bond pad design and amplifier die arrangement for compact Doherty amplifier modules | NXP USA, INC. |
10903183 | Semiconductor device and method of using leadframe bodies to form openings through encapsulant for vertical interconnect of semiconductor die | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
10903184 | Filler particle position and density manipulation with applications in thermal interface materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903185 | Bonding material and bonding method using same | DOWA ELECTRONICS MATERIALS CO., LTD. |
10903186 | Power electronic assemblies with solder layer and exterior coating, and methods of forming the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10903187 | Selective area heating for 3D chip stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903188 | Selectively cross-linked thermal interface materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903189 | Stack packages including stacked semiconductor dies | SK HYNIX INC. |
10903190 | Semiconductor package using a coreless signal distribution structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10903196 | Semiconductor packages including bridge die | SK HYNIX INC. |
10903197 | Assembly of wafer stacks | AMS SENSORS SINGAPORE PTE. LTD. |
10903198 | Semiconductor package assembly and method for forming the same | -- |
10903200 | Semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
10903208 | Distributed decoupling capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903213 | Integrated circuit devices | SAMSUNG ELECTRONICS CO., LTD. |
10903214 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903222 | Three-dimensional memory device containing a carbon-doped source contact layer and methods for making the same | SANDISK TECHNOLOGIES LLC |
10903223 | Driver placement in memories having stacked memory arrays | MICRON TECHNOLOGY, INC. |
10903227 | Semiconductor devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903228 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10903230 | Three-dimensional memory device containing through-memory-level contact via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10903236 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10903237 | Three-dimensional memory device including stepped connection plates and methods of forming the same | SANDISK TECHNOLOGIES LLC |
10903240 | Integrated circuits (ICs) on a glass substrate | QUALCOMM INCORPORATED |
10903274 | Interconnect landing method for RRAM technology | -- |
10903276 | Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces | MICRON TECHNOLOGY, INC. |
10903302 | Display device having an organic layer between a plurality of signal layers | SAMSUNG DISPLAY CO., LTD. |
10903304 | Semiconductor device and method of forming inductor over insulating material filled trench in substrate | STATS CHIPPAC PTE. LTD. |
10903305 | Ultra high density metal-oxide-metal capacitor | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10903307 | Fabrication of a MIM capacitor structure with via etch control with integrated maskless etch tuning layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903309 | Capacitor | MURATA MANUFACTURING CO., LTD. |
10903314 | Semiconductor device and method for manufacturing the same | -- |
10903316 | Radio frequency switches with air gap structures | GLOBALFOUNDRIES INC. |
10903320 | Transistor with source field plates and non-overlapping gate runner layers | TEXAS INSTRUMENTS INCORPORATED |
10903329 | Contact photolithography-based nanopatterning using photoresist features having re-entrant profiles | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10903343 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10903345 | Power MOSFET with metal filled deep sinker contact for CSP | TEXAS INSTRUMENTS INCORPORATED |
10903359 | Semiconductor device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10903373 | Chip diode and method for manufacturing same | ROHM CO., LTD. |
10903388 | Electronic device comprising electronic chips | STMICROELECTRONICS (GRENOBLE 2) SAS |
10903393 | Transfer template, display substrate, display panel, and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10903406 | Housing comprising a semiconductor body and a method for producing a housing with a semiconductor body | OSRAM OLED GMBH |
10903409 | Thermoelectric generator for semiconductor power module | DENSO INTERNATIONAL AMERICA, INC. |
10903422 | Vertically oriented memory structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903444 | OLED package substrate having a conductor attached to electrode on an array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10903538 | Distributed LC filter structure | MURATA MANUFACTURING CO., LTD. |
10903547 | Electronic package | -- |
10903548 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10903561 | Semiconductor device package and method of manufacturing the same | -- |
10903619 | Semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10903734 | Delivering power to semiconductor loads | VICOR CORPORATION |
10903754 | Power converter | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10903803 | Semiconductor device and power amplifier module | MURATA MANUFACTURING CO., LTD. |
10903816 | Thin-film type package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10905015 | Chip component having groove formed therein | LG CHEM, LTD. |
10905029 | Cooling structure for electronic boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10905033 | Liquid-cooled electric drive component, powertrain, vehicle and method | SIEMENS AKTIENGESELLSCHAFT |
10905037 | Electronic device having interference shielding structure | SAMSUNG ELECTRONICS CO., LTD. |
10905041 | Methods for attachment and devices produced using the methods | ALPHA ASSEMBLY SOLUTIONS INC. |
10906061 | Coating method, coating apparatus and method for manufacturing component | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10906300 | Liquid jetting apparatus and wiring member | BROTHER KOGYO KABUSHIKI KAISHA |
10906304 | Semiconductor element, recording element substrate, and liquid discharge head | CANON KABUSHIKI KAISHA |
10906305 | Liquid ejection head | CANON KABUSHIKI KAISHA |
10906407 | Compact inverter and motor vehicle comprising such an inverter | ACILTEK |
10908110 | Using in situ capacitance measurements to monitor the stability of interface materials in complex PCB assemblies and other structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10908209 | Semiconductor module including semiconductor package and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10908210 | Die crack detection | SANDISK TECHNOLOGIES LLC |
10908468 | Display device and method for manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10908519 | Alignment mark, imprinting method, manufacturing method of semiconductor device, and alignment device | TOSHIBA MEMORY CORPORATION |
10910020 | Three-dimensional memory device containing compact bit line switch circuit and method of making the same | SANDISK TECHNOLOGIES LLC |
10910216 | Low-k dielectric and processes for forming same | -- |
10910221 | Semiconductor device structure with a fine pattern and method for forming the same | -- |
10910232 | Copper plasma etching method and manufacturing method of display panel | SAMSUNG DISPLAY CO., LTD. |
10910233 | Semiconductor device package and method of manufacturing the same | -- |
10910261 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910262 | Method of selectively depositing a capping layer structure on a semiconductor device structure | ASM IP HOLDING B.V. |
10910263 | Doping control of metal nitride films | APPLIED MATERIALS, INC. |
10910265 | Gate aligned contact and method to fabricate same | INTEL CORPORATION |
10910266 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910267 | Alignment marks in substrate having through-substrate via (TSV) | -- |
10910270 | Method of forming and packaging semiconductor die | MAGNACHIP SEMICONDUCTOR, LTD. |
10910271 | Wafer dicing using femtosecond-based laser and plasma etch | APPLIED MATERIALS, INC. |
10910272 | Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same | SANDISK TECHNOLOGIES LLC |
10910274 | Backside processed semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910282 | Prevention of charging damage in full-depletion devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910283 | Pressure sensors on flexible substrates for stress decoupling | INFINEON TECHNOLOGIES AG |
10910284 | Semiconductor device and method of manufacturing thereof | INFINEON TECHNOLOGIES AUSTRIA AG |
10910285 | Package structure with TFTS and die covered RDL | -- |
10910286 | Wafer-level system-in-package packaging method and package structure thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10910287 | Semiconductor package with protected sidewall and method of forming the same | STMICROELECTRONICS PTE LTD |
10910288 | Integrated circuit package structure and package method | BOE TECHNOLOGY GROUP CO., LTD. |
10910289 | Electronic substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910290 | Structures and methods for heat dissipation of semiconductor devices | -- |
10910291 | Method of creating thermal boundary control | RAYTHEON COMPANY |
10910292 | Electronic device and connection body | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10910293 | Leadframe with die pad having cantilevers to secure electronic component | TEXAS INSTRUMENTS INCORPORATED |
10910294 | Semiconductor device and method of manufacturing semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10910295 | QFN pre-molded leadframe having a solder wettable sidewall on each lead | STMICROELECTRONICS, INC. |
10910296 | Lead frame and method of fabricating the same | DANFOSS SILICON POWER GMBH |
10910297 | Package including multiple semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910298 | Method of forming a molded substrate electronic package and structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10910299 | Method of manufacturing semiconductor package substrate and semiconductor package substrate manufactured using the method, and method of manufacturing semiconductor package and semiconductor package manufactured using the method | HAESUNG DS CO., LTD. |
10910300 | Method for manufacturing interposer | QING DING PRECISION ELECTRONICS (HUAIAN) CO., LTD |
10910301 | Post-grind die backside power delivery | INTEL CORPORATION |
10910302 | Power semiconductor device with a double island surface mount package | STMICROELECTRONICS S.R.L. |
10910303 | Package structure and manufacturing method thereof | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
10910304 | Tight pitch wirings and capacitor(s) | GLOBALFOUNDRIES U.S. INC. |
10910305 | Microelectronic devices designed with capacitive and enhanced inductive bumps | INTEL CORPORATION |
10910306 | Devices including vias extending through alternating dielectric materials and conductive materials, and related electronic devices | MICRON TECHNOLOGY, INC. |
10910307 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910308 | Dual thickness fuse structures | GLOBALFOUNDRIES U.S. INC. |
10910309 | Nanotube structure based metal damascene process | INFINEON TECHNOLOGIES AG |
10910310 | Methods of forming semiconductor devices | MICRON TECHNOLOGY, INC. |
10910311 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
10910312 | Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910313 | Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch | SAMSUNG ELECTRONICS CO., LTD. |
10910314 | Conductive coating for a microelectronics package | INTEL CORPORATION |
10910315 | Fabric with embedded electrical components | APPLE INC. |
10910316 | Electronic device | -- |
10910317 | Semiconductor package having wafer-level active die and external die mount | INTEL CORPORATION |
10910318 | Optically detectable reference feature for die separation | INFINEON TECHNOLOGIES AUSTRIA AG |
10910319 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10910320 | Shielded MOM capacitor | -- |
10910321 | Semiconductor device and method of making the same | -- |
10910322 | Shielded semiconductor package with open terminal and methods of making | STATS CHIPPAC PTE. LTD. |
10910323 | Semiconductor package with reduced noise | -- |
10910324 | Semiconductor device having a resin case with a notch groove | FUJI ELECTRIC CO., LTD. |
10910325 | Integrated circuit packages with conductive element having cavities housing electrically connected embedded components | INTEL CORPORATION |
10910326 | Semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10910327 | Electronic device package with reduced thickness variation | INTEL CORPORATION |
10910328 | Silicon wafer manufacturing method | SUMCO CORPORATION |
10910329 | Semiconductor package device and method of manufacturing the same | -- |
10910330 | Pad structure and integrated circuit die using the same | -- |
10910331 | Semiconductor device bonding area including fused solder film and manufacturing method | LAPIS SEMICONDUCTOR CO., LTD. |
10910332 | Semiconductor device and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910333 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910334 | Device for inspecting a bump height surrounded by resist, device for processing a substrate, method for inspecting a bump height, and storage medium | EBARA CORPORATION |
10910335 | Semiconductor device and a method of manufacturing thereof | -- |
10910336 | Chip package structure | -- |
10910337 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10910338 | Electronic device having an under-fill element, a mounting method of the same, and a method of manufacturing a display apparatus having the electronic device | SAMSUNG DISPLAY CO., LTD. |
10910339 | Flip chip bonding method | SAMSUNG ELECTRONICS CO., LTD. |
10910340 | Silver sintering preparation and the use thereof for the connecting of electronic components | HERAEUS DEUTSCHLAND GMBH & CO. KG |
10910341 | Bonding process with inhibited oxide formation | SITIME CORPORATION |
10910342 | Method for transferring and placing a semiconductor device on a substrate | IMEC VZW |
10910343 | Package structure with improvement layer and fabrication method thereof | TONGFU MICROELECTRONICS CO., LTD. |
10910344 | Systems and methods for releveled bump planes for chiplets | XCELSIS CORPORATION |
10910345 | Semiconductor device with stacked die device | -- |
10910346 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10910347 | Method, apparatus and system to interconnect packaged integrated circuit dies | INTEL CORPORATION |
10910349 | Stacked semiconductor chips having transistor in a boundary region | SAMSUNG ELECTRONICS CO., LTD. |
10910350 | Structure of a semiconductor array | -- |
10910354 | Apparatus for direct transfer of semiconductor device die | ROHINNI, LLC |
10910355 | Bezel-free displays | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10910357 | Semiconductor package including hybrid bonding structure and method for preparing the same | -- |
10910358 | Integrated assemblies having capacitive units, and having resistive structures coupled with the capacitive units | MICRON TECHNOLOGY, INC. |
10910364 | 3D semiconductor device | MONOLITAIC 3D INC. |
10910365 | Structure and method for cooling three-dimensional integrated circuits | -- |
10910366 | 3D stacked integrated circuits having functional blocks configured to accelerate artificial neural network (ANN) computation | MICRON TECHNOLOGY, INC. |
10910367 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910369 | On-chip security circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910371 | Self-heating test structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910378 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910379 | Integrated assemblies comprising memory cells and shielding material between the memory cells, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10910380 | Method of manufacturing dynamic random access memory | -- |
10910382 | Method for fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910387 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10910395 | Methods of forming semiconductor device structures | MICRON TECHNOLOGY, INC. |
10910396 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910397 | Through array contact structure of three- dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10910409 | Display device | SAMSUNG DISPLAY CO., LTD. |
10910411 | Array substrate, manufacturing method thereof and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10910416 | Semiconductor device, image pickup device, and method for manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910421 | Molded image sensor chip scale packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910438 | Memory array with graded memory stack resistances | MICRON TECHNOLOGY, INC. |
10910449 | Electronic device and manufacturing method for same | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10910465 | 3D printed semiconductor package | TEXAS INSTRUMENTS INCORPORATED |
10910466 | Process for tuning via profile in dielectric material | -- |
10910491 | Semiconductor device having reduced capacitance between source and drain pads | -- |
10910500 | Load sensing devices, packages, and systems | STMICROELECTRONICS S.R.L. |
10910510 | Encapsulated flexible electronic device, and corresponding manufacturing method | STMICROELECTRONICS S.R.L. |
10910515 | Method of manufacturing a light-emitting device | NICHIA CORPORATION |
10910523 | Light emitting device | -- |
10910530 | LED chip mounting method and device | -- |
10910537 | Light emitting device, resin-attached lead frame, and methods of manufacturing the same | NICHIA CORPORATION |
10910539 | Light emitting device and manufacturing method thereof | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
10910540 | Solid state light emitter die having a heat spreader between a plurality lead frame | SIGNIFY HOLDING B.V. |
10910560 | RRAM structure | -- |
10910562 | Organic electroluminescent device and preparation method, evaporation device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10910684 | Machine temperature control device | DENSO CORPORATION |
10910695 | On-chip antenna | TDK CORPORATION |
10910705 | Antenna in package device having substrate stack | TEXAS INSTRUMENTS INCORPORATED |
10910748 | Cable socket connector assembly for an electronic | TE CONNECTIVITY CORPORATION |
10910782 | Treatment, before the bonding of a mixed Cu-oxide surface, by a plasma containing nitrogen and hydrogen | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10910843 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10910957 | Silicon carbide power inverter/rectifier for electric machines | CALNETIX TECHNOLOGIES, LLC |
10912185 | Low-cost superior performance coinless RF power amplifier | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10912186 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10912192 | Flexible circuit board, COF module and electronic device comprising the same | LG INNOTEK CO., LTD. |
10912194 | Printed circuit board | -- |
10912202 | Method of manufacturing printed circuit board | LG INNOTEK CO., LTD. |
10912215 | Display device | SAMSUNG DISPLAY CO., LTD. |
10912227 | Methods, systems, and assemblies for cooling an electronic component | RENSSELAER POLYTECHNIC INSTITUTE |
10912231 | Automotive integrated power module and capacitor | FORD GLOBAL TECHNOLOGIES, LLC |
10912233 | Controller with heat sink clamping plate for enhanced thermal properties | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10912234 | Controller with fan motoring and control | ROCKWELL AUTOMATION TECHNOLOGIES, INC. |
10913183 | Process for producing package for mounting a semiconductor element and mold release film | AGC INC. |
10913655 | Manufacturing of integrated circuit resonator | SITIME CORPORATION |
10913680 | Lead-free glass composition, glass composite material, glass paste, sealing structure, electrical/electronic component and coated component | HITACHI, LTD. |
10913725 | Oxazine compound, composition and cured product | DIC CORPORATION |
10913818 | Epoxy resin, epoxy resin composition, cured product and electrical or electronic component | MITSUBISHI CHEMICAL CORPORATION |
10913879 | Thermally conductive pre-applied underfill formulations and uses thereof | HENKEL IP & HOLDING GMBH |
10913998 | Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films | IONQUESTCORP. |
10914008 | Method and solution for forming interconnects | IMEC VZW |
10914018 | Porous Cu on Cu surface for semiconductor packages | INFINEON TECHNOLOGIES AG |
10914445 | Vehicle lamp using semiconductor light emitting device | LG ELECTRONICS INC. |
10914535 | Integrated multi-chamber heat exchanger | LOCKHEED MARTIN CORPORATION |
10914539 | Two piece aluminum heat sink | OSRAM SYLVANIA INC. |
10914821 | Calibration and alignment of coherent lidar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914822 | Dual-laser chip-scale lidar for simultaneous range-doppler sensing | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10914895 | Package structure and manufacturing method thereof | -- |
10914924 | Optical image capturing module | -- |
10914992 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10915029 | Particle irradiation apparatus, beam modifier device, and semiconductor device including a junction termination extension zone | INFINEON TECHNOLOGIES AG |
10916290 | Memory system having combined high density, low bandwidth and low density, high bandwidth memories | APPLE INC. |
10916364 | Tunable inductor arrangement, transceiver, method and computer program | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
10916419 | Insulator, capacitor with the same and fabrication method thereof, and method for fabricating semiconductor device | KEY FOUNDRY CO., LTD. |
10916429 | Semiconductor device packages and stacked package assemblies including high density interconnections | -- |
10916430 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916433 | Methods of forming metal silicide layers and metal silicide layers formed therefrom | APPLIED MATERIALS, INC. |
10916447 | Semiconductor device and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10916448 | Method for creating a wettable surface for improved reliability in QFN packages | TEXAS INSTRUMENTS INCORPORATED |
10916449 | Semiconductor package and method for fabricating base for semiconductor package | -- |
10916450 | Package of integrated circuits having a light-to-heat-conversion coating material | -- |
10916461 | Method of laser scribing of semiconductor workpiece using divided laser beams | EVANA TECHNOLOGIES, UAB |
10916462 | Laser marking focus feedback system having an intensity indication of reflected radiation passed through an objective lens, a beam splitter and a pinhole | KLA-TENCOR CORPORATION |
10916466 | Wafer uniting method | DISCO CORPORATION |
10916468 | Semiconductor device with buried local interconnects | ELPIS TECHNOLOGIES INC. |
10916470 | Modified dielectric fill between the contacts of field-effect transistors | GLOBALFOUNDRIES INC. |
10916471 | Dual silicide liner flow for enabling low contact resistance | ELPIS TECHNOLOGIES INC. |
10916473 | Method of cleaning wafer after CMP | -- |
10916475 | Semiconductor device and a method for fabricating the same | -- |
10916482 | Semiconductor device and method of forming reconstituted wafer with larger carrier to achieve more EWLB packages per wafer with encapsulant deposited under temperature and pressure | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
10916483 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10916484 | Electronic device including redistribution layer pad having a void | INFINEON TECHNOLOGIES AG |
10916485 | Molded wafer level packaging | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10916486 | Semiconductor device including silane based adhesion promoter and method of making | INTEL CORPORATION |
10916487 | Method for manufacturing a semiconductor device assembly with through-mold cooling channel formed in encapsulant | MICRON TECHNOLOGY, INC. |
10916488 | Semiconductor package having thermal conductive pattern surrounding the semiconductor die | -- |
10916489 | Memory core chip having TSVS | MICRON TECHNOLOGY, INC. |
10916490 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10916491 | Semiconductor module | FUJI ELECTRIC CO., LTD. |
10916492 | Semiconductor substrate and method of manufacturing the same | -- |
10916493 | Direct current blocking capacitors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916494 | Device comprising first solder interconnects aligned in a first direction and second solder interconnects aligned in a second direction | QUALCOMM INCORPORATED |
10916495 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10916496 | Circuit module | MURATA MANUFACTURING CO., LTD. |
10916497 | Apparatuses and methods for protecting transistor in a memory circuit | MICRON TECHNOLOGY, INC. |
10916498 | Interconnect structure for logic circuit | -- |
10916499 | Vias and gaps in semiconductor interconnects | INTEL CORPORATION |
10916500 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10916501 | Back end of line electrical fuse structure and method of fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916502 | Semiconductor device and manufacturing method thereof | -- |
10916503 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916504 | Three-dimensional memory device including electrically conductive layers with molybdenum-containing liners | SANDISK TECHNOLOGIES LLC |
10916505 | Graphene diffusion barrier | APPLIED MATERIALS, INC. |
10916506 | Semiconductor device | DENSO CORPORATION |
10916507 | Multiple chip carrier for bridge assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916508 | Semiconductor device package with radiation shield | TOSHIBA MEMORY CORPORATION |
10916509 | Substrate, method of sawing substrate, and semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916510 | Semiconductor device with stress-relieving features and method for fabricating the same | -- |
10916511 | Method for reducing warpage occurred to substrate strip after molding process | -- |
10916512 | Capacitor metal guard ring for moisture ingression prevention | SKYWORKS SOLUTIONS, INC. |
10916513 | Method and system for providing a reverse engineering resistant hardware embedded security module | SAMSUNG ELECTRONICS CO., LTD. |
10916514 | Metal-free frame design for silicon bridges for semiconductor packages | INTEL CORPORATION |
10916515 | Systems and methods using an RF circuit on isolating material | INFINEON TECHNOLOGIES AG |
10916516 | High bandwidth memory (HBM) bandwidth aggregation switch | XILINX, INC. |
10916517 | Redistribution layer metallic structure and method | -- |
10916518 | Electrical binding structure and method of forming the same | MIKRO MESA TECHNOLOGY CO., LTD. |
10916519 | Method for manufacturing semiconductor package with connection structures including via groups | -- |
10916520 | Semiconductor device, and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10916521 | Electrical connector with an elastic body having carbon nanotubes and method for manufacturing same | SHIN-ETSU POLYMER CO., LTD. |
10916522 | Semiconductor device and method for manufacturing same | NICHIA CORPORATION |
10916523 | Microdevice transfer setup and integration of micro-devices into system substrate | VUEREAL INC. |
10916524 | Stacked dice systems | INTEL CORPORATION |
10916525 | Semiconductor die for determining load of through silicon via and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10916527 | Apparatuses and methods for semiconductor die heat dissipation | MICRON TECHNOLOGY, INC. |
10916529 | Electronics card including multi-chip module | -- |
10916531 | Semiconductor module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10916533 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10916535 | Semiconductor device including a field effect transistor | SAMSUNG ELECTRONICS CO., LTD. |
10916538 | Semiconductor device and manufacturing method therefor, solid-state imaging element, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10916540 | Device including PCM RF switch integrated with group III-V semiconductors | NEWPORT FAB, LLC |
10916543 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916548 | Memory arrays with vertical access transistors | MICRON TECHNOLOGY, INC. |
10916551 | Memory cell structure | -- |
10916560 | Crenellated charge storage structures for 3D NAND | -- |
10916562 | Non-volatile memory device | TOSHIBA MEMORY CORPORATION |
10916569 | Thin-film transistor and method of forming an electrode of a thin-film transistor | H.C. STARCK INC. |
10916577 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10916578 | Semiconductor apparatus and camera | CANON KABUSHIKI KAISHA |
10916582 | Vertically-strained silicon device for use with a perpendicular magnetic tunnel junction (PMTJ) | SPIN MEMORY, INC. |
10916604 | Organic light emitting display device having a multi-directional dam structure | LG DISPLAY CO., LTD. |
10916622 | High-voltage capacitor, system including the capacitor and method for manufacturing the capacitor | STMICROELECTRONICS S.R.L. |
10916638 | Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916660 | Vertical transistor with a body contact for back-biasing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916688 | Eutectic electrode structure of flip-chip LED chip and flip-chip LED chip | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916699 | Resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916829 | Semiconductor package structure having antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10916854 | Antenna structure with integrated coupling element and semiconductor package using the same | -- |
10916878 | Unitary molded USB device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10916879 | Can box with metallic reinforcement piece | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
10916912 | Optical module | MITSUBISHI ELECTRIC CORPORATION |
10916931 | Temperature sensing and fault detection for paralleled double-side cooled power modules | INFINEON TECHNOLOGIES AG |
10917069 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10917602 | Stacked imaging device with Cu-Cu bonding portion | SONY CORPORATION |
10917953 | Electrically parallel fused LEDs | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10917965 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10917966 | Articles including metallized vias | CORNING INCORPORATED |
10917972 | Switching device and electronic device | KABUSHIKI KAISHA TOSHIBA |
10917974 | Circuit board incorporating electronic component and manufacturing method thereof | TDK CORPORATION |
10917976 | Designing a printed circuit board (PCB) to detect slivers of conductive material included within vias of the PCB | JUNIPER NETWORKS, INC. |
10917994 | Wickless capillary driven constrained vapor bubble heat pipes for application in rack servers | INTEL CORPORATION |
10917995 | Cooling device | NIDEC CORPORATION |
10917999 | Power module, power module assembly and assembling method thereof | DELTA ELECTRONICS (THAILAND) PUBLIC COMPANY LIMITED |
10918298 | High-speed, high-resolution electrophysiology in-vivo using conformal electronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
10919732 | Embedded power module | OTIS ELEVATOR COMPANY |
10919758 | Physical quantity sensor, inertial measurement unit, electronic apparatus, portable electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10919760 | Process for nano graphene platelet-reinforced composite material | GLOBAL GRAPHENE GROUP, INC. |
10919811 | Aluminum-silicon-carbide composite and method of manufacturing same | DENKA COMPANY LIMITED |
10920109 | Semiconductor device | LG CHEM, LTD. |
10920117 | Curable composition, method for producing curable composition, cured product, use of curable composition, and optical device | LINTEC CORPORATION |
10920143 | Etching liquid composition and etching method | ADEKA CORPORATION |
10921062 | Cooling fan and heat dissipating module including the same | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10921066 | Enhanced heat dissipation module, cooling fin structure and stamping method thereof | -- |
10921169 | Flow sensor, method for manufacturing flow sensor and flow sensor module | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
10921314 | Method of making an integrated circuit for a single-molecule nucleic-acid assay platform | THE TRUSTEES OF COLUMBIA UNIVERSITY IN THE CITY OF NEW YORK |
10922464 | RC tool accuracy time reduction | -- |
10923191 | 3D SRAM/ROM with several superimposed layers and reconfigurable by transistor rear biasing | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923286 | Method and apparatus for compensating for high thermal expansion coefficient mismatch of a stacked device | NXP USA, INC. |
10923362 | Manufacturing process of element chip | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923364 | Methods for producing packaged semiconductor devices | INFINEON TECHNOLOGIES AG |
10923365 | Connection structure and method for forming the same | -- |
10923391 | Semiconductor device with contact pad | -- |
10923392 | Interconnect structure and method of forming the same | TOKYO ELECTRON LIMITED |
10923393 | Contacts and interconnect structures in field-effect transistors | -- |
10923396 | Method of forming self-aligned via | APPLIED MATERIALS, INC. |
10923397 | Through-substrate via structures in semiconductor devices | GLOBALFOUNDRIES INC. |
10923400 | Method for producing a plurality of components | OSRAM OLED GMBH |
10923404 | Inspection method, inspection device, and marking forming method | HAMAMATSU PHOTONICS K.K. |
10923407 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923408 | Cavity packages | INVENSAS BONDING TECHNOLOGIES, INC. |
10923411 | Method for manufacturing an ultrathin heat dissipation structure | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
10923412 | Apparatuses and methods for implementing a sliding thermal interface between substrates with varying coefficients of thermal expansion | CEREBRAS SYSTEMS INC. |
10923413 | Hard IP blocks with physically bidirectional passageways | XCELSIS CORPORATION |
10923414 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10923415 | Semiconductor package having integrated stiffener region | INTEL CORPORATION |
10923416 | Interconnect structure with insulation layer and method of forming the same | -- |
10923417 | Integrated fan-out package with 3D magnetic core inductor | -- |
10923419 | Semiconductor device and a method of increasing a resistance value of an electric fuse | RENESAS ELECTRONICS CORPORATION |
10923420 | Semiconductor device including dummy contact | SAMSUNG ELECTRONICS CO., LTD. |
10923421 | Package structure and method of manufacturing the same | -- |
10923422 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10923423 | Interconnect structure for semiconductor devices | -- |
10923424 | Interconnect structure with air-gaps | -- |
10923425 | Power distribution | ARM LIMITED |
10923426 | Standard-cell layout structure with horn power and smart metal cut | -- |
10923427 | SOI wafers with buried dielectric layers to prevent CU diffusion | GLOBALFOUNDRIES U.S. INC. |
10923428 | Semiconductor package having second pad electrically connected through the interposer chip to the first pad | SAMSUNG ELECTRONICS CO., LTD. |
10923429 | Multi-chip package and method of providing die-to-die interconnects in same | INTEL CORPORATION |
10923430 | High density cross link die with polymer routing layer | ADVANCED MICRO DEVICES, INC. |
10923431 | Method for forming a 3D IC architecture including forming a first die on a first side of a first interconnect structure and a second die in an opening formed in a second side | -- |
10923432 | Method of manufacturing a semiconductor device with epitaxial layers and an alignment mark | INFINEON TECHNOLOGIES AUSTRIA AG |
10923433 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10923434 | Semiconductor packages having EMI shielding layers | SK HYNIX INC. |
10923435 | Semiconductor package with in-package compartmental shielding and improved heat-dissipation performance | -- |
10923436 | Techniques for thermal matching of integrated circuits | QUALCOMM INCORPORATED |
10923437 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10923438 | Package structure and method for forming the same | -- |
10923439 | Core substrate, multi-layer wiring substrate, semiconductor package, semiconductor module, copper-clad substrate, and method for manufacturing core substrate | TOPPAN PRINTING CO., LTD. |
10923440 | Method of securing an integrated circuit during manufacturing | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923441 | Implementing transient electronic circuits for security applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923442 | Protecting analog circuits with parameter biasing obfuscation | DREXEL UNIVERSITY |
10923443 | Electronic device package including a capacitor | INTEL CORPORATION |
10923444 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10923445 | Monolithic decoupling capacitor between solder bumps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923446 | Indium bump liftoff process on micro-machined silicon substrates | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10923447 | Semiconductor device assembly with die support structures | MICRON TECHNOLOGY, INC. |
10923448 | Bond pad with micro-protrusions for direct metallic bonding | MICRON TECHNOLOGY, INC. |
10923449 | Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect | COMPASS TECHNOLOGY COMPANY LIMITED |
10923450 | Memory arrays with bonded and shared logic circuitry | INTEL CORPORATION |
10923451 | Semiconductor dies having ultra-thin wafer backmetal systems, microelectronic devices containing the same, and associated fabrication methods | NXP USA, INC. |
10923452 | Substrate bonding apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10923453 | Bonding apparatus and method for using the same | SAMSUNG DISPLAY CO., LTD. |
10923454 | Method and apparatus for creating a bond between objects based on formation of inter-diffusion layers | -- |
10923455 | Semiconductor apparatus and method for preparing the same | -- |
10923456 | Systems and methods for hierarchical exposure of an integrated circuit having multiple interconnected die | CEREBRAS SYSTEMS INC. |
10923459 | Three dimensional integrated circuit | SILICON GENESIS CORPORATION |
10923462 | Bifurcated memory die module semiconductor device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10923463 | Method and machine-readable medium for configuring processors with base dies having landing slots | INTEL CORPORATION |
10923464 | Connection system of semiconductor packages using a printed circuit board | SAMSUNG ELECTRONICS CO., LTD. |
10923465 | Semiconductor device having stacked semiconductor chips and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10923467 | Series resistor over drain region in high voltage device | -- |
10923469 | Vertical resistor adjacent inactive gate over trench isolation | GLOBALFOUNDRIES U.S. INC. |
10923470 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10923475 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923477 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923480 | Capacitance reduction in a semiconductor device | MICRON TECHNOLOGY, INC. |
10923483 | EFuse | -- |
10923484 | Integrated circuit including at least one memory cell with an antifuse device | STMICROELECTRONICS (ROUSSET) SAS |
10923485 | Semiconductor structure and method for forming the same | -- |
10923491 | Hybrid bonding contact structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10923493 | Microelectronic devices, electronic systems, and related methods | MICRON TECHNOLOGY, INC. |
10923508 | Array substrate and manufacturing method therefor, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923517 | Solid-state imaging apparatus having output circuit unit for outputting a pixel signal | SONY CORPORATION |
10923521 | Fan-out sensor package and camera module | SAMSUNG ELECTRONICS CO., LTD. |
10923524 | Support for forming an optoelectronic component, optoelectronic component and method for manufacturing such a support and such a component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923549 | Display apparatus including a shielding conductive layer | SAMSUNG DISPLAY CO., LTD. |
10923558 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
10923562 | Semiconductor device, and method for manufacturing semicondcutor device | ROHM CO., LTD. |
10923565 | Self-aligned contact air gap formation | -- |
10923585 | High electron mobility transistors having improved contact spacing and/or improved contact vias | CREE, INC. |
10923591 | Method for producing a semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10923606 | Photoelectric conversion element | KANEKA CORPORATION |
10923621 | Method for reduction of interfacial stress accumulation between double side copper-plated layers and aluminum nitride substrate | -- |
10923639 | Method for producing an optical semiconductor device | -- |
10923640 | Optoelectronic component and method of producing same | OSRAM OLED GMBH |
10923645 | Light source device having package including first electrode and second electrode and substrate including wiring members facing first electrode and second electrode | NICHIA CORPORATION |
10923650 | Magneto-resistive chip package including shielding structure | SAMSUNG ELECTRONICS CO., LTD. |
10923659 | Wafers for use in aligning nanotubes and methods of making and using the same | -- |
10923790 | Low-loss silicon on insulator based dielectric microstrip line | CITY UNIVERSITY OF HONG KONG |
10923800 | Packaged electronic device having integrated antenna and locking structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10924071 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10924107 | Low static current semiconductor device | -- |
10925111 | EHF secure communication device | KEYSSA, INC. |
10925132 | Multipixel led component and method of operating a multipixel led component | OSRAM OLED GMBH |
10925149 | High frequency module, board equipped with antenna, and high frequency circuit board | MURATA MANUFACTURING CO., LTD. |
10925152 | Dielectric coating for crosstalk reduction | INTEL CORPORATION |
10925464 | Imaging unit and endoscope | OLYMPUS CORPORATION |
10926360 | Lead-free solder alloy, solder joint, solder paste composition, electronic circuit board, and electronic device | TAMURA CORPORATION |
10926485 | Light generating microcapsules for photo-curing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10927001 | MEMS cryocooler systems and methods | FLIR SYSTEMS, INC. |
10927220 | Silazane-siloxane random copolymers, their production and use | AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L. |
10927249 | Resin compositions for underfill film for three dimensional through silica via (TSV) packages and compositions useful for the preparation thereof | HENKEL IP & HOLDING GMBH |
10927278 | Curable organopolysiloxane composition and semiconductor device | DUPONT TORAY SPECIALTY MATERIALS KABUSHIKI KAISHA |
10927453 | TiN-based film and TiN-based film forming method | TOKYO ELECTRON LIMITED |
10927468 | Copper electroplating compositions and methods of electroplating copper on substrates | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10928141 | Heat exchanger for cooling multiple layers of electronic modules | DANA CANADA CORPORATION |
10928263 | Sensor device having adhesive between sensor portion and casing portion | FUJI ELECTRIC CO., LTD. |
10928501 | Target detection in rainfall and snowfall conditions using mmWave radar | INFINEON TECHNOLOGIES AG |
10928739 | Method of measuring misregistration of semiconductor devices | KLA-TENCOR CORPORATION |
10929588 | Integrated circuit layout, structure, system, and methods | -- |
10930334 | Feedback field-effect electronic device using feedback loop operation and array circuit using feedback field-effect electronic device | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10930363 | TSV auto repair scheme on stacked die | MICRON TECHNOLOGY, INC. |
10930409 | Resin compositions comprising sorbic esters | ELANTAS GMBH |
10930435 | Multilayer element and LC filter | MURATA MANUFACTURING CO., LTD. |
10930511 | Copper electrodeposition sequence for the filling of cobalt lined features | LAM RESEARCH CORPORATION |
10930512 | Method of processing workpiece | DISCO CORPORATION |
10930516 | Semiconductor device and semiconductor device manufacturing method | SONY CORPORATION |
10930520 | Self-formed liner for interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930523 | Method for manufacturing resin-sealed power semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10930524 | Semiconductor component and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930525 | Carrier substrate and method of manufacturing semiconductor package using the carrier substrate | SAMSUNG ELECTRONICS CO., LTD. |
10930528 | Method for transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10930532 | Object detection system | BPM MICROSYSTEMS |
10930541 | Method of forming a chip arrangement, chip arrangement, method of forming a chip package, and chip package | INFINEON TECHNOLOGIES AG |
10930547 | Semiconductor structure and manufacturing method thereof | -- |
10930551 | Methods for fabricating a low-resistance interconnect | -- |
10930552 | Method of semiconductor integrated circuit fabrication | -- |
10930553 | Forming self-aligned vias and air-gaps in semiconductor fabrication | TESSERA, INC. |
10930554 | Semiconductor device and method for manufacturing the same | -- |
10930555 | Contact over active gate structure | APPLIED MATERIALS, INC. |
10930556 | Contact over active gate structure | APPLIED MATERIALS, INC. |
10930557 | Self-aligned contacts | INTEL CORPORATION |
10930562 | Internal via with improved contact for upper semi-conductor layer of a 3D circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930564 | Metal gate structure cutting process | -- |
10930567 | Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930572 | Method for manufacturing a three dimensional power module | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930573 | Circuit module and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
10930574 | Semiconductor device and method for manufacturing the same | ROHM CO., LTD. |
10930575 | Reconfigurable cooling assembly for integrated circuitry | INTEL CORPORATION |
10930576 | Gallium-nitride based devices implementing an engineered substrate structure | QROMIS, INC. |
10930577 | Device for cooling electrical components | ROBERT BOSCH GMBH |
10930578 | Circuit device | AUTONETWORKS TECHNOLOGIES, LTD. |
10930579 | Self-contained liquid cooled semiconductor packaging | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930580 | Semiconductor device and method of manufacture | -- |
10930581 | Semiconductor package with wettable flank | STMICROELECTRONICS S.R.L. |
10930582 | Semiconductor device having terminals directly attachable to circuit board | TEXAS INSTRUMENTS INCORPORATED |
10930583 | Capacitor embedded with nanocrystals | -- |
10930584 | Electronic component and three-terminal capacitor | MURATA MANUFACTURING CO., LTD. |
10930585 | Memory devices, semiconductor devices and related methods | MICRON TECHNOLOGY, INC. |
10930586 | Integrated fan-out package and method of fabricating the same | -- |
10930587 | Semiconductor memory device | SK HYNIX INC. |
10930588 | Reduction of ohmic losses in monolithic chip inductors and transformers of radio frequency integrated circuits | INTEL CORPORATION |
10930589 | Advanced interconnects containing an IMT liner | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930590 | Interconnect device and method | -- |
10930591 | Trench MOSFET with self-aligned body contact with spacer | VISHAY-SILICONIX, LLC |
10930592 | Wafer level fan-out application specific integrated circuit bridge memory stack | GOOGLE LLC |
10930593 | Package on package and package connection system comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
10930594 | Multilayer wiring substrate, display unit, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930595 | Standard cells having via rail and deep via structures | -- |
10930596 | Embedded die on interposer packages | INTEL CORPORATION |
10930597 | Die screening using inline defect information | KLA-TENCOR CORPORATION |
10930598 | Display device and flexible circuit board thereof | HKC CORPORATION LIMITED |
10930599 | Semiconductor device and manufacturing method thereof | -- |
10930600 | Display substrate, method of manufacturing display substrate, and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930601 | Flexible fan-out wafer level process and structure | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10930602 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930603 | Coaxial through via with novel high isolation cross coupling method for 3D integrated circuits | -- |
10930604 | Ultra-thin multichip power devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930605 | Contact pad for semiconductor device | -- |
10930606 | Electronic device comprising a discrete transistor assembled on a printed circuit board | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930607 | Manufacturing process for separating logic and memory array | WESTERN DIGITAL TECHNOLOGIES, INC. |
10930608 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10930609 | Method of forming a solder bump structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930610 | Semiconductor chip including a bump structure and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
10930611 | Solder joints for board level reliability | XILINX, INC. |
10930612 | Copper paste for pressureless bonding, bonded body and semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
10930613 | Semiconductor package having recessed adhesive layer between stacked chips | SAMSUNG ELECTRONICS CO., LTD. |
10930614 | Chip arrangements | INFINEON TECHNOLOGIES AG |
10930615 | Semiconductor device and method of manufacturing semiconductor device | ROHM CO., LTD. |
10930616 | Semiconductor module, method for manufacturing semiconductor module, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10930617 | Packaging method and package structure of wafer-level system-in-package | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10930618 | Semiconductor package having chip stack | SAMSUNG ELECTRONICS CO., LTD. |
10930619 | Multi-wafer bonding structure and bonding method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
10930620 | Multi-chip detector appratus | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10930621 | Die stacking for multi-tier 3D integration | ADVANCED MICRO DEVICES, INC. |
10930623 | Micro-transfer printable electronic component | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10930625 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930626 | Display apparatus including a micro light-emitting diode | SAMSUNG DISPLAY CO., LTD. |
10930627 | Semiconductor package device and method of manufacturing the same | -- |
10930628 | Photonic semiconductor device and method | -- |
10930633 | Buffer design for package integration | -- |
10930634 | Integrated circuit system and packaging method therefor | SHENZHEN XIUYUAN ELECTRONIC TECHNOLOGY CO., LTD |
10930635 | Semiconductor device | SEIKO EPSON CORPORATION |
10930638 | Semiconductor device having overlapping resistance element and capacitor | LAPIS SEMICONDUCTOR CO., LTD. |
10930645 | Semiconductor devices with package-level configurability | MICRON TECHNOLOGY, INC. |
10930646 | Circuit and method of forming the same | ZERO-ERROR SYSTEMS PTE LTD |
10930648 | Semiconductor devices having multi-level metallization structures | SAMSUNG ELECTRONICS CO., LTD. |
10930662 | Method for forming staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930663 | Interconnect structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930666 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10930669 | Three dimensional memory device and method for fabricating the same | -- |
10930672 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10930673 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10930676 | Metal oxide thin film semiconductor device monolithically integrated with dissimilar device on the same wafer | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10930685 | Image sensor including a shield structure | SAMSUNG ELECTRONICS CO., LTD. |
10930687 | Image sensor having phase difference detection pixel | SK HYNIX INC. |
10930695 | Semiconductor device and method of manufacturing the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10930697 | Semiconductor device, solid-state imaging device with tantalum oxide layer formed by diffusing a material of an electrode of necessity or a counter electrode | SONY CORPORATION |
10930704 | Magnetic memory cell | -- |
10930730 | Enhanced active and passive devices for radio frequency (RF) process and design technology | QUALCOMM INCORPORATED |
10930731 | Integrated circuit device | MEDIATEK SINGAPORE PTE. LTD. |
10930736 | Semiconductor apparatus | MITSUBISHI ELECTRIC CORPORATION |
10930737 | Transistor cell | VISIC TECHNOLOGIES LTD. |
10930742 | Wafer structure with mode suppression | RAYTHEON COMPANY |
10930748 | Semiconductor device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10930752 | Contact plugs and methods forming same | -- |
10930753 | Trench isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10930754 | Replacement metal gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930774 | Shielded gate trench MOSFETs with floating trenched gates and channel stop trenched gates in termination | -- |
10930802 | Semiconductor device package and method of manufacturing the same | -- |
10930819 | Light emitting device and fluidic manufacture thereof | ELUX INC. |
10930820 | Light emitting device | NICHIA CORPORATION |
10930832 | Method for manufacturing semiconductor light emitting device | SEMICON LIGHT CO., LTD. |
10930987 | Microfabricated air bridges for planar microwave resonator circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10931000 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10931009 | Methods for selectively shielding radio frequency modules | SKYWORKS SOLUTIONS, INC. |
10931036 | Fluidic wire connectors | TEVERI LLC |
10931230 | Voltage controlled oscillator circuit, device, and method | -- |
10931257 | WLP BAW device with through-WLP vias | QORVO US, INC. |
10931258 | Electronic device and module including the same | MURATA MANUFACTURING CO., LTD. |
10932358 | Semiconductor devices and methods for enhancing signal integrity of an interface provided by a semiconductor device | -- |
10932368 | Substrate-embedded electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10932371 | Bottom-up electrolytic via plating method | CORNING INCORPORATED |
10932372 | Fluid discharge device | SENJU METAL INDUSTRY CO., LTD. |
10932374 | 3-D flex circuit forming | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10932386 | Electronic module and method for producing same | DYCONEX AG |
10932391 | Liquid-cooling heat exchange apparatus | -- |
10932392 | Vehicle thermal management system | AAVID THERMAL CORP. |
10932395 | Thermal management device for use on electronics in a transportation vehicle | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10933505 | Arrangement to enclose a circuit board | ATLAS COPCO AIRPOWER, NAAMLOZE VENNOOTSCHAP |
10934157 | Packaged circuit system structure | MURATA MANUFACTURING CO., LTD. |
10934161 | MEMS device and method for producing same | MURATA MANUFACTURING CO., LTD. |
10934630 | Method for determining location of power feeding point in electroplating apparatus and electroplating apparatus for plating rectangular substrate | EBARA CORPORATION |
10935227 | Systems and methods for coupling a metal core PCB to a heat sink | FLURENCE BIOENGINEERING, INC. |
10935325 | Two-phase thermodynamic system having a porous microstructure sheet with varying surface energy to optimize utilization of a working fluid | MICROSOFT TECHNOLOGY LICENSING, LLC |
10935330 | Heat exchangers capable of bidirectional fluid flow | SENIOR UK LIMITED |
10935573 | Slip-plane MEMS probe for high-density and fine pitch interconnects | INTEL CORPORATION |
10935590 | Electrically-verifiable fuses and method of fuse verification | INFINEON TECHNOLOGIES AG |
10935741 | Optical module and solder ball bonding structure | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10935847 | Display panel and fabrication method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10936221 | Reconfigurable memory architectures | MICRON TECHNOLOGY, INC. |
10936756 | Methodology for forming a resistive element in a superconducting structure | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10937500 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10937559 | Micro device transferring apparatus and micro device transferring method | BOE TECHNOLOGY GROUP CO., LTD. |
10937583 | Laminated electronic component | MURATA MANUFACTURING CO., LTD. |
10937657 | Semiconductor device including a reactant metal layer disposed between an aluminum alloy film and a catalyst metal film and method for manufacturing thereof | MITSUBISHI ELECTRIC CORPORATION |
10937665 | Methods and apparatus for gettering impurities in semiconductors | INTEL CORPORATION |
10937666 | Method for manufacturing lead frame including electrode and hanger lead, method for manufacturing package having lead frame, and method for manufacturing light-emitting device having package | NICHIA CORPORATION |
10937667 | Semiconductor device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937668 | Semiconductor package manufacturing method | DISCO CORPORATION |
10937671 | Conductive heat spreader and heat sink assembly for optical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937674 | Method for transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10937680 | Setting up ultra-small or ultra-thin discrete components for easy assembly | UNIQARTA, INC. |
10937682 | TCB bond tip design to mitigate top die warpage and solder stretching issue | MICRON TECHNOLOGY, INC. |
10937688 | Semiconductor package and method of fabricating semiconductor package | -- |
10937689 | Self-aligned hard masks with converted liners | INTEL CORPORATION |
10937692 | Method for reducing via RC delay | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10937694 | Chamferless via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937695 | FinFET switch | -- |
10937707 | Wiring substrate, electronic device, and electronic module | KYOCERA CORPORATION |
10937708 | Power module and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10937709 | Substrates for semiconductor packages | INFINEON TECHNOLOGIES AG |
10937710 | Electronic component module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937711 | Electronic device and method for manufacturing same | DENSO CORPORATION |
10937713 | Chip on film package | -- |
10937714 | Electrical device having a covering material | ROBERT BOSCH GMBH |
10937715 | Substrate for power module, collective substrate for power modules, and method for manufacturing substrate for power module | NGK ELECTRONICS DEVICES, INC. |
10937716 | Multi-layer thin film composite thermal interface materials | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
10937717 | Heatsink secured to a heat source | INTEL CORPORATION |
10937718 | Package structures and method of forming the same | -- |
10937719 | Package structure and method of fabricating the same | -- |
10937720 | Semiconductor device with copper structure | INFINEON TECHNOLOGIES AUSTRIA AG |
10937721 | Semiconductor structure | -- |
10937722 | Device substrate | -- |
10937723 | Package carrier structure having integrated circuit design and manufacturing method thereof | -- |
10937724 | Package structure applied to driving apparatus of display | -- |
10937725 | Semiconductor device and method for manufacturing thereof | MITSUBISHI ELECTRIC CORPORATION |
10937726 | Package structure with embedded core | APPLIED MATERIALS, INC. |
10937727 | Semiconductor module and method for manufacturing semiconductor module | FUJI ELECTRIC CO., LTD. |
10937728 | Preformed lead frame and lead frame package made from the same | -- |
10937729 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937730 | Metal-on-metal capacitors | APPLE INC. |
10937731 | Semiconductor module and method for manufacturing semiconductor module | HITACHI, LTD. |
10937732 | Semiconductor devices including contacts and conductive line interfaces with contacting sidewalls | SAMSUNG ELECTRONICS CO., LTD. |
10937733 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10937734 | Conductive traces in semiconductor devices and methods of forming same | -- |
10937735 | Hybrid under-bump metallization component | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937736 | Hybrid integrated circuit package and method | -- |
10937737 | Wiring member and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10937738 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937739 | Electronic device module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937741 | Molded laser package with electromagnetic interference shield and method of making | STATS CHIPPAC PTE. LTD. |
10937742 | Package and manufacturing method thereof | -- |
10937743 | Mixing organic materials into hybrid packages | -- |
10937744 | Semiconductor packages including roughening features | INFINEON TECHNOLOGIES AG |
10937745 | Semiconductor chip package array | TONGFU MICROELECTRONICS CO., LTD. |
10937746 | Integrated ultralong time constant time measurement device and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
10937747 | Power inverter module with reduced inductance | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10937748 | Fan-out transition structure for transmission of mm-Wave signals from IC to PCB via chip-scale packaging | HUAWEI TECHNOLOGIES CO., LTD. |
10937749 | Methods of forming microelectronic devices including dummy dice | MICRON TECHNOLOGY, INC. |
10937750 | Low stress pad structure for packaged devices | NXP B.V. |
10937751 | Bump structure manufacturing method | LBSEMICON CO., LTD. |
10937752 | Lead free solder columns and methods for making same | TOPLINE CORPORATION |
10937753 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10937754 | Semiconductor package and manufacturing method thereof | -- |
10937755 | Bond pads for low temperature hybrid bonding | ADVANCED MICRO DEVICES, INC. |
10937756 | Method of aligning wafers, method of bonding wafers using the same, and apparatus for performing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937757 | Device packaging facility and method, and device processing apparatus utilizing DEHT | SEMIGEAR, INC. |
10937758 | Semiconductor-device manufacturing method and manufacturing apparatus | SHINKAWA LTD. |
10937759 | Radio frequency transmission line | SKYWORKS SOLUTIONS, INC. |
10937760 | Method for manufacturing a chip package | -- |
10937761 | Semiconductor device package and method for manufacturing the same | -- |
10937762 | Logic drive based on multichip package using interconnection bridge | -- |
10937763 | Semiconductor leadframes and packages with solder dams and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10937764 | Three-dimensional microelectronic package with embedded cooling channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937765 | Semiconductor device with laminated semiconductor chips | ULTRAMEMORY INC. |
10937766 | Three-dimensional memory device with three-dimensional phase-change memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937767 | Chip packaging method and device with packaged chips | INNO-PACH TECHNOLOGY PTE LTD |
10937769 | In-vehicle display device using semiconductor light-emitting device | ZKW GROUP GMBH |
10937771 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
10937772 | Semiconductor package and method for manufacturing the same | -- |
10937774 | Micro LED display panel, method for fabricating the same and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937778 | Integrated circuit comprising macros and method of fabricating the same | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10937780 | Low capacitance bidirectional transient voltage suppressor | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10937782 | Electrostatic discharge protection structure | NXP B.V. |
10937785 | Semiconductor device | -- |
10937788 | Memory device having vertical structure | SAMSUNG ELECTRONICS CO., LTD. |
10937790 | Semiconductor device with air gap structure and method for preparing the same | -- |
10937791 | Method for fabricating and semiconductor device having the second bit line contact higher than the top surface of the first bit line | -- |
10937793 | Vertical transistor contact for a memory cell with increased density | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937799 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10937805 | Semiconductor memory device | SK HYNIX INC. |
10937806 | Through array contact (TAC) for three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937811 | Integrated circuit devices and fabrication techniques | STMICROELECTRONICS, INC. |
10937834 | Shared three-dimensional vertical memory | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
10937860 | Nanosheet transistor bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937861 | Semiconductor structures including middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack | TESSERA, INC. |
10937862 | Nanosheet substrate isolated source/drain epitaxy via airgap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937883 | Vertical transport FETs having a gradient threshold voltage | ELPIS TECHNOLOGIES INC. |
10937887 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10937923 | Semiconductor device and semiconductor device package including the same | LG INNOTEK CO., LTD. |
10937936 | LED display unit group and display panel | FOSHAN NATIONSTAR OPTOELECTRONICS CO., LTD. |
10937937 | Optical semiconductor element | STANLEY ELECTRIC CO., LTD. |
10937990 | Encapsulation film | LG CHEM, LTD. |
10938090 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10938368 | Piezoelectric-resonator-mounting substrate, and piezoelectric resonator unit and method of manufacturing the piezoelectric resonator unit | MURATA MANUFACTURING CO., LTD. |
10939537 | Printed circuit board assembly embedded thermal management system using thin-film thermoelectric coolers | HONEYWELL INTERNATIONAL INC. |
10939551 | Opening in the pad for bonding integrated passive device in InFO package | -- |
10939553 | Vertical-side solder method and package for power GaN devices | -- |
10939555 | Grid array connector system | MOLEX, LLC |
10939556 | Electronic component embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10939564 | Circuit board, display device and method for manufacturing the same | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10939583 | Electronic component cooling module and electronic apparatus | FUJITSU LIMITED |
10939585 | Fixing structure of electronic component | YAZAKI CORPORATION |
10939591 | Power conversion device having a clip for fixing a plurality of switches | LG INNOTEK CO., LTD. |
10940671 | Substrate for electrical circuits and method for producing a substrate of this type | ROGERS GERMANY GMBH |
10941280 | Liquid sealing material for copper bump, and resin composition for use as same | NAMICS CORPORATION |
10941325 | Thermally conductive resin molded article | BANDO CHEMICAL INDUSTRIES, LTD. |
10941498 | Panel to be plated, electroplating process using the same, and chip manufactured from the same | -- |
10941990 | Structures for radiative cooling | THE BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY |
10942444 | Optical control modules for integrated circuit device patterning and reticles and methods including the same | NXP USA, INC. |
10943045 | Semiconductor device including standard-cell-adapted power grid arrangement and method for generating layout diagram of same | -- |
10943046 | Semiconductor apparatus including uncrowned and crowned cells and method of making | -- |
10943051 | Metal fill shape removal from selected nets | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943642 | Integrated memory assemblies comprising multiple memory array decks | MICRON TECHNOLOGY, INC. |
10943645 | Memory device with a booster word line | -- |
10943729 | Entangled inductor structures | -- |
10943792 | 3D stacked-in-recess system in package | INTEL CORPORATION |
10943794 | Semiconductor device assembly with pillar array and test ability | MICRON TECHNOLOGY, INC. |
10943795 | Apparatus and methods for creating a thermal interface bond between a semiconductor die and a passive heat exchanger | INDIUM CORPORATION |
10943796 | Semiconductor device assembly having a thermal interface bond between a semiconductor die and a passive heat exchanger | INDIUM CORPORATION |
10943798 | Fan-out structure and method of fabricating the same | -- |
10943807 | Method and device for alignment of substrates | EV GROUP E. THALLNER GMBH |
10943810 | Device and method for bonding | EV GROUP E. THALLNER GMBH |
10943813 | Radio frequency silicon on insulator wafer platform with superior performance, stability, and manufacturability | -- |
10943817 | Etch-stop layer topography for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10943822 | Forming gate line-end of semiconductor structures | -- |
10943823 | Conductive feature formation and structure using bottom-up filling deposition | -- |
10943824 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10943835 | Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943838 | Measurement of overlay error using device inspection system | KLA-TENCOR CORPORATION |
10943841 | Substrates, structures within a scribe-line area of a substrate, and methods of forming a conductive line of a redistribution layer of a substrate and of forming a structure within a scribe-line area of the substrate | MICRON TECHNOLOGY, INC. |
10943842 | Semiconductor device with a protection mechanism and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
10943843 | Semiconductor package structure | -- |
10943844 | Semiconductor device including multiple chips | TOSHIBA MEMORY CORPORATION |
10943845 | Three-dimensional packaging structure and packaging method of power devices | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10943846 | Chip package structure with heat conductive component and manufacturing thereof | -- |
10943847 | Semiconductor chip and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10943848 | Apparatus, system, and method for dynamic compensation of heatsink-clamping mechanisms | JUNIPER NETWORKS, INC. |
10943849 | Microfluidic array | APR TECHNOLOGIES AB |
10943850 | Piezoelectric MEMS-based active cooling for heat dissipation in compute devices | FRORE SYSTEMS INC. |
10943851 | Reconstituted wafer assembly | INTEL CORPORATION |
10943852 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
10943853 | Semiconductor device and manufacturing method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
10943854 | Semiconductor package and semiconductor apparatus for use with high-frequency signals and improved heat dissipation | KYOCERA CORPORATION |
10943855 | Electronic device packaging with galvanic isolation | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10943856 | System in package device including inductor | TEXAS INSTRUMENTS INCORPORATED |
10943857 | Substrate with multi-layer resin structure and semiconductor device including the substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10943858 | Semiconductor package and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943859 | Semiconductor device | DENSO CORPORATION |
10943860 | Semiconductor device with flexible circuit for enabling non-destructive attaching and detaching of device to system board | MICRON TECHNOLOGY, INC. |
10943861 | Semiconductor device | ROHM CO., LTD. |
10943862 | Integrated filler capacitor cell device and corresponding manufacturing method | STMICROELECTRONICS (ROUSSET) SAS |
10943863 | Techniques to improve reliability in Cu interconnects using Cu intermetallics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943864 | Programmable redistribution die | INTEL CORPORATION |
10943865 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10943866 | Method and structure to construct cylindrical interconnects to reduce resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943867 | Schemes for forming barrier layers for copper in interconnect structures | -- |
10943868 | Structure for interconnection | -- |
10943869 | High density interconnection using fanout interposer chiplet | APPLE INC. |
10943870 | Microphone package structure | MERRY ELECTRONICS (SHENZHEN) CO., LTD. |
10943871 | Semiconductor device having conductive wire with increased attachment angle and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943872 | Fabrication method of semiconductor package including shielding wall and cover | SAMSUNG ELECTRONICS CO., LTD. |
10943873 | Semiconductor device structure comprising a plurality of metal oxide fibers and method for forming the same | -- |
10943874 | Apparatus, system, and method for mitigating warpage of integrated circuits during reflow processes | JUNIPER NETWORKS, INC. |
10943875 | Fragmenting computer chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943876 | Method for detecting an attack by means of a beam of electrically charged particles on an integrated circuit, and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10943877 | Semiconductor device | DENSO CORPORATION |
10943878 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10943879 | Bump-forming film, semiconductor device and manufacturing method thereof, and connection structure | DEXERIALS CORPORATION |
10943880 | Semiconductor chip with reduced pitch conductive pillars | ADVANCED MICRO DEVICES, INC. |
10943881 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10943882 | IC package design and methodology to compensate for die-substrate CTE mismatch at reflow temperatures | NVIDIA CORPORATION |
10943883 | Planar wafer level fan-out of multi-chip modules having different size chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943884 | Method of manufacturing an electronic device and electronic device manufactured thereby | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943885 | Method for making semiconductor device with sidewall recess and related devices | STMICROELECTRONICS, INC. |
10943886 | Methods of forming semiconductor packages with back side metal | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10943887 | Staggered die stacking across heterogeneous modules | INTEL CORPORATION |
10943888 | Interconnect structure with redundant electrical connectors and associated systems and methods | MICRON TECHNOLOGY, INC. |
10943889 | Semiconductor device and method of manufacture | -- |
10943891 | Semiconductor module and power conversion apparatus | FUJI ELECTRIC CO., LTD. |
10943894 | Optical device having lens block having recessed portion covering photoelectric conversion block | ASAHI KASEI MICRODEVICES CORPORATION |
10943895 | Method of fabricating a plurality of linear arrays with submicron y-axis alignment | XEROX CORPORATION |
10943897 | Method of forming three-dimensional integrated circuit having ESD protection circuit | -- |
10943898 | High switching frequency, low loss and small form factor fully integrated power stage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943907 | Integrated circuitry comprising an array, method of forming an array, method of forming DRAM circuitry, and method used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
10943913 | Strap-cell architecture for embedded memory | -- |
10943921 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10943922 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10943924 | Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943925 | Method of forming FinFET channel and structures thereof | -- |
10943939 | Via structures including etch-delay structures and semiconductor devices having via plugs | SAMSUNG ELECTRONICS CO., LTD. |
10943944 | Flat panel display having embedded optical imaging sensor located at rear surface of display | LG DISPLAY CO., LTD. |
10943948 | Magnetic tunnel junction (MTJ) device and forming method thereof | -- |
10943972 | Precision BEOL resistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943975 | Method of manufacturing a semiconductor device having side-diffused trench plug | LITTELFUSE, INC. |
10943985 | Cover member for a robot used in a painting process having absorptive properties | TD INDUSTRIAL COVERINGS, INC. |
10944003 | Vertical field effect transistor and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944007 | Silicon on insulator semiconductor device with mixed doped regions | -- |
10944016 | Optical detection unit, optical detection device, and method for manufacturing optical detection unit | HAMAMATSU PHOTONICS K.K. |
10944023 | Micro-LED transfer method and manufacturing method | GOERTEK. INC |
10944035 | Resin package and light-emitting device | NICHIA CORPORATION |
10944036 | Semiconductor light-emitting device | ROHM CO., LTD. |
10944046 | Semiconductor device | ROHM CO., LTD. |
10944165 | Integrated antenna package structure and manufacturing method thereof | -- |
10944270 | GaN circuit drivers for GaN circuit loads | NAVITAS SEMICONDUCTOR LIMITED |
10944402 | Reconfigurable interconnect structure in integrated circuits | INTEL CORPORATION |
10944413 | Field device in measurement and automation technology comprising a galvanic isolation device | ENDRESS+HAUSER FLOWTEC AG |
10945332 | Heat capacitive component carrier and method to produce said component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10945333 | Thermal management assemblies having cooling channels within electrically insulated posts for cooling electronic assemblies | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10945336 | Electronic device with relay mounted to substrate | TE CONNECTIVITY CORPORATION |
10945338 | Wiring substrate | KYOCERA CORPORATION |
10945352 | Cooling device and manufacturing method therefor | DAWNING INFORMATION INDUSTRY (BEIJING) CO., LTD |
10945353 | Mechanism with folded wrapping to seal components immersed in coolant | INTEL CORPORATION |
10945643 | Microelectronic sensor for biometric authentication | EPITRONIC HOLDINGS PTE. LTD. |
10946406 | Metering apparatus | MARCO SYSTEMANALYSE UND ENTWICKLUNG GMBH |
10946658 | Encapsulating a bonded wire with low profile encapsulation | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10947109 | Semiconductor component and method for producing same | INFINEON TECHNOLOGIES AG |
10947150 | Decoy security based on stress-engineered substrates | PALO ALTO RESEARCH CENTER INCORPORATED |
10947326 | Adhesive composition, cured article, semiconductor device, and production method for same | SHOWA DENKO MATERIALS CO., LTD. |
10947384 | Curable resin composition, cured product thereof, and semiconductor device | DAICEL CORPORATION |
10947992 | Convectors | -- |
10948240 | Vapor chamber structure | -- |
10948246 | Heat dissipation system | INVENTEC (PUDONG) TECHNOLOGY CORPORATION |
10948247 | Adjustable heat sink fin spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10948554 | Magnetoresistive sensor package with encapsulated initialization coil | MULTIDIMENSION TECHNOLOGY CO., LTD. |
10948837 | Information determining apparatus and method | ASML NETHERLANDS B.V. |
10950286 | Periphery fill and localized capacitance | MICRON TECHNOLOGY, INC. |
10950297 | Semiconductor device, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950391 | Photoelectric conversion device and manufacturing method and apparatus thereof | KABUSHIKI KAISHA TOSHIBA |
10950406 | Self-limiting electrical triggering for initiating fracture of frangible glass | PALO ALTO RESEARCH CENTER INCORPORATED |
10950439 | Pattern forming method | TOSHIBA MEMORY CORPORATION |
10950459 | Back end of line structures with metal lines with alternating patterning and metallization schemes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950464 | Electronic device module and manufacturing method thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950471 | Laser machining device and laser machining method | TOKYO SEIMITSU CO., LTD. |
10950478 | Info structure with copper pillar having reversed profile | -- |
10950487 | Method for forming an alignment mark | INFINEON TECHNOLOGIES AUSTRIA AG |
10950493 | Interconnects having air gap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950494 | Semiconductor device including first and second contact layers and manufacturing method | INFINEON TECHNOLOGIES AG |
10950495 | Mitigating pattern collapse | -- |
10950496 | Microelectronic devices comprising manganese-containing conductive structures, and related electronic systems | MICRON TECHNOLOGY, INC. |
10950497 | Electrical connection for semiconductor devices | -- |
10950498 | Selective and self-limiting tungsten etch process | APPLIED MATERIALS, INC. |
10950499 | Integrated circuit devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10950500 | Methods and apparatus for filling a feature disposed in a substrate | APPLIED MATERIALS, INC. |
10950501 | Triblock copolymers for self-aligning vias or contacts | INTEL CORPORATION |
10950507 | Electrical testing method of interposer | -- |
10950509 | Semiconductor device with integrated shunt resistor | INFINEON TECHNOLOGIES AG |
10950510 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950511 | Die carrier package and method of forming same | MEDTRONIC, INC. |
10950512 | Semiconductor packages including a semiconductor chip and methods of forming the semiconductor packages | SK HYNIX INC. |
10950513 | Method for integrating power chips and power electronics modules | INSTITUT VEDECOM |
10950514 | Packaged semiconductor devices and methods of packaging semiconductor devices | -- |
10950515 | Semiconductor device, manufacturing method of semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950516 | Resin encapsulated power semiconductor module with exposed terminal areas | ABB SCHWEIZ AG |
10950517 | Printed circuit board and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10950519 | Integrated circuit package and method | -- |
10950520 | Electronic package, method for fabricating the same, and heat dissipator | -- |
10950521 | Thermal interface material layer and package-on-package device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950522 | Electronic device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10950523 | Semiconductor devices having through electrodes and methods for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950524 | Heterojunction semiconductor device for reducing parasitic capacitance | -- |
10950525 | Fabrication method of packaging structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10950526 | Semiconductor device | DENSO CORPORATION |
10950527 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950528 | Chip package assembly and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10950529 | Semiconductor device package | ADVANCED SEMICONDUCTOR ENGINEERING KOREA, INC. |
10950530 | Semiconductor device package and method of manufacturing the same | -- |
10950531 | Semiconductor device package and method of manufacturing the same | -- |
10950532 | Substrate intermediary body, through-hole via electrode substrate, and through-hole via electrode formation method | MITSUI CHEMICALS, INC. |
10950533 | Through electrode substrate and semiconductor device | DAI NIPPON PRINTING CO., LTD. |
10950534 | Through-substrate via structure and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950535 | Package structure and method of manufacturing the same | -- |
10950536 | Packed interconnect structure with reduced cross coupled noise | INTEL CORPORATION |
10950537 | Land side and die side cavities to reduce package z-height | INTEL CORPORATION |
10950538 | Semiconductor structure and manufacturing method thereof | -- |
10950539 | Redistribution substrate, method of fabricating the same, and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950540 | Enhancing integrated circuit density with active atomic reservoir | -- |
10950541 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950542 | High-performance variable gain amplifier employing laminate transmission line structures | ANALOG DEVICES, INC. |
10950543 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950544 | Semiconductor device including gate pattern having pad region | SAMSUNG ELECTRONICS CO., LTD. |
10950545 | Circuit wiring techniques for stacked transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950546 | Semiconductor device including back side power supply circuit | -- |
10950547 | Stacked IC structure with system level wiring on multiple sides of the IC die | XCELSIS CORPORATION |
10950548 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10950549 | ILD gap fill for memory device stack array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950550 | Semiconductor package with through bridge die connections | INTEL CORPORATION |
10950551 | Embedded component package structure and manufacturing method thereof | -- |
10950552 | Ring-in-ring configurable-capacitance stiffeners and methods of assembling same | INTEL CORPORATION |
10950553 | System on integrated chips and methods of forming the same | -- |
10950554 | Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same | -- |
10950555 | Ultra-low profile package shielding technique using magnetic and conductive layers for integrated switching voltage regulator | INTEL CORPORATION |
10950556 | EMI shielding structure in InFO package | -- |
10950557 | Stacked chip package structure and manufacturing method thereof | -- |
10950558 | Semiconductor device, power converter, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950559 | Protected electronic integrated circuit chip | STMICROELECTRONICS (ROUSSET) SAS |
10950560 | Semiconductor module having slits and shunt resistor | MITSUBISHI ELECTRIC CORPORATION |
10950561 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10950562 | Impedance-matched through-wafer transition using integrated heat-spreader technology | HRL LABORATORIES, LLC |
10950563 | Chip packages and methods for forming the same | SHUNSIN TECHNOLOGY (ZHONG SHAN) LIMITED |
10950564 | Methods of forming microelectronic devices having a patterned surface structure | MICRON TECHNOLOGY, INC. |
10950565 | Interconnect structures for preventing solder bridging, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10950566 | Semiconductor device and method for manufacturing the semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950567 | Hollow sealed device and manufacturing method therefor | MITSUBISHI ELECTRIC CORPORATION |
10950568 | Semiconductor device assembly with surface-mount die support structures | MICRON TECHNOLOGY, INC. |
10950569 | High frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10950570 | Bonding wire for semiconductor device | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
10950571 | Bonding wire for semiconductor device | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
10950572 | Die bonder and methods of using the same | -- |
10950573 | Lead-free column interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950574 | Sensor having system-in-package module, method for producing the same, and sensor arrangement | CONTINENTAL TEVES AG & CO. OHG |
10950575 | Package structure and method of forming the same | -- |
10950576 | Package structure | -- |
10950577 | Redistribution layers in semiconductor packages and methods of forming same | -- |
10950578 | Semiconductor device, semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10950579 | Integrated circuit package and method of forming same | -- |
10950580 | Semiconductor device assemblies with lids including circuit elements | MICRON TECHNOLOGY, INC. |
10950581 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10950582 | Semiconductor power module | ROHM CO., LTD. |
10950586 | Semiconductor devices having upper and lower solder portions and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950587 | Printed circuit board and package structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950588 | Chip package structure and manufacturing method thereof | -- |
10950591 | Display with embedded pixel driver chips | APPLE INC. |
10950592 | Display panel and method of fabricating the same, display device | BOE TECHNOLOGY GROUP CO., LTD. |
10950593 | Package structure including at least one connecting module and manufacturing method thereof | -- |
10950597 | Electrostatic protection circuit and a semiconductor structure | -- |
10950599 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10950606 | Dual fin endcap for self-aligned gate edge (SAGE) architectures | INTEL CORPORATION |
10950617 | Memory device with multiple layers | TOSHIBA MEMORY CORPORATION |
10950623 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10950628 | Vertical memory device and method of fabrication the same | SAMSUNG ELECTRONICS CO., LTD. |
10950630 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10950635 | Orthogonal transistor layouts | SKYWORKS SOLUTIONS, INC. |
10950637 | Semiconductor device, manufacturing method, solid state image sensor, and electronic equipment | SONY CORPORATION |
10950647 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10950648 | Semiconductor element, manufacturing method of semiconductor element, and electronic apparatus | SONY CORPORATION |
10950661 | Integrated circuits with resistive non-volatile memory cells and methods for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10950664 | Semiconductor memory device including phase change material layers and method for manufacturing thereof | -- |
10950686 | Semiconductor device including a chip capacitor mounted on a wiring substrate | RENESAS ELECTRONICS CORPORATION |
10950687 | Manufacturing method of substrate structure | -- |
10950688 | Packages for power modules with integrated passives | KEMET ELECTRONICS CORPORATION |
10950689 | Semiconductor device with a through-substrate via hole having therein a capacitor and a through-substrate via conductor | NANYANG TECHNOLOGICAL UNIVERSITY |
10950692 | Methods of forming air gaps between source/drain contacts and the resulting devices | GLOBALFOUNDRIES U.S. INC. |
10950704 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10950729 | Contact structure with insulating cap | -- |
10950749 | Light emission device including output coupler and optical apparatus adopting the same | SAMSUNG ELECTRONICS CO., LTD. |
10950760 | Two component glass body for tape casting phosphor in glass LED converters | OSRAM OPTO SEMICONDUCTORS GMBH |
10950767 | Light-emitting device and method of preparing same, optical semiconductor element mounting package, and optical semiconductor device using the same | SHENZHEN JUFEI OPTOELECTRONICS CO., LTD. |
10950778 | Superconducting bump bond electrical characterization | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10950787 | Method having resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950824 | Flexible display device | SAMSUNG DISPLAY CO., LTD. |
10951106 | Semiconductor device | DENSO CORPORATION |
10951174 | High-frequency amplifier | MITSUBISHI ELECTRIC CORPORATION |
10951180 | RF power transistors with impedance matching circuits, and methods of manufacture thereof | NXP USA, INC. |
10952310 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
10952313 | Via impedance matching | ARISTA NETWORKS, INC. |
10952317 | Ceramic circuit board and semiconductor module | KABUSHIKI KAISHA TOSHIBA |
10952319 | Electronic component embedded substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10952333 | Method for stress reduction in semiconductor package via carrier | MICRON TECHNOLOGY, INC. |
10952354 | Cold plate with metal tube connection and flexible metal tube | -- |
10953487 | Ultrasonic vibration bonding apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10953593 | Molding compound including a carbon nano-tube dispersion | MICRON TECHNOLOGY, INC. |
10953630 | Method for manufacturing clad material | HITACHI METALS, LTD. |
10953793 | Haptic function leather component and method of making the same | HONDA MOTOR CO., LTD. |
10954122 | Method for bonding of at least three substrates | EV GROUP E. THALLNER GMBH |
10954591 | Method for producing a structured coating on a substrate, coated substrate, and semi-finished product having a coated substrate | MSG LITHOGLAS AG |
10955123 | Micro-LED module and method for fabricating the same | LUMENS CO., LTD. |
10955202 | Cold plate heat exchanger | ADVANCED THERMAL SOLUTIONS, INC. |
10955465 | Method and apparatus for bond wire testing in an integrated circuit | TERADYNE, INC. |
10955671 | Stretchable film assembly with conductive traces | INVENSAS CORPORATION |
10955700 | Transparent panel provided with light emitting function | STANLEY ELECTRIC CO., LTD. |
10955747 | Apparatus and methods of electrically conductive optical semiconductor coating | GOODRICH CORPORATION |
10955881 | Memory module cooling assembly | SEAGATE TECHNOLOGY LLC |
10955905 | Apparatus for true power shedding via switchable electrical connections | NORTH SEA INVESTMENT COMPANY LTD. |
10956622 | Thermal hardware-based data security device that permanently erases data by using local heat generation phenomenon and method thereof | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
10956645 | Adaptive multi-tier power distribution grids for integrated circuits | QUALCOMM INCORPORATED |
10957418 | Interconnect system | MICRON TECHNOLOGY, INC. |
10957537 | Methods to design and uniformly co-fabricate small vias and large cavities through a substrate | HRL LABORATORIES, LLC |
10957559 | Thermally conductive structure for heat dissipation in semiconductor packages | -- |
10957560 | Pressure sintering procedure in which power semiconductor components with a substrate are connected to each other via a sintered connection | SEMIKRON ELEKTRONIK GMBH & CO. KG |
10957571 | Apparatus and methods for determining wafer characters | -- |
10957575 | Method for connecting a buried interconnect rail and a semiconductor fin in an integrated circuit chip | IMEC VZW |
10957581 | Self aligned via and pillar cut for at least a self aligned double pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957582 | Self aligned via and pillar cut for at least a self aligned double pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957583 | Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957584 | Structure and method to improve FAV RIE process margin and electromigration | TESSERA, INC. |
10957586 | FDSOI with on-chip physically unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957587 | Structure and formation method of semiconductor device with conductive feature | -- |
10957588 | Chamferless via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957590 | Method for forming a layer | APPLIED MATERIALS, INC. |
10957591 | Process of forming semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10957592 | Through electrode substrate, method of manufacturing through electrode substrate, and mounting substrate | DAI NIPPON PRINTING CO., LTD. |
10957594 | Manufacturing method of semiconductor chip | -- |
10957596 | Caterpillar trenches for efficient wafer dicing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957610 | Integrated circuit component and package structure having the same | -- |
10957611 | Semiconductor package including lid structure with opening and recess | -- |
10957612 | Power semiconductor module arrangement and housing for a power semiconductor arrangement | INFINEON TECHNOLOGIES AG |
10957613 | Semiconductor module and semiconductor device container | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10957614 | Heat dissipation substrate and fabricating method thereof | -- |
10957615 | Laser-seeding for electro-conductive plating | ELECTRO SCIENTIFIC INDUSTRIES, INC |
10957616 | Package structure and method | -- |
10957617 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10957618 | Thermally conductive electronic packaging | APEX MICROTECHNOLOGY, INC. |
10957619 | Semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10957620 | Electronic device including cooling structure | SAMSUNG ELECTRONICS CO., LTD. |
10957621 | Heat sink for a power semiconductor module | -- |
10957622 | Spatially localized thermal interface materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957623 | Thermal interface material structures including protruding surface features to reduce thermal interface material migration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957624 | Micro heat transfer arrays, micro cold plates, and thermal management systems for cooling semiconductor devices, and methods for using and making such arrays, plates, and systems | MICROFABRICA INC. |
10957625 | Pillar-last methods for forming semiconductor devices | MICRON TECHNOLOGY, INC. |
10957626 | Sensor device with carbon nanotube sensor positioned on first and second substrates | THERMO ELECTRON SCIENTIFIC INSTRUMENTS LLC |
10957627 | Semiconductor packages including a bridge die | SK HYNIX INC. |
10957628 | Bottom up electroplating with release layer | CORNING INCORPORATED |
10957629 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10957630 | Semiconductor device and semiconductor device manufacturing method | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10957631 | Angled die pad of a leadframe for a molded integrated circuit package | TEXAS INSTRUMENTS INCORPORATED |
10957632 | Lead frame assembly for a semiconductor device | NEXPERIA B.V. |
10957633 | Semiconductor device packaging assembly, lead frame strip and unit lead frame with trenches or grooves for guiding liquefied molding material | INFINEON TECHNOLOGIES AG |
10957634 | Modified leadframe design with adhesive overflow recesses | STMICROELECTRONICS, INC. |
10957635 | Multi-chip package with high thermal conductivity die attach | TEXAS INSTRUMENTS INCORPORATED |
10957636 | Semiconductor device | ROHM CO., LTD. |
10957637 | Quad flat no-lead package with wettable flanges | TEXAS INSTRUMENTS INCORPORATED |
10957638 | Device with pillar-shaped components | LAPIS SEMICONDUCTOR CO., LTD. |
10957639 | Electronic component having a transistor and interdigitated fingers to form at least a portion of a capacitive component within the electronic component | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957640 | Method for manufacturing a semiconductor structure | -- |
10957641 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10957642 | Resistance tunable fuse structure formed by embedded thin metal layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957643 | Formation of semiconductor devices including electrically programmable fuses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957644 | Integrated structures with conductive regions having at least one element from group 2 of the periodic table | MICRON TECHNOLOGY, INC. |
10957645 | Package structure having conductive patterns with crystal grains copper columnar shape and method manufacturing the same | -- |
10957646 | Hybrid BEOL metallization utilizing selective reflection mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957647 | Integrated circuit devices including a boron-containing insulating pattern | SAMSUNG ELECTRONICS CO., LTD. |
10957648 | Three-dimensional memory device containing contact via structure extending through source contact layer and dielectric spacer assembly | SANDISK TECHNOLOGIES LLC |
10957649 | Overpass dice stacks and methods of using same | INTEL CORPORATION |
10957650 | Bridge support structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957651 | Package level power gating | NVIDIA CORP. |
10957652 | Circuit board | TAIYO YUDENCO., LTD. |
10957653 | Methods for manufacturing semiconductor arrangements using photoresist masks | -- |
10957654 | Semiconductor package and method of manufacturing the same | NEPES CO., LTD. |
10957655 | Integrated circuit with inductors having electrically split scribe seal | TEXAS INSTRUMENTS INCORPORATED |
10957656 | Integrated circuit packages with patterned protective material | INTEL CORPORATION |
10957657 | Advanced crack stop structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957658 | Package structure with structure reinforcing element and manufacturing method thereof | -- |
10957659 | Monolithic integration of III-V cells for powering memory erasure devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957660 | Land grid array patterns for modular electronics platforms and methods of performing the same | HAND HELD PRODUCTS, INC. |
10957661 | Interconnections for a substrate associated with a backside reveal | INVENSAS CORPORATION |
10957662 | Semiconductor package | -- |
10957663 | Spoked solder pad to improve solderability and self-alignment of integrated circuit packages | -- |
10957664 | Semiconductor structure and manufacturing method thereof | -- |
10957665 | Direct C4 to C4 bonding without substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957666 | Pre-molded leadframes in semiconductor devices | TEXAS INSTRUMENTS INCORPORATED |
10957667 | Indium solder metallurgy to control electro-migration | INTEL CORPORATION |
10957668 | Anisotropic conductive film (ACF) with controllable distribution state of conductive substance and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10957669 | Back side metallization | ADVANCED MICRO DEVICES, INC. |
10957670 | Package-on-package and package connection system comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10957671 | Method for fabricating a semiconductor and semiconductor package | INTEL DEUTSCHLAND GMBH |
10957672 | Package structure and method of manufacturing the same | -- |
10957673 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10957675 | Lighting-emitting device filament | SEOUL SEMICONDUCTOR CO., LTD. |
10957678 | Display module and system applications | APPLE INC. |
10957679 | Logic drive based on standardized commodity programmable logic semiconductor IC chips | -- |
10957680 | Semiconductor die stacking using vertical interconnection by through-dielectric via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957681 | Integrated assemblies comprising sense-amplifier-circuitry and wordline-driver-circuitry under memory cells of a memory array | MICRON TECHNOLOGY, INC. |
10957682 | LED display modules and methods for making the same | LUMENS CO., LTD. |
10957683 | Integrated circuit including multi-height standard cell and method of designing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957687 | ESD hard backend structures in nanometer dimension | -- |
10957688 | Monolithic single chip integrated radio frequency front end module configured with single crystal acoustic filter devices | AKOUSTIS, INC. |
10957691 | Semiconductor device, semiconductor device manufacturing method, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10957692 | Asymmetric transient voltage suppressor device and methods for formation | LITTELFUSE, INC. |
10957693 | Vertical transistors with different gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957701 | Fin-based anti-fuse device for integrated circuit (IC) products, methods of making such an anti-fuse device and IC products comprising such an anti-fuse device | GLOBALFOUNDRIES U.S. INC. |
10957706 | Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957708 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10957712 | Substrate and method for producing substrate | SHARP KABUSHIKI KAISHA |
10957719 | Semiconductor device and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10957733 | Interconnect bump structures for photo detectors | SENSORS UNLIMITED, INC. |
10957738 | Magnetic random access memory (MRAM) structure with small bottom electrode | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957741 | Multitier arrangements of integrated devices, and methods of forming sense/access lines | MICRON TECHNOLOGY, INC. |
10957744 | Display device including process key | LG DISPLAY CO., LTD. |
10957760 | Semiconductor structure having air gap dielectric and method of preparing the same | -- |
10957762 | Manufacturing method of semiconductor device including conductive structure | -- |
10957764 | Vertical semiconductor device | INFINEON TECHNOLOGIES AG |
10957766 | Fin-based strap cell structure | -- |
10957774 | Laterally diffused metal oxide semiconductor with gate poly contact within source window | TEXAS INSTRUMENTS INCORPORATED |
10957778 | Formation of air gap spacers for reducing parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957782 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10957787 | Sensors based on a heterojunction bipolar transistor construction | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10957790 | Semiconductor device with selectively etched surface passivation | NXP USA, INC. |
10957803 | Bidirectional Zener diode and method for manufacturing bidirectional Zener diode | ROHM CO., LTD. |
10957812 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10957832 | Electronics package for light emitting semiconductor devices and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
10957833 | Light emitting diode display device | SAMSUNG ELECTRONICS CO., LTD. |
10957836 | Printed board and light emitting device | NICHIA CORPORATION |
10957964 | Multilayer transmission line plate | SHOWA DENKO MATERIALS CO., LTD. |
10957982 | Antenna module formed of an antenna package and a connection member | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10958250 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10958268 | Transformer-based driver for power switches | INFINEON TECHNOLOGIES AUSTRIA AG |
10958270 | Physical unclonable device and method of maximizing existing process variation for a physically unclonable device | -- |
10959320 | Circuit board and electronic device including circuit board | KYOCERA CORPORATION |
10959328 | Wiring substrate, stacked wiring substrate, and manufacturing method of wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10959331 | Electrical components attached to fabric | APPLE INC. |
10959333 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10959336 | Method of liquid assisted binding | MIKRO MESA TECHNOLOGY CO., LTD. |
10959337 | Connection structure | MIKUNI ELECTRON CORPORATION |
10959342 | Condensation resistant power semiconductor module | -- |
10959352 | Cooling system with floating cold plate with single pipes | -- |
10959357 | Circuit block assembly | MURATA MANUFACTURING CO., LTD. |
10959358 | Electronic component module | TAIYO YUDEN CO., LTD. |
10960488 | Operating method for an ultrasonic wire bonder with active and passive vibration damping | HESSE GMBH |
10960498 | Coated wire | HERAEUS MATERIALS SINGAPORE PTE., LTD. |
10960666 | Element substrate, liquid discharge head, and printing apparatus | CANON KABUSHIKI KAISHA |
10961115 | Semiconductor structure and manufacturing method thereof | -- |
10961398 | High refractive index silicone nanocomposites | PIXELLIGENT TECHNOLOGIES, LLC |
10961411 | Inkjet adhesive, manufacturing method for semiconductor device, and electronic component | SEKISUI CHEMICAL CO., LTD. |
10961423 | Curable composition, thermally conductive material, and device with thermally conductive layer | FUJIFILM CORPORATION |
10962296 | Low-cost nano-heat pipe | INFINERA CORPORATION |
10962297 | Multidimensional heat transfer system for cooling electronic components | BOARD OF REGENTS, THE UNIVERSITY OF TEXAS SYSTEM |
10962299 | Evaporator structure with improved layout of cooling fluid channels | -- |
10962309 | Stacked heat exchanger | DENSO CORPORATION |
10962434 | Sensor device utilizing adhesives and manufacturing method thereof | FUJI ELECTRIC CO., LTD. |
10962469 | Microsystem device comprising integrated desiccant monitoring | ALBERT-LUDWIGS-UNIVERSITÄT FREIBURG |
10962570 | System, a tangent probe card and a probe head assembly for testing semiconductor wafer | -- |
10962571 | Interposers having cuts through an insulating substrate | TEXAS INSTRUMENTS INCORPORATED |
10962573 | Current sensor device | DENSO CORPORATION |
10962610 | On-chip detection of spin states in color centers for metrology and information processing | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10962728 | Co-packaged optics and transceiver | ROCKLEY PHOTONICS LIMITED |
10963022 | Layered super-reticle computing : architectures and methods | INTEL CORPORATION |
10963607 | Determining mechanical reliability of electronic packages assembled with thermal pads | ANSYS, INC. |
10964160 | Player tracking device main body and player tracking device | UNIVERSAL ENTERTAINMENT CORPORATION |
10964178 | Systems, methods and apparatuses for implementing increased human perception of haptic feedback systems | INTEL CORPORATION |
10964380 | Integrated device comprising memory bitcells comprising shared preload line and shared activation line | QUALCOMM INCORPORATED |
10964397 | Vertical memory device having improved electrical characteristics and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964440 | Anisotropic conductive film | DEXERIALS CORPORATION |
10964505 | Naturally closed MEMs switch for ESD protection | CAVENDISH KINETICS, INC. |
10964530 | Method of forming blocking silicon oxide film, and storage medium | TOKYO ELECTRON LIMITED |
10964552 | Methods for producing laminate and substrate for mounting a semiconductor device | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
10964553 | Manufacturing method of semiconductor device and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10964554 | Wafer-level fan-out package with enhanced performance | QORVO US, INC. |
10964561 | Integrated circuit controlled ejection system (ICCES) for massively parallel integrated circuit assembly (MPICA) | MATRICS2, INC. |
10964583 | Micro-transfer-printable flip-chip structures and methods | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10964588 | Selective ILD deposition for fully aligned via with airgap | TESSERA, INC. |
10964589 | Semiconductor structure | -- |
10964590 | Contact metallization process | -- |
10964591 | Processes for reducing leakage and improving adhesion | -- |
10964594 | Methods of packaging semiconductor devices including placing semiconductor devices into die caves | -- |
10964595 | Method for singulating packaged integrated circuits and resulting structures | -- |
10964596 | Backside metal patterning die singulation system and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10964610 | Packaging mechanisms for dies with different sizes of connectors | -- |
10964611 | Die edge integrity monitoring system | MELEXIS TECHNOLOGIES NV |
10964613 | Environmentally protected sensing device | INVENSENSE, INC. |
10964614 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10964615 | Chip-scale sensor package structure | -- |
10964616 | Semiconductor package structure and method of manufacturing the same | -- |
10964617 | Methods for establishing thermal joints between heat spreaders or lids and heat sources | LAIRD TECHNOLOGIES, INC. |
10964618 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964620 | Thermally conductive sheet | SEKISUI POLYMATECH CO., LTD. |
10964621 | Memory device with high resistivity thermal barrier | MICRON TECHNOLOGY, INC. |
10964622 | Semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10964623 | Electronic module and method for encapsulation thereof | ZF FRIEDRICHSHAFEN AG |
10964624 | Techniques for fluid cooling of integrated circuits in packages | INTEL CORPORATION |
10964625 | Device and method for direct liquid cooling via metal channels | GOOGLE LLC |
10964626 | Semiconductor structure and method of making the same | -- |
10964627 | Integrated electronic device having a dissipative package, in particular dual side cooling package | STMICROELECTRONICS S.R.L. |
10964628 | Clip frame assembly, semiconductor package having a lead frame and a clip frame, and method of manufacture | INFINEON TECHNOLOGIES AG |
10964629 | Siderail with mold compound relief | TEXAS INSTRUMENTS INCORPORATED |
10964630 | Semiconductor device having a conductor plate and semiconductor elements | DENSO CORPORATION |
10964631 | Semiconductor package and module | MITSUBISHI ELECTRIC CORPORATION |
10964632 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10964633 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10964634 | Method of manufacturing circuit carrier with embedded semiconductor substrate | -- |
10964635 | Power electronic metal-ceramic module and printed circuit board module with integrated power electronic metal-ceramic module and process for their making | SCHWEIZER ELECTRONIC AG |
10964636 | Interconnect structure with low resistivity and method for forming the same | -- |
10964637 | Package and light emitting device | NICHIA CORPORATION |
10964638 | Vertical memory device including common source line structure | SAMSUNG ELECTRONICS CO., LTD. |
10964639 | Integrated circuits including via array and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964640 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10964641 | Method of forming semiconductor packages having through package vias | -- |
10964642 | Semiconductor module comprising transistor chips, diode chips and driver chips arranged in a common plane | INFINEON TECHNOLOGIES AG |
10964643 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964644 | Array substrate, chip on film, and alignment method | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10964645 | Electronic component with thin-film shield layer | MURATA MANUFACTURING CO., LTD. |
10964646 | IC with insulating trench and related methods | STMICROELECTRONICS S.R.L. |
10964647 | Dielectric crack stop for advanced interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964648 | Chip security fingerprint | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964649 | Tamper detection in integrated circuits | ARM LIMITED |
10964650 | Info structure and method forming same | -- |
10964651 | Method and apparatus of ESD protection in stacked die semiconductor device | -- |
10964652 | Semiconductor device package and method of manufacturing the same | -- |
10964653 | Method of forming a semiconductor device comprising top conductive pads | -- |
10964654 | 3DI solder cup | MICRON TECHNOLOGY, INC. |
10964655 | Patterning polymer layer to reduce stress | -- |
10964656 | Semiconductor package and method of manufacturing same | NEPES CO., LTD. |
10964657 | Radio-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10964658 | Semiconductor device having a metallic oxide or metallic hydroxide barrier layer | TOSHIBA MEMORY CORPORATION |
10964659 | Semiconductor device | -- |
10964660 | Use of adhesive films for 3D pick and place assembly of electronic components | FLEX LTD. |
10964661 | Wire bonding apparatus, circuit for wire bonding apparatus, and method for manufacturing semiconductor device | SHINKAWA LTD. |
10964662 | Method of transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10964663 | Die bonder and methods of using the same | -- |
10964664 | DBI to Si bonding for simplified handle wafer | INVENSAS BONDING TECHNOLOGIES, INC. |
10964665 | Method for forming complex electronic circuits by interconnecting groups of printed devices | NTHDEGREE TECHNOLOGIES WORLDWIDE, INC. |
10964666 | Chip on package structure and method | -- |
10964667 | Stacked integrated circuit structure and method of forming | -- |
10964668 | Stacked transistor packages | PGS GEOPHYSICAL AS |
10964669 | Semiconductor package including stress-equalizing chip | SAMSUNG ELECTRONICS CO., LTD. |
10964670 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964671 | Stacked chips comprising interconnects | TOSHIBA MEMORY CORPORATION |
10964673 | Semiconductor device and manufacturing method of the same | -- |
10964676 | Semiconductor structure and a method of making thereof | BROADPAK CORPORATION |
10964677 | Electronic packages with stacked sitffeners and methods of assembling same | INTEL CORPORATION |
10964678 | LED screen or illumination means with a flexible film structure | LEDFOIL FINLAND OY |
10964680 | Display device | SAMSUNG DISPLAY CO., LTD. |
10964681 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
10964682 | Data storage system using wafer-level packaging | INTEL CORPORATION |
10964683 | Memory array circuit and method of manufacturing the same | -- |
10964685 | Integrated circuit and method of generating integrated circuit layout | -- |
10964687 | FinFET ESD device with Schottky diode | GLOBALFOUNDRIES U.S. INC. |
10964688 | Semiconductor device | ROHM CO., LTD. |
10964689 | Semiconductor structure | -- |
10964691 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10964692 | Through silicon via design for stacking integrated circuits | -- |
10964693 | Semiconductor device having a plurality of bipolar transistors with different heights between their respective emitter layers and emitter electrodes | MURATA MANUFACTURING CO., LTD. |
10964695 | Semiconductor structure | -- |
10964699 | Multi-layer horizontal thyristor random access memory and peripheral circuitry | TCLAB, INC. |
10964708 | Fuse-array element | MICRON TECHNOLOGY, INC. |
10964714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10964715 | Three-dimensional memory device containing channels with laterally pegged dielectric cores | SANDISK TECHNOLOGIES LLC |
10964724 | Display device | JAPAN DISPLAY INC. |
10964781 | High voltage resistor device | -- |
10964784 | Integrated circuit device and manufacturing method thereof | -- |
10964786 | Group III-V compound semiconductor substrate and group III-V compound semiconductor substrate with epitaxial layer | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10964788 | Semiconductor device and operating method thereof | -- |
10964805 | Compound semiconductor device | FUJITSU LIMITED |
10964810 | Methodology and structure for field plate design | -- |
10964815 | CMOS finFET with doped spacers and method for forming the same | -- |
10964818 | Semiconductor device doped from a diffused layer | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964825 | Semiconductor device | ROHM CO., LTD. |
10964839 | Manufacturing method of sensor chip package structure | -- |
10964865 | Printed board, light emitting device, and method for manufacturing same | NICHIA CORPORATION |
10964871 | Micro LED structure and method of manufacturing same | POINT ENGINEERING CO., LTD. |
10964882 | Bonding method | NGK INSULATORS, LTD. |
10964900 | Light emitting diode display with redundancy scheme | APPLE INC. |
10964912 | Protective structure and electronic device with the same | -- |
10965013 | Antenna module | KYOCERA CORPORATION |
10965097 | Light emitting device | NICHIA CORPORATION |
10965121 | Integrated thermally protected varistor and discharge tube | CITEL |
10965269 | Electronic devices formed in a cavity between substrates and including a via | SKYWORKS SOLUTIONS, INC. |
10965289 | Metal oxide semiconductor device of an integrated circuit | QUALCOMM INCORPORATED |
10965851 | Camera device and mobile terminal having same | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD. |
10965895 | Solid-state imaging device, manufacturing method of solid-state imaging device, and electronic device | SONY CORPORATION |
10966316 | Wiring film, device transfer sheet, and textile type device | JAPAN SCIENCE AND TECHNOLOGY AGENCY |
10966321 | System-in-package including opposing circuit boards | APPLE INC. |
10966322 | Semiconductor device and manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10966347 | Liquid cooling device | -- |
10966348 | Semiconductor cooling device, power control system and travelling body including a semiconductor colling device with microbubble generator | MITSUBISHI ELECTRIC CORPORATION |
10966351 | Heat pipe and vapor chamber heat dissipation | ELPIS TECHNOLOGIES INC. |
10966352 | Cooling electronic devices in a data center | GOOGLE LLC |
10966354 | Liquid cooling module | BAIDU USA LLC |
10966355 | Electric power conversion apparatus | HITACHI, LTD. |
10966683 | Integrated ultrasonic transducers | EXO IMAGING INC. |
10967463 | Sn whisker growth mitigation using NiO sublayers | THE UNIVERSITY OF TOLEDO |
10967609 | Clad material | HITACHI METALS, LTD. |
10967756 | Liquid cooling module | -- |
10968097 | Support structure for MEMS device with particle filter | -- |
10968320 | Thermal interface materials including polymeric phase-change materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10968348 | Laser-releasable bonding materials for 3-D IC applications | BREWER SCIENCE, INC. |
10968364 | Plasma polymerized thin film having low dielectric constant, device, and method of preparing thin film | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10968519 | Sheet material, metal mesh, wiring substrate, display device and manufacturing methods therefor | TDK CORPORATION |
10968529 | Insulation systems and methods of depositing insulation systems | GENERAL ELECTRIC COMPANY |
10968531 | Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath | NOVELLUS SYSTEMS, INC. |
10969102 | Portable biometric lighter | JOHN GIBSON ENTERPRISES, INC. |
10969177 | Pin fin heat sink with integrated phase change material and method | HAMILTON SUNDSTRAND CORPORATION |
10969222 | Measurements of an integrated circuit chip and connected chip carrier to estimate height of interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969422 | Guard ring monitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10969423 | Switch coupling functional circuitry to via, scan cell contacting via | TEXAS INSTRUMENTS INCORPORATED |
10969837 | Heat sink and electronic device having same | HONGFUJIN PRECISION ELECTRONICS(TIANJIN)CO., LTD. |
10969840 | Heat spreaders with interlocked inserts | INTEL CORPORATION |
10969998 | Semiconductor apparatus including a plurality of dies operating as a plurality of channels | SK HYNIX INC. |
10970267 | Preparing a hierarchical clustering data structure for compression | INTEL CORPORATION |
10970439 | System on chip (SOC) current profile model for integrated voltage regulator (IVR) co-design | -- |
10970440 | Method and system for generating layout diagram for semiconductor device having engineering change order (ECO) cells | -- |
10970450 | Cell structures and semiconductor devices having same | -- |
10970453 | Method for the non-copyable manufacture of integrated circuits | UNIVERSITY OF LOUISIANA AT LAFAYETTE |
10970627 | Time borrowing between layers of a three dimensional chip stack | XCELSIS CORPORATION |
10971175 | Storage element | SONY CORPORATION |
10971208 | Semiconductor device having interconnection in package and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10971296 | Compact vertical inductors extending in vertical planes | -- |
10971358 | Method of making a peeled magnesium oxide substrate using laser irradiation | SHIN-ETSU POLYMER CO., LTD. |
10971371 | Multi-chip structure and method of forming same | -- |
10971375 | Method of manufacturing semiconductor devices and corresponding semiconductor device | STMICROELECTRONICS S.R.L. |
10971376 | Printed circuit board with protective member and method of manufacturing semiconductor package having the same | SAMSUNG ELECTRONICS CO., LTD. |
10971377 | Semiconductor chip | MURATA MANUFACTURING CO., LTD. |
10971383 | Fluorescence based thermometry for packaging applications | APPLIED MATERIALS, INC. |
10971392 | Amorphous metal thin film nonlinear resistor | AMORPHYX, INC. |
10971393 | Metal-insulator-metal (MIM) structure supporting high voltage applications and low voltage applications | INTEL CORPORATION |
10971394 | Maskless air gap to prevent via punch through | INTEL CORPORATION |
10971395 | Method for fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10971396 | Conductive feature formation and structure | -- |
10971398 | Cobalt interconnect structure including noble metal layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971399 | Oxygen-free replacement liner for improved transistor performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971409 | Methods and systems for measuring semiconductor devices | MICRON TECHNOLOGY, INC. |
10971414 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10971415 | Semiconductor device, manufacturing method for semiconductor device, semiconductor module, and power conversion device | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10971416 | Package power delivery using plane and shaped vias | INTEL CORPORATION |
10971417 | 3D stacked-chip package | -- |
10971418 | Packaging structures with improved adhesion and strength | SKYWORKS SOLUTIONS, INC. |
10971419 | Method and apparatus for reducing noise on integrated circuit using broken die seal | PSEMI CORPORATION |
10971420 | Method of forming a thermal shield in a monolithic 3-D integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
10971421 | Gasket for electrically conductive thermal interface material over a bare die package | DELL PRODUCTS L.P. |
10971422 | Semiconductor die assembly having a heat spreader that extends through an underlying interposer and related technology | MICRON TECHNOLOGY, INC. |
10971423 | Metal nanowire based thermal interface materials | CARNEGIE MELLON UNIVERSITY |
10971424 | Power module and power convertor | MITSUBISHI ELECTRIC CORPORATION |
10971425 | Semiconductor device | -- |
10971426 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971427 | Heatsink for information handling system | DELL PRODUCTS L.P. |
10971428 | Semiconductor baseplates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10971429 | Method for forming a semiconductor package | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10971430 | Semiconductor device | KYOCERA CORPORATION |
10971431 | Semiconductor device, cooling module, power converting device, and electric vehicle | FUJI ELECTRIC CO., LTD. |
10971432 | Semiconductor device including a through wiring area | SAMSUNG ELECTRONICS CO., LTD. |
10971433 | Surface mounted type leadframe and photoelectric device with multi-chips | KAISTAR LIGHTING (XIAMEN) CO., LTD. |
10971434 | Lead frame package having conductive surface with integral lead finger | SILANNA ASIA PTE LTD |
10971435 | Semiconductor device including bonding pad and bond wire or clip | INFINEON TECHNOLOGIES AG |
10971436 | Multi-branch terminal for integrated circuit (IC) package | INFINEON TECHNOLOGIES AG |
10971437 | Chip package structure and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10971438 | Chip-on film and display device including the same | LG DISPLAY CO., LTD. |
10971439 | Ball grid array underfilling systems | HAMILTON SUNDSTRAND CORPORATION |
10971440 | Semiconductor package having an impedance-boosting channel | INTEL CORPORATION |
10971441 | Package with metal-insulator-metal capacitor and method of manufacturing the same | -- |
10971442 | Semiconductor device having via sidewall adhesion with encapsulant | -- |
10971443 | Packages with Si-substrate-free interposer and method forming same | -- |
10971444 | Voltage noise reduction of power delivery networks for integrated circuits | INTEL CORPORATION |
10971445 | Comparison circuit including input sampling capacitor and image sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971446 | Semiconductor device and method of manufacture | -- |
10971447 | BEOL electrical fuse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971448 | Switching device | MURATA MANUFACTURING CO., LTD. |
10971449 | Semiconductor device with metallization structure on opposite sides of a semiconductor portion | INFINEON TECHNOLOGIES AG |
10971450 | Hexagonally arranged connection patterns for high-density device packaging | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10971451 | Interconnect structure having nanocrystalline graphene cap layer and electronic device including the interconnect structure | SAMSUNG ELECTRONICS CO., LTD. |
10971452 | Semiconductor package including electromagnetic interference shielding layer | SK HYNIX INC. |
10971453 | Semiconductor packaging with high density interconnects | INTEL CORPORATION |
10971454 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971455 | Ground shield plane for ball grid array (BGA) package | QUALCOMM INCORPORATED |
10971456 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10971457 | Semiconductor device comprising a composite material clip | INFINEON TECHNOLOGIES AG |
10971458 | Compensation network for high speed integrated circuits | CREDO TECHNOLOGY GROUP LIMITED |
10971459 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
10971460 | Integrated devices in semiconductor packages and methods of forming same | -- |
10971461 | Semiconductor device and method of manufacture | -- |
10971462 | Package structure and manufacturing method thereof | -- |
10971463 | Interconnection structure including a metal post encapsulated by a joint material having concave outer surface | -- |
10971464 | Electrical connection device and chip module connection device | -- |
10971465 | Driving chip, display substrate, display device and method for manufacturing display device | BOE TECHNOLOGY GROUP CO., LTD. |
10971466 | High frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
10971467 | Packaging method and package structure of fan-out chip | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10971468 | Automatic registration between circuit dies and interconnects | 3M INNOVATIVE PROPERTIES COMPANY |
10971469 | Semiconductor device including various peripheral areas having different thicknesses | LAPIS SEMICONDUCTOR CO., LTD. |
10971470 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971471 | Methods and systems for manufacturing semiconductor devices | MICRON TECHNOLOGY, INC. |
10971472 | Method of liquid assisted bonding | MIKRO MESA TECHNOLOGY CO., LTD. |
10971473 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10971474 | Package integration for high bandwidth memory | XILINX, INC. |
10971475 | Semiconductor package structure | -- |
10971476 | Bottom package with metal post interconnections | QUALCOMM INCORPORATED |
10971477 | Semiconductor packages and methods of forming the same | -- |
10971478 | Interposer design in package structures for wire bonding applications | INTEL CORPORATION |
10971479 | Semiconductor package including stacked semiconductor chips | SK HYNIX INC. |
10971483 | Semiconductor structure and manufacturing method thereof | -- |
10971484 | Package-on-package (PoP) semiconductor package and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971485 | Solenoid inductors within a multi-chip package | -- |
10971486 | Semiconductor package and method of manufacturing the semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10971487 | Semiconductor memory device | SK HYNIX INC. |
10971488 | Active ESD clamp deactivation | INFINEON TECHNOLOGIES AG |
10971492 | Package-embedded thin-film capacitors, package-integral magnetic inductors, and methods of assembling same | INTEL CORPORATION |
10971493 | Integrated circuit device with high mobility and system of forming the integrated circuit | -- |
10971502 | SRAM structure | -- |
10971503 | Structure and method for FinFET SRAM | -- |
10971512 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971514 | Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same | SANDISK TECHNOLOGIES LLC |
10971515 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971521 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10971540 | Method and systems for coupling semiconductor substrates | FLIR SYSTEMS, INC. |
10971541 | Detector architecture using photodetector arrays on thinned substrates | VAREX IMAGING CORPORATION |
10971545 | Magnetoresistive stacks and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10971576 | High resistivity soft magnetic material for miniaturized power converter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971601 | Replacement metal gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971609 | Back end of line nanowire power switch transistors | -- |
10971620 | Method for producing a semiconductor arrangement | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10971622 | Transistor structures | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
10971647 | Solar cell via thin film solder bond | AMBERWAVE, INC. |
10971656 | Resin molding, surface mounted light emitting apparatus and methods for manufacturing the same | NICHIA CORPORATION |
10971666 | Method for manufacturing an optical module and optical module | MITSUMI ELECTRIC CO., LTD. |
10971668 | Light-emitting device package including a lead frame | SAMSUNG ELECTRONICS CO., LTD. |
10971790 | Well thermalized microstrip formation for flexible cryogenic microwave lines in quantum applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971798 | Semiconductor device package and method of manufacturing the same | -- |
10971825 | Antenna module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971929 | Chip ESD protection circuit | CHINA ELECTRONIC TECHNOLOGY CORPORATION, 24TH RESEARCH INSTITUTE |
10972001 | Multi-terminal inductors for voltage regulators | INTEL CORPORATION |
10972068 | Process-invariant delay cell | QUALCOMM INCORPORATED |
10973113 | Component carrier with transistor components arranged side by side | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10973117 | Differential signal traces including a solder mask disposed thereon | FCI USA LLC |
10973151 | Vapor chamber | MURATA MANUFACTURING CO., LTD. |
10973154 | Reconfigurable thermal control of high-powered integrated circuitry | INTEL CORPORATION |
10973155 | Heat dissipation plate assembly, display module and its assembling method | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10973158 | Apparatus and method for mounting components on a substrate | BESI SWITZERLAND AG |
10973161 | Electronic component removal device | RAYTHEON COMPANY |
10975267 | Anisotropic conductive film and connection structure | DEXERIALS CORPORATION |
10976010 | LED filament and led light bulb | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LT |
10976119 | Heat transfer devices and methods of transfering heat | THE BOEING COMPANY |
10976414 | Heterogeneous integration of curved mirror structure for passive alignment in chip-scale lidar | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
10976491 | Photonics interposer optoelectronics | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
10976789 | Systems and methods for thermal management of multilayered integrated circuits | VATHYS, INC. |
10977414 | Constructing via meshes for high performance routing on silicon chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10977415 | Integrated device and method of forming the same | -- |
10977539 | Systems and methods for use of capacitive member to prevent chip fraud | CAPITAL ONE SERVICES, LLC |
10978117 | Centralized placement of command and address swapping in memory devices | MICRON TECHNOLOGY, INC. |
10978151 | Semiconductor memory device with memory cells each including a charge accumulation layer and a control gate | TOSHIBA MEMORY CORPORATION |
10978162 | Method and semiconductor device for protecting a semiconductor integrated circuit from reverse engineering | -- |
10978303 | Secure permanent integrated circuit personalization | -- |
10978305 | Manufacturing method for a film stack of a semiconductor device | -- |
10978312 | Semiconductor device package and method of manufacturing the same | -- |
10978313 | Fixture facilitating heat sink fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978314 | Multi integrated circuit chip carrier package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978337 | Aluminum-containing layers and methods of forming the same | -- |
10978338 | Semiconductor device and manufacture method thereof | -- |
10978339 | Metal interconnect structure and method for fabricating the same | -- |
10978342 | Interconnect with self-forming wrap-all-around barrier layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978343 | Interconnect structure having fully aligned vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978345 | Interconnect structure for stacked device | -- |
10978346 | Conductive vias in semiconductor packages and methods of forming same | -- |
10978347 | Device chip and method of manufacturing device chip | DISCO CORPORATION |
10978348 | 3D chip sharing power interconnect layer | XCELSIS CORPORATION |
10978350 | Structure and method for metal gates with roughened barrier layer | -- |
10978360 | PNA temperature monitoring method | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
10978362 | Semiconductor structure with conductive structure | -- |
10978363 | Semiconductor structure with conductive structure | -- |
10978364 | Semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
10978365 | Composite compositions for electronics applications | SIRRUS, INC. |
10978366 | Power module having a hole in a lead frame for improved adhesion with a sealing resin, electric power conversion device, and method for producing power module | MITSUBISHI ELECTRIC CORPORATION |
10978367 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10978368 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10978369 | Devices for absorbing energy from electronic components | LAIRD TECHNOLOGIES, INC. |
10978370 | Integrated fan-out packages with embedded heat dissipation structure | -- |
10978371 | Semiconductor device and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10978372 | Heat sink load balancing apparatus | GOOGLE LLC |
10978373 | Semiconductor device methods of manufacture | -- |
10978374 | Semiconductor package for discharging heat generated by semiconductor chip | SAMSUNG ELECTRONICS CO., LTD. |
10978375 | Semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10978376 | Sensing device and method for fabricating the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10978377 | Semiconductor chip set with double-sided off-chip bonding structure | -- |
10978378 | Encapsulated leadless package having an at least partially exposed interior sidewall of a chip carrier | INFINEON TECHNOLOGIES AG |
10978379 | Semiconductor device with island and associated leads | ROHM CO., LTD. |
10978380 | Semiconductor package with multi-level conductive clip for top side cooling | INFINEON TECHNOLOGIES AG |
10978381 | Semiconductor device | DENSO CORPORATION |
10978382 | Integrated circuit package and method | -- |
10978383 | Wiring board and method of manufacturing the same | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10978384 | Integrated circuits including multi-layer conducting lines | SAMSUNG ELECTRONICS CO., LTD. |
10978385 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10978386 | Microelectronic devices with through-silicon vias and associated methods of manufacturing | MICRON TECHNOLOGY, INC. |
10978387 | Semiconductor device and method for manufacturing the same | -- |
10978388 | Skip via for metal interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978389 | Semiconductor device and manufacturing method thereof | -- |
10978390 | Electronic device including switching element and semiconductor memory | SK HYNIX INC. |
10978391 | Connection structure of semiconductor device and manufacturing method thereof | -- |
10978392 | Electrical chip and optical module | FUJITSU LIMITED |
10978393 | Hybrid dielectric scheme for varying liner thickness and manganese concentration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978394 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10978395 | Method of manufacturing a semiconductor device having a power metallization structure | INFINEON TECHNOLOGIES AUSTRIA AG |
10978396 | Transient electronics using thermoresponsive materials | VANDERBILT UNIVERSITY |
10978397 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978398 | Semiconductor device and method for fabricating the same | -- |
10978399 | Die interconnect substrate, an electrical device, and a method for forming a die interconnect substrate | INTEL CORPORATION |
10978400 | Conductive vias | STMICROELECTRONICS (GRENOBLE 2) SAS |
10978401 | Package structure | -- |
10978402 | Electronic devices with yielding substrates | COOLEDGE LIGHTING INC. |
10978403 | Package structure and method for fabricating the same | -- |
10978404 | Semiconductor structure and method for fabricating semiconductor structure | -- |
10978405 | Integrated fan-out package | -- |
10978406 | Semiconductor package including EMI shielding structure and method for forming the same | -- |
10978407 | Stiffener-integrated interconnect bypasses for chip-package apparatus and methods of assembling same | INTEL CORPORATION |
10978408 | Semiconductor package and manufacturing method thereof | -- |
10978409 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10978410 | Semiconductor structure and manufacturing method thereof | -- |
10978411 | RF power package having planar tuning lines | INFINEON TECHNOLOGIES AG |
10978412 | Manufacturing method of package structure | -- |
10978413 | Circuit system having compact decoupling structure | -- |
10978414 | Semiconductor device and method of manufacturing a semiconductor device | ABLIC INC. |
10978415 | Semiconductor package having magnetic interconnects and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10978416 | Dual bond pad structure for photonics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978417 | Wiring structure and method for manufacturing the same | -- |
10978418 | Method of forming an electrical contact and method of forming a chip package with a metal contact structure and protective layer | INFINEON TECHNOLOGIES AG |
10978419 | Semiconductor package and manufacturing method thereof | -- |
10978420 | Semiconductor chip mounting apparatus and semiconductor chip mounting method | SHINKAWA LTD. |
10978421 | Wafer-level packaging method and package structure | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10978423 | Projecting contacts and method for making the same | INTEL CORPORATION |
10978424 | Semiconductor device and manufacturing method thereof | -- |
10978425 | Reducing loss in stacked quantum devices | GOOGLE LLC |
10978426 | Semiconductor packages with pass-through clock traces and associated systems and methods | MICRON TECHNOLOGY, INC. |
10978427 | Stacked semiconductor die assemblies with partitioned logic and associated systems and methods | MICRON TECHNOLOGY, INC. |
10978428 | Manufacturing method of semiconductor device | SK HYNIX INC. |
10978431 | Semiconductor package with connection substrate and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978432 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10978433 | Package-on-package (PoP) device with integrated passive device in a via | -- |
10978434 | Systems in packages including wide-band phased-array antennas and methods of assembling same | INTEL CORPORATION |
10978436 | Symmetric FET for RF nonlinearity improvement | PSEMI CORPORATION |
10978437 | Analog-mixed signal circuit cells with universal Fin pitch and poly pitch | QUALCOMM INCORPORATED |
10978438 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
10978446 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10978449 | Decoupling capacitor | -- |
10978452 | Structure and method of latchup robustness with placement of through wafer via within CMOS circuitry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978457 | Semiconductor device and manufacturing method thereof | -- |
10978458 | Semiconductor device including ultra low-k spacer and method for fabricating the same | SK HYNIX INC. |
10978460 | Semiconductor structure | -- |
10978461 | Antifuse array and method of forming antifuse using anodic oxidation | -- |
10978465 | Three-dimensional semiconductor device having a memory block and separation structures | SAMSUNG ELECTRONICS CO., LTD. |
10978473 | Flash memory structure and method of forming the same | -- |
10978474 | Devices including stack structures, and related methods and electronic systems | MICRON TECHNOLOGY, INC. |
10978475 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978476 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10978477 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10978478 | Block-on-block memory array architecture using bi-directional staircases | MICRON TECHNOLOGY, INC. |
10978481 | Nonvolatile memory device having a vertical structure and a memory system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10978485 | Vertical-channel ferroelectric flash memory | -- |
10978494 | Display including plurality of wiring layers in bending region | SAMSUNG ELECTRONICS CO., LTD. |
10978495 | Array substrate and method of manufacturing the same, and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10978500 | Flexible base substrate and fabrication method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10978501 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
10978505 | Solid-state imaging device including a sensor substrate and a logic substrate | RENESAS ELECTRONICS CORPORATION |
10978508 | Infrared detector having a directly bonded silicon substrate present on top thereof | L3 CINCINNATI ELECTRONICS CORPORATION |
10978547 | Integrated inductor | -- |
10978549 | Semiconductor device and method for fabricating the same | -- |
10978551 | Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978579 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10978580 | Insulated gate bipolar transistor and diode | ROHM CO., LTD. |
10978581 | Guard rings for cascode gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10978584 | Semiconductor structure, semiconductor assembly and power semiconductor device | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY |
10978615 | Plurality of light emitting devices having opaque insulating layer between them | -- |
10978623 | Light emitting element including adhesive member containing particles | NICHIA CORPORATION |
10978655 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978778 | Wafer level package with integrated antennas and means for shielding | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10978779 | Sputtered SIP antenna | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10978780 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978781 | 3D antenna for integrated circuits | -- |
10978782 | Semiconductor packages and manufacturing methods thereof | -- |
10978796 | Antenna apparatus and antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10978869 | USB type-C load switch ESD protection | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10979062 | Data acquisition system-in-package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
10980106 | Apparatus related to conformal coating implemented with surface mount devices | SKYWORKS SOLUTIONS, INC. |
10980108 | Multi-conductor interconnect structure for a microelectronic device | INTEL CORPORATION |
10980125 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10980127 | Methods for fabricating printed circuit board assemblies with high density via array | TTM TECHNOLOGIES INC. |
10980134 | Method for orienting solder balls on a BGA device | INTEL CORPORATION |
10980151 | Flexible heat transfer mechanism configurations | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
10981779 | MEMS devices and methods of forming the same | -- |
10981781 | Semiconductor arrangement and formation thereof | -- |
10981865 | Substituted or unsubstituted allyl group-containing maleimide compound, production method therefor, and composition and cured product using said compound | DIC CORPORATION |
10982053 | Polymer containing silphenylene and polyether structures | SHIN-ETSU CHEMICAL CO., LTD. |
10983082 | Measurement device comprising a suspended semiconductor wire | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10983278 | Adhesion promoter apparatus and method | -- |
10983439 | Calcite channel nanofluidics | SAUDI ARABIAN OIL COMPANY |
10983558 | Biometric sensor and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10984862 | Three-dimensional memory device with embedded dynamic random-access memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10984988 | Method of manufacturing ring-shaped member and ring-shaped member | THINKON NEW TECHNOLOGY JAPAN CORPORATION |
10985011 | Structure and formation method of semiconductor device with resistive elements | -- |
10985020 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | -- |
10985030 | Method for manufacturing semiconductor device | ROHM CO., LTD. |
10985031 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10985032 | Power MOSFET | -- |
10985033 | Semiconductor package with reduced parasitic coupling effects and process for making the same | QORVO US, INC. |
10985044 | Machine vision system for substrate alignment and alignment device | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10985050 | Semiconductor chip, semiconductor wafer and method for manufacturing semiconductor wafer | DYNAX SEMICONDUCTOR, INC. |
10985051 | Semiconductor device with air spacer and method for forming the same | -- |
10985053 | Contact plugs and methods of forming same | -- |
10985054 | Interconnect structure and method of forming the same | -- |
10985055 | Interconnection structure with anti-adhesion layer | -- |
10985056 | Structure and method to improve FAV RIE process margin and Electromigration | TESSERA, INC. |
10985057 | Method for contacting a buried interconnect rail of an integrated circuit chip from the back side of the IC | IMEC VZW |
10985058 | Semiconductor device and formation thereof | -- |
10985059 | Preclean and dielectric deposition methodology for superconductor interconnect fabrication | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985061 | Methods for forming contact plugs with reduced corrosion | -- |
10985062 | Self-aligned contact cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985064 | Buried power and ground in stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985065 | Method of dicing a wafer by pre-sawing and subsequent laser cutting | DISCO CORPORATION |
10985076 | Single metallization scheme for gate, source, and drain contact integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985080 | Electronic package that includes lamination layer | INTEL CORPORATION |
10985081 | Semiconductor device and electronic apparatus | SONY CORPORATION |
10985082 | Apparatus for efficient high-frequency communications | AKASH SYSTEMS, INC. |
10985083 | Semiconductor device and method for manufacturing the same | ROHM CO., LTD. |
10985084 | Integrated III-V device and driver device packages with improved heat removal and methods for fabricating the same | GLOBALFOUNDRIES U.S. INC. |
10985085 | Semiconductor device package and method for manufacturing the same | -- |
10985086 | Information handling system low form factor interface thermal management | DELL PRODUCTS L.P. |
10985087 | Wiring board | NGK SPARK PLUG CO., LTD. |
10985088 | System comprising at least one power module comprising at least one power die that is cooled by liquid cooled system | MITSUBISHI ELECTRIC CORPORATION |
10985089 | Semiconductor cooling arrangement | YASA LIMITED |
10985090 | Methods of manufacturing a thin film resistor with ends overlapped by interconnect pads | -- |
10985091 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985092 | Semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10985093 | Semiconductor device and method for producing semiconductor device | ROHM CO., LTD. |
10985094 | Lead frame and method of manufacturing lead frame | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10985095 | Power module for vehicle | HYUNDAI MOTOR COMPANY |
10985096 | Electrical device terminal finishing | TEXAS INSTRUMENTS INCORPORATED |
10985097 | Interposer-based damping resistor | ADVANCED MICRO DEVICES, INC. |
10985098 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
10985099 | Semiconductor packages | SK HYNIX INC. |
10985100 | Chip package with recessed interposer substrate | -- |
10985101 | Semiconductor package and manufacturing method thereof | -- |
10985102 | Semiconductor device, fabrication method for a semiconductor device and electronic apparatus | SONY CORPORATION |
10985103 | Apparatus and method of forming backside buried conductor in integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
10985104 | Semiconductor device having electrode pad and electrode layer intervening semiconductor layer inbetween and manufacturing method thereof | KABUSHIKI KAISHA TOSHIBA |
10985105 | Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985106 | Stack packages including bridge dies | SK HYNIX INC. |
10985107 | Systems and methods for forming die sets with die-to-die routing and metallic seals | APPLE INC. |
10985108 | Compositions for gap coating and/or filling in or between electronic packages by capillary flow and methods for the use thereof | HENKEL IP & HOLDING GMBH |
10985109 | Shielded semiconductor packages with open terminals and methods of making via two-step process | STATS CHIPPAC PTE. LTD. |
10985110 | Semiconductor package having an electromagnetic shielding structure and method for producing the same | INFINEON TECHNOLOGIES AG |
10985111 | Electronic assembly, electronic apparatus including the same and method for fabricating electronic assembly | 3M INNOVATIVE PROPERTIES COMPANY |
10985112 | Memory device and method for fabricating the memory device | SK HYNIX INC. |
10985113 | Display substrate, display panel and display device | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10985114 | Scheme for connector site spacing and resulting structures | -- |
10985115 | Semiconductor package and manufacturing method thereof | -- |
10985116 | Semiconductor package and method of forming the same | -- |
10985117 | Solder ball protection in packages | -- |
10985118 | High-frequency module | XSIGHT LABS LTD. |
10985119 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10985120 | Chip packaging method and chip packaging structure | JWL (ZHEJIANG) SEMICONDUCTOR CO., LTD. |
10985121 | Bump structure and fabricating method thereof | -- |
10985122 | Semiconductor package system and method | -- |
10985123 | Semiconductor apparatus | MURATA MANUFACTURING CO., LTD. |
10985124 | Semiconductor structure and manufacturing method thereof | -- |
10985125 | Chip package structure | -- |
10985126 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985127 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985128 | Anisotropic conductive film | DEXERIALS CORPORATION |
10985129 | Mitigating cracking within integrated circuit (IC) device carrier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985130 | Cu alloy bonding wire for semiconductor device | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
10985131 | Microelectronic device having protected connections and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
10985132 | Bonding apparatus, bonding system, bonding method and storage medium | TOKYO ELECTRON LIMITED |
10985133 | Die processing | INVENSAS BONDING TECHNOLOGIES, INC. |
10985134 | Method and system of manufacturing stacked wafers | -- |
10985135 | Methods for controlling warpage in packaging | -- |
10985136 | Microelectronic die stack having at least one rotated microelectronic die | INTEL CORPORATION |
10985137 | Stacked integrated circuit structure and method of forming | -- |
10985138 | Semiconductor package having a plurality of chips and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10985139 | Semiconductor chip for sensing temperature and semiconductor system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10985140 | Structure and formation method of package structure with underfill | -- |
10985141 | Semiconductor device having stacked chips | TOSHIBA MEMORY CORPORATION |
10985142 | Multi-deck three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10985143 | Micro assembled LED displays and lighting elements | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10985146 | Semiconductor device with integrated heat distribution and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10985147 | Capacitors embedded in stiffeners for small form-factor and methods of assembling same | INTEL CORPORATION |
10985149 | Semiconductor device package and method of manufacturing the same | OMNIVISION TECHNOLOGIES, INC |
10985150 | Display devices and methods for forming the same | -- |
10985151 | Semiconductor package and method for preparing the same | -- |
10985152 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985153 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10985154 | Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits | -- |
10985158 | Semiconductor device with transistor portion having low injection region on the bottom of a substrate | FUJI ELECTRIC CO., LTD. |
10985159 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10985160 | Semiconductor structures and methods of forming the same | -- |
10985168 | Semiconductor memory device | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
10985169 | Three-dimensional device with bonded structures including a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
10985177 | Method of manufacturing a semiconductor device having non-overlapping slits at one side of the channel layers of a memory block | SK HYNIX INC. |
10985186 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985189 | Contact structure and display device including the same | LG DISPLAY CO., LTD. |
10985199 | Image sensor having stress releasing structure and method of forming same | -- |
10985201 | Image sensor including silicon over germanium layer | -- |
10985211 | Embedded MRAM structure and method of fabricating the same | -- |
10985212 | Multi-component cell architectures for a memory device | MICRON TECHNOLOGY, INC. |
10985221 | Display device | LG DISPLAY CO., LTD. |
10985237 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10985243 | Castellated superjunction transistors | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985252 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10985260 | Trench silicide contacts with high selectivity process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985292 | Method for transferring semiconductor bodies and semiconductor chip | OSRAM OLED GMBH |
10985302 | Pick-and-remove system with deformable contact surface | ELUX, INC. |
10985333 | Flexible display apparatus and rollable display apparatus comprising the same | LG DISPLAY CO., LTD. |
10985342 | Display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10985451 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10985466 | Terahertz detector and method based on N×M dielectric resonant antenna array | GUANGDONG UNIVERSITY OF TECHNOLOGY |
10985636 | Semiconductor device | DENSO CORPORATION |
10985727 | Piezoelectric vibrator | MURATA MANUFACTURING CO., LTD. |
10985760 | Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells | -- |
10986292 | Solid-state image pickup device and electronic apparatus to increase yield | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10986737 | Method of restricting micro device on conductive pad | MIKRO MESA TECHNOLOGY CO., LTD. |
10986750 | Heat exchange device in directed flow system | HAMILTON SUNDSTRAND CORPORATION |
10986755 | Water cooler assembly and system | ARCTIC (HK) LTD |
10987753 | Wedge bonding tools, wedge bonding systems, and related methods | KULICKE AND SOFFA INDUSTRIES, INC. |
10987893 | Thermally conductive thin film sheet and article comprising same | INDONG ADVANCED MATERIALS, INC. |
10988374 | Device for supporting a MEMS component | MEAS SWITZERLAND S.à.R.L. |
10989396 | Illumination device | -- |
10989887 | Photonic integrated circuit package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10990008 | Resin composition | TORAY INDUSTRIES, INC. |
10990233 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
10990722 | FinFET cell architecture with insulator structure | SYNOPSYS, INC. |
10990739 | Scan channel fabric for tiled circuit designs | AMAZON TECHNOLOGIES, INC. |
10990781 | Exposure method, electronic device and master-slave system | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10991423 | Flying and twisted bit line architecture for dual-port static random-access memory (DP SRAM) | -- |
10991429 | Word line decoder circuitry under a three-dimensional memory array | SANDISK TECHNOLOGIES LLC |
10991572 | Manufacturing method for semiconductor apparatus | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10991597 | Method of fabricating a semiconductor device using an adhesive layer | SAMSUNG ELECTRONICS CO., LTD. |
10991598 | Methods of fabricating semiconductor packages including circuit patterns | SK HYNIX INC. |
10991599 | Self-aligned via and plug patterning for back end of line (BEOL) interconnects | INTEL CORPORATION |
10991604 | Method of manufacturing semiconductor structure | -- |
10991618 | Semiconductor device and method of manufacture | -- |
10991620 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991621 | Semiconductor die singulation | TEXAS INSTRUMENTS INCORPORATED |
10991632 | Assembly process for circuit carrier and circuit carrier | AB MIKROELEKTRONIK GESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
10991635 | Multiple chip bridge connector | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991636 | Semiconductor device and method | -- |
10991637 | Wafer-level chip-scale package including power semiconductor and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
10991638 | Semiconductor package system | SAMSUNG ELECTRONICS CO., LTD. |
10991639 | Compliant Pin Fin heat sink with base integral pins | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991640 | Semiconductor packages including bridge die | SK HYNIX INC. |
10991641 | Cantilevered leadframe support structure for magnetic wireless transfer between integrated circuit dies | TEXAS INSTRUMENTS INCORPORATED |
10991642 | Integrated circuit, and motor device including the same | JOHNSON ELECTRIC INTERNATIONAL AG |
10991643 | Power module comprising a housing which is formed in levels | ROBERT BOSCH GMBH |
10991644 | Integrated circuit package having a low profile | ALLEGRO MICROSYSTEMS, LLC |
10991645 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10991646 | Flexible circuit board for display | SILICON WORKS CO., LTD. |
10991647 | Printed circuit board and package structure having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991648 | Redistribution layer structure and semiconductor package | -- |
10991649 | Semiconductor device and method of manufacturing semiconductor device | -- |
10991650 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10991651 | Interconnection structure having reduced capacitance and method of manufacturing the same | -- |
10991652 | Energy storage interposer device with conductive nanostructures | SMOLTEK AB |
10991653 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10991654 | Inductive connection structure for use in an integrated circuit | STMICROELECTRONICS S.R.L. |
10991655 | E-fuse and manufacturing method thereof, and memory cell | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10991656 | Semiconductor device package | -- |
10991657 | Method for fabricating semiconductor device | -- |
10991658 | Electronic element module and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991659 | Substrate-less integrated components | APPLE INC. |
10991660 | Semiconductor package having high mechanical strength | ALPHA ANC OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10991661 | Radio-frequency isolation using backside cavities | SKYWORKS SOLUTIONS, INC. |
10991662 | Isolation cavities in semiconductor devices | SKYWORKS SOLUTIONS, INC. |
10991663 | Semiconductor device including dummy conductive cells | -- |
10991664 | Integrated fuse | STMICROELECTRONICS (ROUSSET) SAS |
10991665 | Package-level noise filtering for EMI RFI mitigation | INTEL CORPORATION |
10991666 | Location displacement detection method, location displacement detection device, and display device | SHARP KABUSHIKI KAISHA |
10991667 | Isolation structure for bond pad structure | -- |
10991668 | Connection pad configuration of semiconductor device | SYNAPTICS INCORPORATED |
10991669 | Semiconductor package using flip-chip technology | -- |
10991670 | Semiconductor device assemblies including spacer with embedded semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10991671 | Multi-piece wiring substrate, electronic component housing package, and electronic device | KYOCERA CORPORATION |
10991672 | Cu alloy bonding wire for semiconductor device | NIPPON STEEL CHEMICAL & MATERIAL CO., LTD. |
10991673 | Electronic device | KABUSHIKI KAISHA TOSHIBA |
10991674 | Electronic assembly and electronic system with impedance matched interconnect structures | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10991675 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10991676 | Systems and methods for flash stacking | INVENSAS CORPORATION |
10991677 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10991678 | Semiconductor device and method for manufacturing semiconductor device | LG CHEM, LTD. |
10991679 | Stair-stacked dice device in a system in package, and methods of making same | INTEL CORPORATION |
10991680 | Common source land grid array package | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN), LTD. |
10991681 | Three-dimensional package structure | -- |
10991683 | Method of producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
10991684 | 3D stacked integrated circuits having functional blocks configured to provide redundancy sites | MICRON TECHNOLOGY, INC. |
10991685 | Assembling of chips by stacking with rotation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991694 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991697 | NAND string utilizing floating body memory cell | ZENO SEMICONDUCTOR, INC. |
10991712 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10991715 | Semiconductor memory device and method of manufacturing semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10991716 | Semiconductor device having a vertical channel layer with an impurity region surrounding a dielectric core | SK HYNIX INC. |
10991717 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991721 | Three-dimensional memory device including liner free molybdenum word lines and methods of making the same | SANDISK TECHNOLOGIES LLC |
10991728 | Display panel | -- |
10991742 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10991743 | Solid state image pickup device and production method, semiconductor wafer, and electronic apparatus | SONY CORPORATION |
10991748 | 3D image sensor | SAMSUNG ELECTRONICS CO., LTD. |
10991760 | Memory device having PUC structure | SK HYNIX INC. |
10991761 | Three-dimensional cross-point memory device containing inter-level connection structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10991787 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10991804 | Transistor level interconnection methodologies utilizing 3D interconnects | XCELSIS CORPORATION |
10991808 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991846 | Method of manufacturing micro light-emitting element array, transfer carrier, and micro light-emitting element array | -- |
10991853 | Carrier for an optoelectronic component, method of producing a carrier for an optoelectronic component, wafer and soldering method | OSRAM OLED GMBH |
10991854 | Light-emitting element with crack preventing cushion | -- |
10991873 | Semiconductor device and method for fabricating the same | -- |
10991882 | Methods of forming resistive memory elements | MICRON TECHNOLOGY, INC. |
10992017 | Semiconductor package comprising chiplets disposed on a substrate which are electromagnetically coupled by dielectric waveguides and a computing networks formed therefrom | INTEL CORPORATION |
10992022 | Microwave antenna apparatus, packing and manufacturing method | SONY CORPORATION |
10992040 | TFT substrate, scanning antenna comprising TFT substrate, and method for producing TFT substrate | SHARP KABUSHIKI KAISHA |
10992055 | Component carrier with integrated antenna arrangement, electronic apparatus, radio communication method | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10992261 | Semiconductor integrated circuitry | SOCIONEXT INC. |
10992267 | Power amplifier module, frontend circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
10993014 | Integrated circuit packages in headphones and method for forming and operating the same | -- |
10993317 | Wafer level optical module | APPLE INC. |
10993325 | Interposer printed circuit boards for power modules | ABB POWER ELECTRONICS INC. |
10993326 | Securing mechanism for use with processor module | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
10993330 | Display panel, display device, and method for manufacturing display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10993332 | Circuit substrate | -- |
10993351 | One mixed heat sink fins for better thermal dissipation used on electrical products | HARMAN INTERNATIONAL INDUSTRIES, INCORPORATED |
10993355 | Ground strap and method of grounding a plurality of electrically conductive members therewith | FEDERAL-MOGUL POWERTRAIN LLC |
10994369 | Method of reducing photoelectron yield and/or secondary electron yield of a ceramic surface; corresponding apparatus and product | UNIVERSITY OF DUNDEE |
10994387 | Fabrication of flexible conductive films, with semiconductive material, formed with rubbing-in technology for elastic or deformable devices | KING ABDULAZIZ UNIVERSITY |
10994517 | Glass substrate and laminated substrate | AGC INC. |
10994878 | Feeding device for an electrostatic bag | WORLD PRECISION MANUFACTURING (DONGGUAN) CO., LTD. |
10995196 | Thermally conductive sheet and method for manufacturing thermally conductive sheet | KITAGAWA INDUSTRIES CO., LTD. |
10996198 | Integrated circuit sensor and sensor substrate | SHARP KABUSHIKI KAISHA |
10996569 | Measurement device, method and display device | HITACHI HIGH-TECH CORPORATION |
10997108 | Memory package including buffer, expansion memory module, and multi-module memory system | SAMSUNG ELECTRONICS CO., LTD. |
10997355 | Design-rule checking for curvilinear device features | GLOBALFOUNDRIES U.S. INC. |
10997386 | Image data transmission system and image data transmission method | GUANGZHOU TYRAFOS SEMICONDUCTOR TECHNOLOGIES CO., LTD |
10997389 | Electronic device with exposed conductive member at step in mold and fingerprint recognition apparatus equipped with the same | MURATA MANUFACTURING CO., LTD. |
10998014 | Semiconductor dies supporting multiple packaging configurations and associated methods | MICRON TECHNOLOGY, INC. |
10998079 | Structure and method for testing three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10998182 | Semiconductor wafer and method of wafer thinning | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10998189 | Laser annealing process of drive backplane and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10998199 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
10998201 | Semiconductor encapsulation structure | SHENZHEN REFOND OPTOELECTRONICS CO., LTD. |
10998202 | Semiconductor package and manufacturing method thereof | -- |
10998224 | Semiconductor devices comprising conductive patterns of varying dimensions and related systems | MICRON TECHNOLOGY, INC. |
10998225 | Semiconductor device and method of forming the same | -- |
10998226 | Method of forming interconnection structure with anti-adhesion liner | -- |
10998227 | Metal insulator metal capacitor with extended capacitor plates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998228 | Self-aligned interconnect with protection layer | -- |
10998230 | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998231 | Method for increasing semiconductor device wafer strength | NXP USA, INC. |
10998236 | Method for fabricating a row of MOS transistors | STMICROELECTRONICS (CROLLES 2) SAS |
10998242 | Semiconductor device including dual trench epitaxial dual-liner contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998246 | Method of manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
10998247 | Board with embedded passive component | SAMSUNG ELECTRONICS CO., LTD. |
10998248 | Semiconductor device and method of forming sacrificial adhesive over contact pads of semiconductor die | JCET SEMICONDUCTOR (SHAOXING) CO. LTD. |
10998249 | Semiconductor assembly | SIEMENS AKTIENGESELLSCHAFT |
10998250 | Bonded body and insulating circuit substrate | MITSUBISHI MATERIALS CORPORATION |
10998251 | Semiconductor package structure and a method of manufacturing the same | -- |
10998252 | Efficient heat-sinking in PIN diode | JUNIPER NETWORKS, INC. |
10998253 | Fluid diverting heat sink | GOOGLE LLC |
10998255 | Overmolded microelectronic packages containing knurled flanges and methods for the production thereof | NXP USA, INC. |
10998256 | High voltage semiconductor device lead frame and method of fabrication | TEXAS INSTRUMENTS INCORPORATED |
10998257 | Semiconductor device and method of manufacturing same | KABUSHIKI KAISHA TOSHIBA |
10998258 | Circuit carrier and manufacturing method thereof | -- |
10998259 | Semiconductor device and method of manufacture | -- |
10998260 | Microelectronic devices having air gap structures integrated with interconnect for reduced parasitic capacitances | INTEL CORPORATION |
10998261 | Over-molded IC package with in-mold capacitor | INTEL CORPORATION |
10998262 | Stripped redistrubution-layer fabrication for package-top embedded multi-die interconnect bridge | INTEL CORPORATION |
10998263 | Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998264 | Dual-gate trench IGBT with buried floating P-type shield | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10998265 | Interface structures and methods for forming same | INVENSAS BONDING TECHNOLOGIES, INC. |
10998266 | Semiconductor devices including redistributed layer structures and methods of forming semiconductor devices including redistributed layer structures | SK HYNIX INC. |
10998267 | Wafer-level chip-size package with redistribution layer | -- |
10998268 | Semiconductor device | SK HYNIX INC. |
10998269 | Chemical direct pattern plating method | -- |
10998270 | Local interconnect for group IV source/drain regions | INTEL CORPORATION |
10998271 | High density pillar interconnect conversion with stack to substrate connection | MICRON TECHNOLOGY, INC. |
10998272 | Organic interposers for integrated circuit packages | INTEL CORPORATION |
10998273 | Hybrid integrated circuit architecture | HRL LABORATORIES, LLC |
10998274 | Seal ring structure, semiconductor die, and method for detecting cracks on semiconductor die | -- |
10998275 | Package with cathodic protection for corrosion mitigation | INTEL CORPORATION |
10998276 | Integrated circuit | INFINEON TECHNOLOGIES AG |
10998277 | Guard ring method for semiconductor devices | -- |
10998278 | Process and method for achieving high immunity to ultrafast high voltage transients across inorganic galvanic isolation barriers | TEXAS INSTRUMENTS INCORPORATED |
10998279 | On-chip integrated cavity resonator | INFINEON TECHNOLOGIES AG |
10998280 | Balance-unbalance converter and semiconductor integrated circuit having the same | ANRITSU CORPORATION |
10998281 | Semiconductor packages | SK HYNIX INC. |
10998282 | Surface finishes for high density interconnect architectures | INTEL CORPORATION |
10998283 | Semiconductor device production method | TOSHIBA MEMORY CORPORATION |
10998284 | Low pressure sintering powder | ALPHA ASSEMBLY SOLUTIONS INC. |
10998285 | Code pattern for representing tracing number of chip | OMNIVISION TECHNOLOGIES, INC. |
10998286 | Laser-induced selective heating for microLED placement and bonding | FACEBOOK TECHNOLOGIES, LLC |
10998287 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10998288 | Method of manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
10998289 | Packaging structure and forming method thereof | TONGFU MICROELECTRONICS CO., LTD. |
10998290 | Semiconductor device assemblies with molded support substrates | MICRON TECHNOLOGY, INC. |
10998291 | Channel routing for memory devices | MICRON TECHNOLOGY, INC. |
10998292 | Offset pads over TSV | INVENSAS BONDING TECHNOLOGIES, INC. |
10998293 | Method of fabricating semiconductor structure | -- |
10998294 | Semiconductor packages having stacked chip structure | SK HYNIX INC. |
10998295 | Semiconductor device | DENSO CORPORATION |
10998296 | In-vehicle display device using semiconductor light-emitting device | ZKW GROUP GMBH |
10998300 | Display unit | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998301 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10998302 | Packaged device with a chiplet comprising memory resources | INTEL CORPORATION |
10998303 | Method of manufacturing package-on-package device and bonding apparatus used therein | SAMSUNG ELECTRONICS CO., LTD. |
10998304 | Conductive line patterning | -- |
10998306 | Protection of an integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
10998309 | Semiconductor unit, semiconductor module, and semiconductor device having terminal region extending in parallel to the transistors | FUJI ELECTRIC CO., LTD. |
10998314 | Gate cut with integrated etch stop layer | TESSERA, INC. |
10998316 | Vertical memory device and method for fabricating vertical memory device | SK HYNIX INC. |
10998324 | Semiconductor device comprising work function metal pattern in boundary region and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10998333 | Vertical memory device and method for fabricating the same | SK HYNIX INC. |
10998334 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10998335 | Semiconductor device including a passivation film and multiple word lines | TOSHIBA MEMORY CORPORATION |
10998337 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10998347 | Semiconductor device, display device, and electronic device | JAPAN DISPLAY INC. |
10998351 | Source drive integrated circuit, method of manufacturing the same, and display apparatus including the source drive integrated circuit | SILICON WORKS CO., LTD. |
10998355 | Semiconductor device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998361 | Image-sensor package and associated method | OMNIVISION TECHNOLOGIES, INC. |
10998367 | Image sensor and image-capturing apparatus | NIKON CORPORATION |
10998368 | Semiconductor apparatus | CANON KABUSHIKI KAISHA |
10998370 | Semiconductor device with insulating layers forming a bonding plane between first and second circuit components, method of manufacturing the same, and electronic device | CANON KABUSHIKI KAISHA |
10998390 | Organic light emitting diode display and a manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10998397 | Capacitor structure with low capacitance | -- |
10998405 | Low-defect graphene-based devices and interconnects | INTEL CORPORATION |
10998436 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10998437 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10998451 | ESD protection diode | KABUSHIKI KAISHA TOSHIBA |
10998478 | Light-emitting element, light-emitting element package comprising light-emitting element, and light-emitting device comprising light-emitting element package | LG INNOTEK CO., LTD. |
10998485 | Cooler device with superconductor shunts | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10998605 | Connecting unit for connecting to first and second interfaces, where the connecting unit comprises an internal conductor disposed within a housing formed by half-shell construction | TESAT-SPACECOM GMBH & CO. KG |
10998721 | Electrostatic discharge (ESD) protection circuits using tunneling field effect transistor (TFET) and impact ionization MOSFET (IMOS) devices | STMICROELECTRONICS INTERNATIONAL N.V. |
10998831 | Power switching module and electronic power device integrating said module | INSTITUT VEDECOM |
10998855 | Scalable arrays of radiating oscillating units | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10998873 | Capacitor unit, integrated capacitor, and resonance unit | HUAWEI TECHNOLOGIES CO., LTD. |
10998879 | Monolithic die with acoustic wave resonators and active circuitry | INTEL CORPORATION |
10998902 | Semiconductor module and semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10999545 | Solid-state image sensor, imaging device, and electronic device | SONY CORPORATION |
10999551 | Solid-state image capture device including stacked pixel substrate and circuit substrate and image capture device | PANASONIC SEMICONDUCTOR SOLUTIONS CO., LTD. |
10999919 | Flexible electronic assembly for placement on a vehicle motor assembly | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10999924 | Sideband conductor resonance mitigation | INTEL CORPORATION |
10999926 | Stress relief encapsulation for flexible hybrid electronics | FLEX LTD. |
10999932 | Electronic package including cavity defined by resin and method of forming same | SKYWORKS FILTER SOLUTIONS JAPAN CO., LTD. |
10999957 | Communication module and mounting structure thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11000923 | Tool and method of reflow | -- |
11002469 | Integral heat superconducting plate heat exchanger and fabrication method therefor | ZHEJIANG JIAXI OPTOELECTRONIC EQUIPMENT MANUFACTURING CO., LTD. |
11002496 | Thermal conduction device and associated heat dissipation system | ALSTOM TRANSPORT TECHNOLOGIES |
11002788 | Circuit test structure | -- |
11002832 | Chip-scale LIDAR with a single 2D MEMS scanner | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11002927 | Package structure | -- |
11003164 | Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems | MICRON TECHNOLOGY, INC. |
11003227 | Liquid-type cooling apparatus and manufacturing method for heat radiation fin in liquid-type cooling apparatus | MITSUBISHI ELECTRIC CORPORATION |
11003291 | Semiconductor device having a communication bus | SYNAPTICS INCORPORATED |
11004381 | Array substrate comprising an antenna, driving method and display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11004478 | Semiconductor memory device | KIOXIA CORPORATION |
11004574 | Method for manufacturing anisotropic conductive film, and anisotropic conductive film | DEXERIALS CORPORATION |
11004603 | Vertical electrode decoupling/bypass capacitor | AVX CORPORATION |
11004614 | Stacked capacitors for use in integrated circuit modules and the like | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004677 | Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device | SAMSUNG DISPLAY CO., LTD. |
11004680 | Semiconductor device package thermal conduit | TEXAS INSTRUMENTS INCORPORATED |
11004688 | FinFET device and method of forming | -- |
11004694 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11004695 | Power semiconductor module arrangement having a base plate and a contact element | INFINEON TECHNOLOGIES AG |
11004697 | Semiconductor device with a multi-layered encapsulant and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11004698 | Power module package | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11004699 | Electronic device and method for manufacturing the same | OMRON CORPORATION |
11004700 | Temporary post-assisted embedding of semiconductor dies | INFINEON TECHNOLOGIES AG |
11004719 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11004723 | Wafer production method | SILTECTRA GMBH |
11004726 | Stairstep structures in multilevel circuitry, and method for forming the same | -- |
11004728 | Semiconductor die having edge with multiple gradients and method for forming the same | -- |
11004730 | Methods of forming conductive features using a vacuum environment | -- |
11004731 | Semiconductor device | KIOXIA CORPORATION |
11004733 | Protection structures for bonded wafers | -- |
11004734 | Metal-based etch-stop layer | -- |
11004735 | Conductive interconnect having a semi-liner and no top surface recess | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004736 | Integrated circuit having a single damascene wiring network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004737 | Field effect device with reduced capacitance and resistance in source/drain contacts at reduced gate pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004739 | Gate contact structure over active gate and method to fabricate same | INTEL CORPORATION |
11004740 | Structure and method for interconnection with self-alignment | -- |
11004742 | Methods and apparatus for an improved integrated circuit package | TEXAS INSTRUMENTS INCORPORATED |
11004755 | Apparatus and method for the minimization of undercut during a UBM etch process | VEECO INSTRUMENTS INC. |
11004756 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11004757 | Bonded structures | INVENSAS BONDING TECHNOLOGIES, INC. |
11004758 | Integrated circuit package and method | -- |
11004759 | Electronic component and method for manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11004760 | Chip structure operating method including heating elements to reduce temperature variation | SAMSUNG ELECTRONICS CO., LTD. |
11004761 | Packaging of a semiconductor device with dual sealing materials | MITSUBISHI ELECTRIC CORPORATION |
11004762 | Semiconductor device, vehicle-mounted semiconductor device, and vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11004763 | Superconducting device with multiple thermal sinks | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11004764 | Semiconductor package having symmetrically arranged power terminals and method for producing the same | INFINEON TECHNOLOGIES AG |
11004765 | Field-effect transistor with a heat absorber in contact with a surface of the gate electrode on its back side | DENSO CORPORATION |
11004766 | Cooler | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11004767 | Composite material, electronic apparatus, and method for manufacturing electronic apparatus | SONY CORPORATION |
11004768 | Multi-chip package with partial integrated heat spreader | INTEL CORPORATION |
11004769 | Metal inverse opal substrate with integrated jet cooling in electronic modules | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11004770 | Phase changing on-chip thermal heat sink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004771 | Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices | -- |
11004772 | Cooling structure, cooling structure manufacturing method, power amplifier, and transmitter | NEC CORPORATION |
11004773 | Porous barrier layer for improving reliability of through-substrate via structures and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11004774 | Fabricating field-effect transistors with body contacts between source, gate and drain assemblies | SKYWORKS SOLUTIONS, INC. |
11004775 | SMDS integration on QFN by 3D stacked solution | STMICROELECTRONICS S.R.L. |
11004776 | Semiconductor device with frame having arms and related methods | STMICROELECTRONICS, INC. |
11004777 | Semiconductor device assemblies | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11004778 | Polygonal BGA semiconductor package | MARVELL ISRAEL (M.I.S.L) LTD. |
11004779 | Semiconductor device package and a method of manufacturing the same | -- |
11004780 | Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro | QUALCOMM INCORPORATED |
11004781 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11004782 | Semiconductor device with internal and external electrode and method of manufacturing | ROHM CO., LTD. |
11004783 | Integrated circuit chip design for symmetric power delivery | MICROSOFT TECHNOLOGY LICENSING, LLC |
11004784 | Metal-on-metal capacitor | QUALCOMM INCORPORATED |
11004785 | Co-integrated vertically structured capacitive element and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
11004786 | Package structure and method of forming the same | -- |
11004787 | Semiconductor chip | TOSHIBA MEMORY CORPORATION |
11004788 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11004789 | Semiconductor device including back side power supply circuit | -- |
11004790 | Method of manufacturing an interconnect without dielectric exclusion zones by thermal decomposition of a sacrificial filler material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004791 | Semiconductor chip with stacked conductor lines and air gaps | ADVANCED MICRO DEVICES, INC. |
11004792 | Microelectronic device including fiber-containing build-up layers | INTEL CORPORATION |
11004793 | Method of forming an interconnect structure having an air gap and structure thereof | -- |
11004794 | Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof | -- |
11004795 | Semiconductor structure and manufacturing method thereof | -- |
11004796 | Integrated fan-out package | -- |
11004797 | Package structure, semiconductor package and method of fabricating the same | -- |
11004798 | Apparatuses including conductive structure layouts | MICRON TECHNOLOGY, INC. |
11004799 | Package structure and manufacturing method thereof | -- |
11004800 | Secure chips with serial numbers | ASML NETHERLANDS B.V. |
11004801 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11004802 | Reliability extreme temperature integrated circuits and method for producing the same | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11004803 | Dummy dies for reducing warpage in packages | -- |
11004804 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11004805 | Semiconductor device and method of fabricating same including two seal rings | -- |
11004806 | Semiconductor device, manufacturing method of semiconductor device, integrated substrate, and electronic device | SONY CORPORATION |
11004807 | Method of producing laminated substrate, method of producing semiconductor module, laminated substrate, and semiconductor module | FUJI ELECTRIC CO., LTD. |
11004808 | Package with different types of semiconductor dies attached to a flange | CREE, INC. |
11004809 | Chip package with antenna element | -- |
11004810 | Semiconductor package structure | -- |
11004811 | Semiconductor structure | -- |
11004812 | Package structure and method of forming the same | -- |
11004813 | Semiconductor device and manufacturing method of semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11004814 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11004815 | Semiconductor device | DENSO CORPORATION |
11004816 | Hetero-integrated structure | -- |
11004817 | Semiconductor device and method for manufacturing the same | SOCIONEXT INC. |
11004818 | Package with passive devices and method of forming the same | -- |
11004819 | Prevention of bridging between solder joints | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004820 | Apparatus and method for filling a ball grid array | AURIGIN TECHNOLOGY PTE LTD |
11004821 | Wire bonding method and wire bonding apparatus | SHINKAWA LTD. |
11004822 | Wire clamp apparatus calibration method and wire bonding apparatus | SHINKAWA LTD. |
11004823 | Chip assembly and method of manufacturing thereof | INFINEON TECHNOLOGIES AG |
11004824 | Scalable embedded silicon bridge via pillars in lithographically defined vias, and methods of making same | INTEL CORPORATION |
11004825 | Semiconductor package of package-on-package type | SAMSUNG ELECTRONICS CO., LTD. |
11004826 | 3DIC formation with dies bonded to formed RDLs | -- |
11004827 | Semiconductor package and manufacturing method of semiconductor package | -- |
11004829 | Memory scaling semiconductor device | SANDISK TECHNOLOGIES LLC |
11004831 | Stack packages including a fan-out sub-package | SK HYNIX INC. |
11004832 | System, structure, and method of manufacturing a semiconductor substrate stack | -- |
11004833 | Multi-chip stacked devices | XILINX, INC. |
11004836 | Method for integrating a light emitting device | APPLE INC. |
11004837 | Semiconductor device with improved heat dissipation | TOSHIBA MEMORY CORPORATION |
11004838 | Packaged die and RDL with bonding structures therebetween | -- |
11004841 | Semiconductor device having multiple gate pads | VISHAY SILICONIX, LLC |
11004845 | Semiconductor device and manufacturing method thereof | -- |
11004855 | Buried metal track and methods forming same | -- |
11004856 | Stacked vertical transistor memory cell with epi connections | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004860 | Non-volatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004882 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004890 | Substrate based light emitter devices, components, and related methods | CREELED, INC. |
11004895 | Pixel or display with sub pixels selected by antifuse programming | BLACK PEAK LLC |
11004903 | Electronic device having hexagonal structure and addressing method therefor | INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY |
11004929 | Trimmable silicon-based thermistor with reduced stress dependence | TEXAS INSTRUMENTS INCORPORATED |
11004930 | High density three-dimensional integrated capacitors | TESSERA, INC. |
11004931 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11004937 | Semiconductor device and manufacturing method thereof | -- |
11004951 | Surface treatment and passivation for high electron mobility transistors | -- |
11004972 | Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11004987 | Metallization of conductive wires for solar cells | SUNPOWER CORPORATION |
11004989 | Photodiodes formed on a thermally conductive layer and, photodiode systems | PHASE SENSITIVE INNOVATIONS, INC. |
11004992 | Rear face element for a solar module | MATRIX MODULE GMBH |
11005022 | Vertical transmon qubit device with microstrip waveguides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11005033 | Component semiconductor structure | TDK-MICRONAS GMBH |
11005149 | Metaconductor skins for low loss RF conductors | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11005155 | Microwave antenna apparatus and package | SONY CORPORATION |
11005262 | Arc mitigation in electrical power distribution system | GE AVIATION SYSTEMS LIMITED |
11005263 | Electro-static discharge (ESD) protection clamp technology | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11005436 | Monolithic microwave integrated circuit having an overlay transformer and low impedance transmission lines | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11005444 | Acoustic wave device, radio-frequency front end circuit, and communication device | MURATA MANUFACTURING CO., LTD. |
11006193 | Electro-optical apparatus having high-throughput electrical data links | NOKIA SOLUTIONS AND NETWORKS OY |
11006513 | Electronic assembly having sectional thermal management | DEERE & COMPANY |
11006514 | Three-dimensional decoupling integration within hole in motherboard | INTEL CORPORATION |
11006521 | Wiring base plate, electronic device package, and electronic device | KYOCERA CORPORATION |
11006832 | Wireless sensor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11007601 | Device for establishing a bonding connection and transducer therefor | HESSE GMBH |
11007751 | Impact resistant structure and electronic device | -- |
11008462 | Heat-conductive sheet | SEKISUI POLYMATECH CO., LTD. |
11009798 | Wafer alignment markers, systems, and related methods | MICRON TECHNOLOGY, INC. |
11009905 | Semiconductor integrated circuit and clock supply method including a sample and hold circuit | RICOH COMPANY, LTD. |
11009927 | Loop heat pipe, method of manufacturing the same, and electronic device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11011127 | Display apparatus | LG DISPLAY CO., LTD. |
11011209 | Three-dimensional memory device including contact-level bit-line-connection structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11011297 | Semiconductor device and semiconductor module | ROHM CO., LTD. |
11011315 | Thin film capacitor, manufacturing method therefor, and multilayer circuit board embedded with thin film capacitor | TDK CORPORATION |
11011373 | Engineered substrate structures for power and RF applications | QROMIS, INC. |
11011374 | Group III nitride semiconductor substrate and method for manufacturing group III nitride semiconductor substrate | FURUKAWA CO., LTD. |
11011376 | Method of manufacturing semiconductor structure with an epitaxial layer | -- |
11011389 | Additively manufactured flexible interposer | THE BOEING COMPANY |
11011390 | Micro device stabilization post | APPLE INC. |
11011394 | System and method for annealing die and wafer | -- |
11011396 | Customized smart devices and touchscreen devices and cleanspace manufacturing methods to make them | -- |
11011412 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11011414 | Multi-barrier deposition for air gap formation | -- |
11011415 | Airgap vias in electrical interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011416 | Semiconductor structure and method for forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11011417 | Method and structure of metal cut | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011418 | 3D IC method and device | INVENSAS BONDING TECHNOLOGIES, INC. |
11011419 | Method for forming interconnect structure | -- |
11011420 | Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11011421 | Semiconductor device having voids and method of forming same | -- |
11011422 | Self-aligned wrap-around trench contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011423 | Semiconductor device and method of using a standardized carrier in semiconductor packaging | STATS CHIPPAC PTE. LTD. |
11011431 | Semiconductor structure and manufacturing method thereof | -- |
11011435 | Apparatus and method inspecting bonded semiconductor dice | ASM TECHNOLOGY SINGAPORE PTE LTD |
11011439 | Pre-molded substrate, method of manufacturing pre-molded substrate, and hollow type semiconductor device | ABLIC INC. |
11011440 | Semiconductor element bonding body, semiconductor device, and method of manufacturing semiconductor element bonding body | MITSUBISHI ELECTRIC CORPORATION |
11011441 | Multilayer ceramic substrate and electronic device | MURATA MANUFACTURING CO., LTD. |
11011442 | Power module | MITSUBISHI ELECTRIC CORPORATION |
11011443 | Power semiconductor device including a spacer | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11011444 | Semiconductor package structure | -- |
11011445 | Semiconductor package device | MAGNACHIP SEMICONDUCTOR, LTD. |
11011446 | Semiconductor device and method of making a semiconductor device | NEXPERIA B.V. |
11011447 | Semiconductor package and method for forming the same | -- |
11011448 | IC package including multi-chip unit with bonded integrated heat spreader | INTEL CORPORATION |
11011449 | Apparatus and method for dissipating heat in multiple semiconductor device modules | MICRON TECHNOLOGY, INC. |
11011450 | Preparation method of a ceramic module for power semiconductor integrated packaging | XI'AN BAIXIN CHUANGDA ELECTRONIC TECHNOLOGY CO., LTD. |
11011451 | Integrated circuit package and method | -- |
11011452 | Heat spreaders for semiconductor devices, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11011453 | Cooling apparatus, semiconductor module, vehicle, and manufacturing method | FUJI ELECTRIC CO., LTD. |
11011454 | Power module apparatus, cooling structure, and electric vehicle or hybrid electric vehicle | ROHM CO., LTD. |
11011455 | Electronic package structure with improved board level reliability | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11011456 | Lead frames including lead posts in different planes | INFINEON TECHNOLOGIES AG |
11011457 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11011458 | Circuit board structure and manufacturing method thereof | -- |
11011459 | Back-end-of-line (BEOL) on-chip sensor | QUALCOMM INCORPORATED |
11011460 | Package structure, package-on-package structure and manufacturing method thereof | -- |
11011461 | Perpendicular inductors integrated in a substrate | QUALCOMM INCORPORATED |
11011462 | Method for forming fuse pad and bond pad of integrated circuit | -- |
11011463 | Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom | INTEL CORPORATION |
11011464 | Package structures and method of forming the same | -- |
11011465 | Single crystal silicon carbide substrate, method of manufacturing single crystal silicon carbide substrate, and semiconductor laser | HITACHI POWER SOLUTIONS CO., LTD. |
11011466 | Integrated circuit package with integrated voltage regulator | ADVANCED MICRO DEVICES, INC. |
11011467 | Method of forming interconnection structure | -- |
11011468 | Semiconductor structure and method for manufacturing the same | -- |
11011469 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11011470 | Microelectronic package with mold-integrated components | INTEL CORPORATION |
11011471 | Semiconductor device | LONGITUDE LICENSING LIMITED |
11011472 | Self-aligned register structure for base polysilicon and preparation method thereof | JIANGSU |
11011473 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11011474 | Electromagnetic wave attenuator and electronic device | KABUSHIKI KAISHA TOSHIBA |
11011476 | Lead frame surface finishing | STMICROELECTRONICS INTERNATIONAL N.V. |
11011477 | High-reliability electronic packaging structure, circuit board, and device | HUAWEI TECHNOLOGIES CO., LTD. |
11011478 | Semiconductor device | -- |
11011479 | Protected electronic chip | STMICROELECTRONICS (ROUSSET) SAS |
11011480 | Semiconductor device having a flat region with an outer peripheral shape including chamfer portions | ABLIC INC. |
11011481 | Configurable resistor | INTEL CORPORATION |
11011482 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11011483 | Nickel alloy for semiconductor packaging | TEXAS INSTRUMENTS INCORPORATED |
11011484 | Semiconductor device having first and second terminals | KIOXIA CORPORATION |
11011485 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11011486 | Bonded semiconductor structure and method for forming the same | -- |
11011487 | Semiconductor package having varying conductive pad sizes | -- |
11011488 | Zinc-cobalt barrier for interface in solder bond applications | TEXAS INSTRUMENTS INCORPORATED |
11011489 | Semiconductor device | ROHM CO., LTD. |
11011490 | Assembly comprising hybrid interconnecting means including intermediate interconnecting elements and sintered metal joints, and manufacturing process | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11011491 | Semiconductor device packages and methods of manufacturing the same | -- |
11011492 | Ultrasonic transducer systems including tuned resonators, equipment including such systems, and methods of providing the same | KULICKE AND SOFFA INDUSTRIES, INC. |
11011493 | Bonding and placement tools for bonding machines, bonding machines for bonding semiconductor elements, and related methods | KULICKE AND SOFFA INDUSTRIES, INC. |
11011494 | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics | INVENSAS BONDING TECHNOLOGIES, INC. |
11011495 | Multiple-die integrated circuit with integrated voltage regulator | ADVANCED MICRO DEVICES, INC. |
11011496 | Semiconductor device packages and methods of manufacturing the same | -- |
11011497 | Electronic device having a substrate-to-substrate interconnection structure and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11011499 | Stacked device, stacked structure, and method of manufacturing stacked device | FUJIFILM CORPORATION |
11011500 | Memory scaling semiconductor device | SANDISK TECHNOLOGIES LLC |
11011501 | Package structure, package-on-package structure and method of fabricating the same | -- |
11011502 | Semiconductor package | NEPES CO., LTD. |
11011503 | Direct-bonded optoelectronic interconnect for high-density integrated photonics | INVENSAS BONDING TECHNOLOGIES, INC. |
11011505 | Semiconductor memory and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11011507 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11011526 | Methods of manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11011529 | Memory arrays comprising vertically-alternating tiers of insulative material and memory cells and methods of forming a memory array comprising memory cells individually comprising a transistor and a capacitor | MICRON TECHNOLOGY, INC. |
11011539 | Multi-stack three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011540 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011541 | Semiconductor memory device in which memory cells are three-dimensionally arrange | TOSHIBA MEMORY CORPORATION |
11011543 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11011548 | Electronic device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11011551 | Array substrate with a plurality of different signal lines | BOE TECHNOLOGY GROUP CO., LTD. |
11011566 | Bonding pad on a back side illuminated image sensor | -- |
11011580 | Memory device | TOSHIBA MEMORY CORPORATION |
11011599 | Stretchable display panel and stretchable display device including the same | LG DISPLAY CO., LTD. |
11011600 | Semiconductor structure having integrated inductor therein | -- |
11011602 | Circuits employing adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET source/drain parasitic capacitance, and related fabrication methods | QUALCOMM INCORPORATED |
11011614 | High electron mobility transistor (HEMT) device and method of forming same | -- |
11011616 | Gate line plug structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11011622 | Closely packed vertical transistors with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011681 | Light-emitting device and the method of manufacturing the same | -- |
11011686 | Semiconductor light emitting device | ROHM CO., LTD. |
11011689 | Quantum dot LED package and quantum dot LED module including the same | LUMENS CO., LTD. |
11011693 | Integrated quantum circuit assemblies for cooling apparatus | INTEL CORPORATION |
11011715 | Display panel and display device | WUHAN TIANMA MICRO-ELECTRONICS CO., LTD. |
11011813 | Power amplifier with shielded transmission lines | NXP B.V. |
11011815 | Circularly-polarized dielectric waveguide launch for millimeter-wave data communication | TEXAS INSTRUMENTS INCORPORATED |
11011816 | Radar assembly with a slot transition through a printed circuit board | APTIV TECHNOLOGIES LIMITED |
11011827 | Antenna boards and communication devices | INTEL IP CORPORATION |
11011844 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11011846 | Antenna and semiconductor device with improved tradeoff relationship between antenna gain and antenna size | LAPIS SEMICONDUCTOR CO., LTD. |
11011847 | Multi-antenna structure with two radiating antennas with one antenna fed from the other antenna | PLUME DESIGN, INC. |
11012035 | Amplifier devices with input transient termination | NXP USA, INC. |
11012600 | Display device and manufacturing method of the same | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11013057 | Extremely high frequency systems and methods of operating the same | KEYSSA, INC. |
11013102 | Printed circuit board and electronic device | SEIKO EPSON CORPORATION |
11013112 | Ceramic copper circuit board and semiconductor device based on the same | KABUSHIKI KAISHA TOSHIBA |
11013116 | Flexible assembly for display device and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11013120 | Tape wiring board and semiconductor device | SHENZHEN TOREY MICROELECTRONIC TECHNOLOGY CO. LTD. |
11013126 | Connection structure | DEXERIALS CORPORATION |
11013133 | Electronic devices comprising butyl rubber | ARLANXEO SINGAPORE PTE. LTD |
11013144 | Absorption/desorption processes and systems for liquid immersion cooling | TMGCORE, LLC |
11013146 | Asymmetric heat pipe coupled to a heat sink | CIENA CORPORATION |
11013147 | Thermal interface material structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11013155 | Electromagnetic wave shielding structure and manufacturing method therefor | SAMSUNG ELECTRONICS CO., LTD. |
11013463 | Capacitive sensor systems and method | ADIDAS AG |
11014203 | System for applying interface materials | -- |
11014256 | Semiconductor memory device and method for manufacturing same | KIOXIA CORPORATION |
11015017 | Resin composition for encapsulating semiconductor, semiconductor device, and method for producing resin composition for encapsulating semiconductor | SUMITOMO BAKELITE CO., LTD. |
11015019 | Epoxy resin, production method, epoxy resin composition and cured product of same | DIC CORPORATION |
11015089 | Polyimide film for semiconductor package reflow process, and manufacturing method therefor | IPI TECH INC. |
11015879 | Interface-free thermal management system for high power devices co-fabricated with electronic circuit | TELEDYNE SCIENTIFIC & IMAGING, LLC |
11015985 | Time-controlled switch capacitor based temperature sensor | INTEL IP CORPORATION |
11016255 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11017143 | Method for modeling excess current in irradiated bipolar junction transistors | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11017554 | Method for securing a bonding product in a working region of a bonder | HESSE GMBH |
11017916 | Particles, connecting material and connection structure | SEKISUI CHEMICAL CO., LTD. |
11017940 | Integrated circuit comprising a variable inductor | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11018002 | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures | ASM IP HOLDING B.V. |
11018007 | Self aligned pattern formation post spacer etchback in tight pitch configurations | TESSERA, INC. |
11018024 | Method of fabricating embedded traces | NXP USA, INC. |
11018025 | Redistribution lines having stacking vias | -- |
11018026 | Interposer, semiconductor package, and method of fabricating interposer | SAMSUNG ELECTRONICS CO., LTD. |
11018027 | Interconnect structure | -- |
11018028 | Method of applying conductive adhesive and manufacturing device using the same | -- |
11018029 | Method for producing an at least partly packaged semiconductor wafer | UNITED MONOLITHIC SEMICONDUCTORS GMBH |
11018030 | Fan-out wafer level chip-scale packages and methods of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018040 | Carrier assisted substrate method of manufacturing an electronic device and electronic device produced thereby | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018042 | 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11018043 | Wafer processing method using a ring frame and a polyester sheet | DISCO CORPORATION |
11018052 | Interconnect structure and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11018053 | Semiconductor structure with material modification and low resistance plug | -- |
11018054 | Integrated circuit interconnects | INTEL CORPORATION |
11018055 | Physical vapor deposition process for semiconductor interconnection structures | -- |
11018056 | Encapsulated solder TSV insertion interconnect | MICRON TECHNOLOGY, INC. |
11018057 | Semiconductor devices | -- |
11018063 | Method and apparatus for nanoscale-dimension measurement using a diffraction pattern filter | SANDISK TECHNOLOGIES LLC |
11018065 | Semiconductor device structure with magnetic element in testing region | -- |
11018066 | Integrated circuit package and method of forming same | -- |
11018067 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018068 | Methods and apparatuses for packaging an ultrasound-on-a-chip | BUTTERFLY NETWORK, INC. |
11018069 | Underfill control structures and method | -- |
11018070 | Semiconductor die, manufacturing method thereof, and semiconductor package | -- |
11018071 | Initiation of one or more processors in an integrated circuit | QUALCOMM INCORPORATED |
11018072 | Semiconductor package having overlapping electrically conductive regions and method for producing the same | INFINEON TECHNOLOGIES AG |
11018073 | Heat spreading device and method | -- |
11018074 | Energy supply | WITTENSTEIN SE |
11018076 | Cooling apparatus, semiconductor module, and vehicle | FUJI ELECTRIC CO., LTD. |
11018077 | Modular microjet cooling of packaged electronic components | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11018078 | Method of producing electronic components, corresponding electronic component | STMICROELECTRONICS S.R.L. |
11018079 | Land structure for semiconductor package and method therefor | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018080 | Semiconductor package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11018081 | Heterogeneous fan-out structure and method of manufacture | -- |
11018082 | Space transformer and manufacturing method thereof | -- |
11018083 | Semiconductor package and manufacturing method thereof | -- |
11018084 | Managed integrated circuit power supply distribution | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018085 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11018086 | Passive devices in package-on-package structures and methods for forming the same | -- |
11018087 | Metal interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018088 | Dummy features in redistribution layers (RDLS) and methods of forming same | -- |
11018089 | Display devices and methods for manufacturing the same | -- |
11018090 | Selective CVD alignment-mark topography assist for non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018091 | Eliminate sawing-induced peeling through forming trenches | -- |
11018092 | Thinned semiconductor wafer | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018093 | Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11018094 | Semiconductor packages configured for measuring contact resistances and methods of obtaining contact resistances of the semiconductor packages | SK HYNIX INC. |
11018095 | Semiconductor structure | -- |
11018096 | Crack sensor for sensing cracks in a solder pad, and method for production quality control | STMICROELECTRONICS (CROLLES 2) SAS |
11018097 | Electronic component guard ring | INTEL CORPORATION |
11018098 | Fabricated two-sided millimeter wave antenna using through-silicon-vias | MICRON TECHNOLOGY, INC. |
11018099 | Semiconductor structure having a conductive bump with a plurality of bump segments | -- |
11018100 | Semiconductor device having a passivation layer | -- |
11018101 | Semiconductor devices, semiconductor packages, and methods of manufacturing the semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11018102 | Semiconductor product with interlocking metal-to-metal bonds and method for manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018103 | Integrated circuit structure | -- |
11018104 | Semiconductor structure and method for manufacturing the same | -- |
11018105 | Semiconductor device and method of manufacturing the same | CYPRESS SEMICONDUCTOR CORPORATION |
11018106 | Semiconductor device including solder bracing material with a rough surface, and manufacturing method thereof | -- |
11018107 | Semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018108 | Method of fabricating semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11018109 | Power semiconductor module with low gate path inductance | ABB POWER GRIDS SWITZERLAND AG |
11018110 | Semiconductor device, manufacturing method, and solid-state imaging device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018111 | Wafer level derived flip chip package | TEXAS INSTRUMENTS INCORPORATED |
11018112 | Bonding method of semiconductor chip and bonding apparatus of semiconductor chip | KABUSHIKI KAISHA TOSHIBA |
11018113 | Memory module, semiconductor package including the same, and manufacturing method thereof | -- |
11018114 | Monolithic silicon bridge stack including a hybrid baseband die supporting processors and memory | INTEL IP CORPORATION |
11018115 | Semiconductor package having a high reliability | SAMSUNG ELECTRONICS CO., LTD. |
11018116 | Method to form a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018117 | Half-bridge module with coaxial arrangement of the DC terminals | ABB POWER GRIDS SWITZERLAND AG |
11018120 | Semiconductor device package with stress buffering layer and method for manufacturing the same | -- |
11018121 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11018123 | Multi-chip modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018124 | Embedded memory device and method for embedding memory device in a substrate | INTEL CORPORATION |
11018125 | Multi-chip package with offset 3D structure | ADVANCED MICRO DEVICES, INC. |
11018126 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11018128 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11018130 | Method to mitigate signal feed through ESD elements | XILINX, INC. |
11018131 | Semiconductor device and fabricating method thereof | -- |
11018133 | 3D integrated circuit | MONOLITHIC 3D INC. |
11018134 | Semiconductor device and method for manufacturing the same | -- |
11018136 | Method of maintaining the state of semiconductor memory having electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11018142 | Memory cell and method of manufacturing the same | -- |
11018144 | Anti-fuse cell and chip having anti-fuse cells | -- |
11018145 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11018151 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
11018154 | Memory device and method for fabricating the same | -- |
11018157 | Local interconnect structure | -- |
11018159 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11018174 | Apparatus and method related to sensor die ESD protection | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018189 | Storage apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11018215 | Package and manufacturing method thereof | -- |
11018220 | Device isolation design rules for HAST improvement | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11018221 | Air gap regions of a semiconductor device | GLOBALFOUNDRIES U.S. INC. |
11018222 | Metallization in integrated circuit structures | INTEL CORPORATION |
11018235 | Vertically stacked semiconductor devices having vertical channel transistors | IMEC VZW |
11018241 | Polysilicon design for replacement gate technology | -- |
11018264 | Three-dimensional nanoribbon-based logic | INTEL CORPORATION |
11018269 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSOR SINGAPORE PTE. LTD. |
11018288 | Metal-base substrate and semiconductor device | NICHSA CORPORATION |
11018321 | Sealing structure and light emitting device | PIONEER CORPORATION |
11018629 | Integrated multiple-path power amplifier | NXP USA, INC. |
11018713 | Radio frequency shielding within a semiconductor package | INTEL IP CORPORATION |
11019324 | Stereo camera | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11019751 | Activate loading mechanism | INTEL CORPORATION |
11019756 | Power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11020811 | Solder removal from semiconductor devices | MICRON TECHNOLOGY, INC. |
11021389 | Supporting glass substrate | AGC INC. |
11021607 | Metal-polyorganosiloxanes | DOW SILICONES CORPORATION |
11021634 | Adhesive film, preparation method of semiconductor device, and semiconductor device | LG CHEM, LTD. |
11022280 | Linkage modularized LED display module | SHENZHEN CHIP OPTECH CO. LTD. |
11022379 | CTE-matched heat pipe | AAVID THERMAL CORP. |
11022380 | Heat pipe with micro-pore tube array and heat exchange system employing the heat pipe | GUANGWEI HETONG ENERGY TECHOLOGY (BEIJING) CO., LTD |
11022383 | Interface-free thermal management system for high power devices co-fabricated with electronic circuit | TELEDYNE SCIENTIFIC & IMAGING, LLC |
11022590 | Electronic component including sensor device and method of manufacturing same | SENSIRION AG |
11022657 | Processor and chipset continuity testing of package interconnect for functional safety applications | INTEL CORPORATION |
11023196 | Display system and methods | NANOLUMENS ACQUISTION, INC. |
11023247 | Processor package with optimization based on package connection type | INTEL CORPORATION |
11023647 | Integrated circuit stack verification method and system for performing the same | -- |
11024366 | Under-memory array process edge mats with sense amplifiers | MICRON TECHNOLOGY, INC. |
11024398 | Semiconductor device having a diode type electrical fuse (e-fuse) cell array | KEY FOUNDRY CO., LTD. |
11024439 | Particles, connecting material and connection structure | SEKISUI CHEMICAL CO., LTD. |
11024530 | Method for the bonding and debonding of substrates | EV GROUP E. THALLNER GMBH |
11024533 | Methods of forming interconnect structures using via holes filled with dielectric film | -- |
11024534 | Semiconductor device having opening and via hole and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11024537 | Methods and apparatus for hybrid feature metallization | APPLIED MATERIALS, INC. |
11024539 | Self-aligned cut process for self-aligned via process window | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024540 | Fin field-effect transistor device and method of forming the same | -- |
11024541 | Process for molding a back side wafer singulation guide | QORVO US, INC. |
11024542 | Manufacturing method of device chip | DISCO CORPORATION |
11024551 | Metal replacement vertical interconnections for buried capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024552 | Device arrangement structure assembly having adhesive tape layer | -- |
11024553 | Semiconductor structure and manufacturing method thereof | -- |
11024554 | Wiring substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11024555 | Semiconductor substrate, semiconductor package, and method for forming the same | -- |
11024556 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11024557 | Semiconductor package structure having vapor chamber thermally connected to a surface of the semiconductor die | -- |
11024558 | Heat transfer device with fins defining air flow channels | HAMILTON SUNDSTRAND CORPORATION |
11024559 | Semiconductor package with electromagnetic interference shielding structures | INTEL CORPORATION |
11024560 | Semiconductor structure and manufacturing method thereof | -- |
11024561 | Semiconductor device and method of forming a fan-out PoP device with PWB vertical interconnect units | STATS CHIPPAC PTE. LTD. |
11024562 | Lead frame system | TEXAS INSTRUMENTS INCORPORATED |
11024563 | Semiconductor device and manufacturing method thereof | ABLIC INC. |
11024564 | Packaged electronic device with film isolated power stack | TEXAS INSTRUMENTS INCORPORATED |
11024565 | Direct selective adhesion promotor plating | INFINEON TECHNOLOGIES AG |
11024566 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11024567 | SMD diode taking a runner as body and manufacturing method thereof | SIYANG GRANDE ELECTRONICS CO., LTD. |
11024568 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11024569 | Semiconductor package device and method of manufacturing the same | -- |
11024570 | Semiconductor package device and method of manufacturing the same | -- |
11024571 | Coil built-in multilayer substrate and power supply module | MURATA MANUFACTURING CO., LTD. |
11024572 | Wiring board, electronic device, and electronic module | KYOCERA CORPORATION |
11024573 | Substrate structure with high-density wiring and manufacturing method thereof | -- |
11024574 | Integrated substrate communication frontend | INTEL CORPORATION |
11024575 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11024576 | Semiconductor package with underfill between a sensor coil and a semiconductor die | TEXAS INSTRUMENTS INCORPORATED |
11024577 | Embedded anti-fuses for small scale applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024578 | Conductive component and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024579 | Dual power structure with connection pins | -- |
11024580 | Random cut patterning | -- |
11024581 | Semiconductor packages and methods of manufacturing the same | -- |
11024582 | Semiconductor device and manufacturing method thereof | -- |
11024583 | Integration of a programmable device and a processing system in an integrated circuit package | XILINX, INC. |
11024584 | Electronic device | -- |
11024585 | Integrated circuit packaging system with shielding and method of manufacture thereof | STATS CHIPPAC PTE. LTD. |
11024586 | Semiconductor device package and method of manufacturing the same | -- |
11024587 | Self-destructible apparatus and method and semiconductor chip using the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11024588 | Power integrated module | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11024589 | Distributing on chip inductors for monolithic voltage regulation | ORACLE INTERNATIONAL CORPORATION |
11024590 | And placement of de-coupling capacitors for PDN design | SEAGATE TECHNOLOGY LLC |
11024591 | Mobile object and wireless communication module | KYOCERA CORPORATION |
11024592 | Semiconductor device with spacer over sidewall of bonding pad and method for preparing the same | -- |
11024593 | Metal bumps and method forming same | -- |
11024594 | Substrate and package structure | -- |
11024595 | Thermocompression bond tips and related apparatus and methods | MICRON TECHNOLOGY, INC. |
11024596 | Bonding apparatus and bonding method | SHINKAWA LTD. |
11024597 | Connecting conductive pads with post-transition metal and nanoporous metal | FACEBOOK TECHNOLOGIES, LLC |
11024598 | Metallic sintered bonding body and die bonding method | SENJU METAL INDUSTRY CO., LTD. |
11024599 | Semiconductor device and method of manufacturing thereof | RENESAS ELECTRONICS CORPORATION |
11024600 | Unified semiconductor devices having programmable logic device and heterogeneous memories and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024601 | Hyperchip | INTEL CORPORATION |
11024602 | Hybrid bond pad structure | -- |
11024603 | Manufacturing method and a related stackable chip package | -- |
11024605 | Integrated circuit package and method | -- |
11024606 | Semiconductor device and manufacturing method thereof | -- |
11024607 | Method for interconnecting stacked semiconductor devices | INTEL CORPORATION |
11024609 | Four-in-one mini-LED module, display screen and manufacturing method | SHENZHEN ZHIXUNDA OPTOELECTRONICS CO., LTD. |
11024615 | Display driver integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11024616 | Package structure and method of manufacturing the same | -- |
11024617 | Semiconductor packages having photon integrated circuit (PIC) chips | MICRON TECHNOLOGY, INC. |
11024619 | Semiconductor manufacturing apparatus | TOSHIBA MEMORY CORPORATION |
11024621 | Memory circuit layout method | -- |
11024622 | Integrated circuit having angled conductive feature | -- |
11024625 | ESD protection circuit cell | -- |
11024633 | SRAM cell word line structure with reduced RC effects | -- |
11024634 | Semiconductor device having an inter-layer via (ILV), and method of making same | -- |
11024636 | Vertical 3D stack NOR device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024643 | Methods of forming integrated structures comprising vertical channel material and having conductively-doped semiconductor material directly against lower sidewalls of the channel material | MICRON TECHNOLOGY, INC. |
11024645 | Three-dimensional memory device containing a silicon nitride ring in an opening in a memory film and method of making the same | SANDISK TECHNOLOGIES LLC |
11024649 | Integrated circuit with resurf region biasing under buried insulator layers | TEXAS INSTRUMENTS INCORPORATED |
11024652 | Flexible display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11024662 | Dual image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11024701 | Integrated electronic component suitable for broadband biasing | MURATA INTEGRATED PASSIVE SOLUTIONS |
11024702 | Stacked electronic structure | -- |
11024703 | Semiconductor device and a method for fabricating the same | -- |
11024717 | Semiconductor device and method of manufacturing semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11024735 | Methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024770 | Light emitting element and light emitting device | NICHIA CORPORATION |
11024771 | Method for manufacturing light emitting device | NICHIA CORPORATION |
11024782 | Light-emitting device, manufacturing method thereof and display module using the same | -- |
11024785 | Light-emitting diode packages | CREELED, INC. |
11024801 | Diffusion layer for magnetic tunnel junctions | -- |
11024875 | Silicon secondary battery | REKRIX CO., LTD. |
11024942 | Antenna-in-package system and mobile terminal | AAC TECHNOLOGIES PTE. LTD. |
11024953 | Antenna-integrated module and radar device | PANASONIC CORPORATION |
11024954 | Semiconductor package with antenna and fabrication method thereof | -- |
11024979 | 3D IC antenna array with laminated high-k dielectric | -- |
11025034 | Laser cooling system | NLIGHT, INC. |
11025194 | Integrated circuit device, oscillator, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
11026326 | Peripheral end face attachment of exposed copper layers of a first printed circuit board to the surface of a second printed circuit board by surface mount assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11026341 | Method of changing a switching module using pressure-applying device | LSIS CO., LTD. |
11026360 | Method for manufacturing a mounting board | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11026565 | Image sensor for endoscopic use | DEPUY SYNTHES PRODUCTS, INC. |
11027360 | Bonded body and method for manufacturing the same | DENSO CORPORATION |
11027481 | Method for treating millimetre and/or micrometre and/or nanometre structures on a surface of a substrate | EV GROUP E. THALLNER GMBH |
11028022 | Copper-ceramic bonded body and insulation circuit substrate | MITSUBISHI MATERIALS CORPORATION |
11028059 | Oxazine compound, composition and cured product | DIC CORPORATION |
11028266 | Curable organopolysiloxane composition, encapsulant and semiconductor device | WACKER CHEMIE AG |
11028269 | Silicone-modified epoxy resin composition and semiconductor device | SHIN-ETSU CHEMICAL CO., LTD |
11029278 | Ion sensor based on differential measurement, and production method | CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS (CSIC) |
11029331 | Universal test mechanism for semiconductor device | -- |
11029546 | Flexible display device | -- |
11029738 | Heat exchange module and serial pump thereof | -- |
11030348 | Circuit edit and obfuscation for trusted chip fabrication | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11030353 | Guide layout creating apparatus, guide layout creating method and recording medium | TOSHIBA MEMORY CORPORATION |
11030368 | Metal cut optimization for standard cells | -- |
11030373 | System for generating standard cell layout having engineering change order (ECO) cells | -- |
11030734 | Mirror die image recognition system, reference die setting system, and mirror die image recognition method | FUJI CORPORATION |
11031237 | Aromatic amino siloxane functionalized materials for use in capping porous dielectrics | MERCK PATENT GMBH |
11031254 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
11031255 | Stack frame for electrical connections and the method to fabricate thereof | -- |
11031256 | Semiconductor device with tiered pillar and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031258 | Semiconductor packages with patterns of die-specific information | MICRON TECHNOLOGY, INC. |
11031259 | Method of manufacturing an electronic device and electronic device manufactured thereby | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031274 | Semiconductor device packages and method for manufacturing the same | -- |
11031275 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11031281 | Semiconductor devices and methods of fabricating a deep trench isolation structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11031282 | Three-dimensional memory devices with deep isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031284 | Semiconductor device and method of forming the same | -- |
11031285 | Diffusion barrier collar for interconnects | INVENSAS BONDING TECHNOLOGIES, INC. |
11031286 | Conductive feature formation and structure | -- |
11031287 | Fully self-aligned via with selective bilayer dielectric regrowth | TOKYO ELECTRON LIMITED |
11031288 | Passive components in vias in a stacked integrated circuit package | INTEL CORPORATION |
11031289 | Semiconductor package and methods of forming the same | -- |
11031296 | 3D vertical FET with top and bottom gate contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031306 | Quality control method of position measurement light source, semiconductor manufacturing apparatus, and method for manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11031307 | Semiconductor package, buffer wafer for semiconductor package, and method of manufacturing semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11031308 | Connectivity detection for wafer-to-wafer alignment and bonding | SANDISK TECHNOLOGIES LLC |
11031309 | Cover lid with selective and edge metallization | MATERION CORPORATION |
11031310 | Chip package | QUALCOMM INCORPORATED |
11031311 | Packaged semiconductor device with multilayer stress buffer | TEXAS INSTRUMENTS INCORPORATED |
11031312 | Multi-fractal heatsink system and method | FRACTAL HEATSINK TECHNOLOGIES, LLC |
11031313 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031314 | Spacer structure for double-sided-cooled power module and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
11031315 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11031316 | Retainer | -- |
11031317 | Direct bonded metal substrates with encapsulated phase change materials and electronic assemblies incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11031318 | Encapsulated phase change porous layer | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11031319 | Thermal interface materials with adhesive selant for electronic components | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11031320 | Structures and methods for reducing process charging damages | -- |
11031321 | Semiconductor device having a die pad with a dam-like configuration | INFINEON TECHNOLOGIES AG |
11031322 | Semiconductor device mounted on circuit board of electronic device | ROHM CO., LTD. |
11031323 | Interconnecting member for power module | ABB POWER GRIDS SWITZERLAND AG |
11031324 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031325 | Low-stress passivation layer | -- |
11031326 | Wiring structure, electronic device and method for manufacturing the same | -- |
11031327 | Through vias and methods of formation thereof | INFINEON TECHNOLOGIES AG |
11031328 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11031329 | Method of fabricating packaging substrate | -- |
11031330 | Electroconductive substrate, electronic device and display device | TDK CORPORATION |
11031331 | Phase-change material (PCM) radio frequency (RF) switches with trench metal plugs for RF terminals | NEWPORT FAB, LLC |
11031332 | Package panel processing with integrated ceramic isolation | TEXAS INSTRUMENTS INCORPORATED |
11031333 | Three-dimensional memory devices having a plurality of NAND strings | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031334 | Semiconductor device including a conductive feature over an active region | -- |
11031335 | Semiconductor devices including redistribution layers | MICRON TECHNOLOGY, INC. |
11031336 | Semiconductor memory device having contact element of rectangular shape | -- |
11031337 | Forming dual metallization interconnect structures in single metallization level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031338 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11031339 | Metal interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031340 | Semiconductor device including a multilayer etch stop layer | SAMSUNG ELECTRONICS CO., LTD. |
11031341 | Side mounted interconnect bridges | INTEL CORPORATION |
11031342 | Semiconductor package and method | -- |
11031343 | Fins for enhanced die communication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031344 | Package having redistribution layer structure with protective layer and method of fabricating the same | -- |
11031345 | Integrated circuit package and method of forming same | MEDTRONIC, INC. |
11031346 | Advanced wafer security method including pattern and wafer verifications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031347 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11031348 | Semiconductor structure | -- |
11031349 | Method of forming a semiconductor device and current sensing circuit therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11031350 | Leadframe with pad anchoring members and method of forming the same | STMICROELECTRONICS, INC. |
11031351 | Method of manufacturing a semiconductor device | -- |
11031352 | Routing design of dummy metal cap and redistribution line | -- |
11031353 | Warpage control in microelectronic packages, and related assemblies and methods | MICRON TECHNOLOGY, INC. |
11031354 | Mixing organic materials into hybrid packages | -- |
11031355 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031356 | Semiconductor package structure for improving die warpage and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031357 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031358 | Overhang model for reducing passivation stress and method for producing the same | MARVELL ASIA PTE, LTD. |
11031359 | Capacitor loop structure | INTEL CORPORATION |
11031360 | Techniques for an inductor at a second level interface | INTEL CORPORATION |
11031361 | Semiconductor bonding structure and method of manufacturing the same | -- |
11031362 | 3D-interconnect | INVENSAS CORPORATION |
11031363 | Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices | -- |
11031364 | Nanoparticle backside die adhesion layer | TEXAS INSTRUMENTS INCORPORATED |
11031365 | Semiconductor device having a solder blocking metal layer | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11031366 | Shielded electronic component package | AMKOR TECHNOLOGY SINGAPORE PTE. LTD. |
11031367 | Bond head assemblies including reflective optical elements, related bonding machines, and related methods | KULICKE AND SOFFA INDUSTRIES, IN. |
11031368 | Bonding apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11031369 | Apparatus for bond wave propagation control | -- |
11031370 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031371 | Semiconductor package and method of fabricating semiconductor package | SANDISK INFORMATION TECHNOLOGY (SHANGHAI) CO., LTD. |
11031372 | Semiconductor device including dummy pull-down wire bonds | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031373 | Spacer for die-to-die communication in an integrated circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031375 | Semiconductor devices having a conductive pillar and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031376 | Chip package and method of forming the same | -- |
11031377 | Integration of three-dimensional NAND memory devices with multiple functional chips | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031378 | Semiconductor device including high speed heterogeneous integrated controller and cache | WESTERN DIGITAL TECHNOLOGIES, INC. |
11031379 | Stray inductance reduction in packaged semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11031381 | Optical transceiver and manufacturing method thereof | -- |
11031382 | Passive element, electronic device and method for manufacturing the same | -- |
11031384 | Integrated circuits and methods of manufacturing and designing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031385 | Standard cell for removing routing interference between adjacent pins and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11031409 | Cell boundary structure for embedded memory | -- |
11031413 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031416 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11031429 | Semiconductor device, solid-state image pickup element, image pickup device, and electronic apparatus | SONY CORPORATION |
11031430 | Image sensor with dummy lines for minimizing fixed pattern noise (FPN) and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11031431 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11031446 | Display device | SAMSUNG DISPLAY CO., LTD. |
11031454 | Electronic component, electric device including the same | SAMSUNG DISPLAY CO., LTD. |
11031457 | Low resistance high capacitance density MIM capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031458 | Metal-insulator-metal (MIM) capacitor structure and method for forming the same | -- |
11031459 | Semiconductor device including a capacitor and method of manufacturing semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11031462 | Semiconductor structure with improved guard ring structure | -- |
11031487 | Contact over active gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11031489 | Semiconductor device | -- |
11031499 | Germanium transistor structure with underlap tip to reduce gate induced barrier lowering/short channel effect while minimizing impact on drive current | INTEL CORPORATION |
11031503 | Non-planar gate thin film transistor | INTEL CORPORATION |
11031535 | Thermoelectric power generation system | YANMAR POWER TECHNOLOGY CO., LTD. |
11031675 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO. LTD. |
11031681 | Package integrated waveguide | NXP USA, INC. |
11031699 | Antenna with graded dielectirc and method of making the same | INTEL IP CORPORATION |
11031762 | Circuit assembly | AUTONETWORKS TECHNOLOGIES, LTD. |
11031833 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY CORPORATION |
11031846 | Co-packaged electric motor and motor drive | ABB SCHWEIZ AG |
11031923 | Interface device and interface method for 3D semiconductor device | -- |
11032454 | Circuit board, molded photosensitive assembly and manufacturing method therefor, photographing module, and electronic device | NINGBO SUNNY OPOTECH CO., LTD. |
11032911 | Embedded component package structure and manufacturing method thereof | -- |
11032915 | Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor | RICHVIEW ELECTRONICS CO., LTD. |
11032935 | Support structure for a flexible interconnect of a superconductor | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11032940 | Cooling plate, cooling device, and electronic apparatus | FUJITSU LIMITED |
11032942 | Structure for a heat transfer interface and method of manufacturing the same | ALCATEL LUCENT |
11032944 | Crushable heat sink for electronic devices | INTEL CORPORATION |
11032946 | Electrical connector cage assembly, electrical connector, and electronic apparatus | -- |
11032947 | Tailored coldplate geometries for forming multiple coefficient of thermal expansion (CTE) zones | RAYTHEON COMPANY |
11034623 | Thermal conductive member and heat dissipation structure including the same | DENKA COMPANY LIMITED |
11034864 | Adhesive film having adhesive resin layers and method of manufacturing electronic apparatus using the adhesive film | MITSUI CHEMICALS TOHCELLO, INC. |
11034888 | Aluminate fluorescent material, light emitting device, and method for producing aluminate fluorescent material | NICHIA CORPORATION |
11035525 | LED light bulb | ZHEJIANG SUPER LIGHTING ELECTRIC APPLIANCE CO., LTD |
11035563 | Light source device | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11035621 | Electronics cooling with multi-phase heat exchange and heat spreader | GE AVIATION SYSTEMS LLC |
11035625 | Adjustable heat sink fin spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11035709 | CMOS thermal fluid flow sensing device employing a flow sensor and a pressure sensor on a single membrane | CAMBRIDGE ENTERPRISE LIMITED |
11036100 | Display device | JAPAN DISPLAY INC. |
11036660 | Network-on-chip for inter-die and intra-die communication in modularized integrated circuit devices | INTEL CORPORATION |
11036911 | Charging prevention method and structure | -- |
11037608 | Stacked memory device and memory system including the same | SK HYNIX INC. |
11037796 | Manufacturing method of semiconductor device structure | -- |
11037799 | Metal heterojunction structure with capping metal layer | -- |
11037802 | Package substrate having copper alloy sputter seed layer and high density interconnects | INTEL CORPORATION |
11037803 | Method for making redistribution circuit structure | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11037814 | Wafer processing method using a ring frame with a polyester sheet with no adhesive layer | DISCO CORPORATION |
11037817 | Apparatus with multi-wafer based device and method for forming such | INTEL CORPORATION |
11037819 | Wafer level chip scale packaging intermediate structure apparatus and method | -- |
11037821 | Multiple patterning with self-alignment provided by spacers | GLOBALFOUNDRIES U.S. INC. |
11037822 | Svia using a single damascene interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037823 | Method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11037824 | Semiconductor device and method for manufacturing the same | -- |
11037825 | Selective removal process to create high aspect ratio fully self-aligned via | MICROMATERIALS LLC |
11037834 | Simple contact over gate on active area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037842 | Semiconductor device with inspection patterns | SAMSUNG ELECTRONICS CO., LTD. |
11037843 | Apparatuses and methods for TSV resistance and short measurement in a stacked device | MICRON TECHNOLOGY, INC. |
11037844 | Power semiconductor device and method of manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11037845 | Semiconductor device and manufacturing method thereof | MITSUBISHI ELECTRIC CORPORATION |
11037846 | Semiconductor package structure and method of manufacturing the same | -- |
11037847 | Method of manufacturing semiconductor module and semiconductor module | RENESAS ELECTRONICS CORPORATION |
11037848 | Semiconductor module and semiconductor module manufacturing method | FUJI ELECTRIC CO., LTD. |
11037849 | Semiconductor structure and manufacturing method thereof | -- |
11037850 | Passivation structure and methods of manufacturing a semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037851 | Nitrogen-rich silicon nitride films for thin film transistors | APPLIED MATERIALS, INC. |
11037852 | 3DIC packaging with hot spot thermal management features | -- |
11037853 | Semiconductor package structure and method of manufacturing the same | -- |
11037854 | Thermal dissipation through seal rings in 3DIC structure | -- |
11037855 | Contoured-on-heat-sink, wrapped printed wiring boards for system-in-package apparatus | INTEL IP CORPORATION |
11037856 | Semiconductor chip package comprising a leadframe connected to a substrate and a semiconductor chip, and a method for fabricating the same | INFINEON TECHNOLOGIES AG |
11037857 | IGBT module with heat dissipation structure having copper layers of different thicknesses | -- |
11037858 | Semiconductor module, method for manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11037859 | Power conversion apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11037860 | Multi layer thermal interface material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037861 | Interconnect structure for package-on-package devices | -- |
11037862 | Method for electrically contacting a component by galvanic connection of an open-pored contact piece, and corresponding component module | SIEMENS AKTIENGESELLSCHAFT |
11037863 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11037864 | Lead frame for improving adhesive fillets on semiconductor die corners | STMICROELECTRONICS, INC. |
11037865 | Semiconductor with external electrode | ROHM CO., LTD. |
11037866 | Semiconductor device and method of manufacturing the same | ABLIC INC. |
11037867 | Semiconductor module | ROBERT BOSCH GMBH |
11037868 | Semiconductor device package and method of manufacturing the same | -- |
11037869 | Package structure and preparation method thereof | -- |
11037870 | Electronic module, lead frame and manufacturing method for electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11037871 | Gate drive interposer with integrated passives for wide band gap semiconductor devices | KEMET ELECTRONICS CORPORATION |
11037872 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11037873 | Hermetic barrier for semiconductor device | MARVELL GOVERNMENT SOLUTIONS, LLC. |
11037874 | Plane-less voltage reference interconnects | INTEL CORPORATION |
11037875 | Forming dual metallization interconnect structures in single metallization level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037876 | Power network and method for routing power network | SHANGHAI ZHAOXIN SEMICONDUCTOR CO., LTD. |
11037877 | Package structure and method of manufacturing the same | -- |
11037878 | Semiconductor device with EMI protection liners and method for fabricating the same | -- |
11037879 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11037880 | Semiconductor package and antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037881 | Component carrier with face-up and face-down embedded components | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11037882 | Overlay mark | -- |
11037883 | Regulator circuit package techniques | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11037884 | Semiconductor package having through-hole including shielding wiring structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037885 | Semiconductor packaging device comprising a shield structure | -- |
11037886 | Semiconductor structure of work unit module | -- |
11037887 | Method of making package assembly including stress relief structures | -- |
11037888 | Nitride-based electronic device and method for manufacturing same | WAVICE INC. |
11037889 | Display device | -- |
11037890 | Semiconductor assembly with package on package structure and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037891 | Device package | -- |
11037892 | Substrate dielectric waveguides in semiconductor packages | INTEL CORPORATION |
11037893 | Selectively shielded radio frequency module with linearized low noise amplifier | SKYWORKS SOLUTIONS, INC. |
11037894 | Semiconductor device having metal bump and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11037895 | Electronic component | MOLEX, LLC |
11037896 | Method and apparatus for forming backside die planar devices and saw filter | INTEL CORPORATION |
11037897 | Semiconductor device | ROHM CO., LTD. |
11037898 | Semiconductor device package and method for manufacturing the same | -- |
11037899 | Package structures and methods of forming the same | -- |
11037900 | Chip bonding device and bonding method thereof | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
11037901 | Semiconductor element bonding apparatus and semiconductor element bonding method | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11037902 | Light-emitting apparatus including sacrificial pattern and manufacturing method thereof | -- |
11037903 | Plasma etch singulated semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037904 | Singulation and bonding methods and structures formed thereby | -- |
11037906 | 3D IC package with RDL interposer and related method | GLOBALFOUNDRIES U.S. INC. |
11037907 | Semiconductor package and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037908 | Bonded die assembly containing partially filled through-substrate via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
11037909 | Stacked semiconductor structure and method | -- |
11037913 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11037915 | Integrated display devices | FACEBOOK TECHNOLOGIES, LLC |
11037916 | Apparatus with multi-wafer based device comprising embedded active devices and method for forming such | INTEL CORPORATION |
11037917 | Semiconductor device module and method of assembly | LITTELFUSE, INC. |
11037919 | Techniques for processing devices | INVENSAS BONDING TECHNOLOGIES, INC. |
11037924 | Method for forming source/drain contacts | -- |
11037934 | SRAM circuits with aligned gate electrodes | -- |
11037935 | Semiconductor device including trimmed-gates | -- |
11037936 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037939 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11037947 | Array of pillars located in a uniform pattern | -- |
11037948 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11037950 | Semiconductor memory device, semiconductor device, and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037952 | Peripheral circuitry under array memory device and method of fabricating thereof | -- |
11037953 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11037954 | Three dimensional flash memory element with middle source-drain line and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11037971 | Fan-out sensor package and optical fingerprint sensor module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037983 | Semiconductor structure and method of forming the same | -- |
11037984 | Electronic device and method for fabricating the same | SK HYNIX INC. |
11038006 | Display panel and bonding method of the same | -- |
11038012 | Capacitor device and manufacturing method therefor | -- |
11038023 | III-nitride material semiconductor structures on conductive silicon substrates | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038029 | Semiconductor device structure and method for forming the same | -- |
11038030 | Transistor having low capacitance field plate structure | RAYTHEON COMPANY |
11038031 | Field-effect transistor | MITSUBISHI ELECTRIC CORPORATION |
11038047 | Normally-off HEMT transistor with selective generation of 2DEG channel, and manufacturing method thereof | STMICROELECTRONICS S.R.L. |
11038053 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11038067 | Stress sensor suitable for measuring mechanical stress in a layered metallization structure of a microelectronic component | IMEC VZW |
11038084 | Light-emitting device | NICHIA CORPORATION |
11038086 | Semiconductor light-emitting element and manufacturing method therefor | SEMICON LIGHT CO., LTD. |
11038091 | Light-emitting device packages | SAMSUNG ELECTRONICS CO., LTD. |
11038095 | Systems and methods for fabrication of superconducting integrated circuits | D-WAVE SYSTEMS INC. |
11038096 | Stack assembly having electro-acoustic device | SKYWORKS SOLUTIONS, INC. |
11038103 | Tightly integrated 1T1R ReRAM for planar technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038144 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11038210 | Dipole antenna via flexible circuitry | FORD GLOBAL TECHNOLOGIES, LLC |
11038265 | Semiconductor-based beamforming antenna | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11038267 | Apparatus and methods for electromagnetic shielding using an outer cobalt layer | SKYWORKS SOLUTIONS, INC. |
11038283 | Reconfigurable aperture-coupled patch antenna | THE BOEING COMPANY |
11038701 | Method for securing an integrated circuit during fabrication | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11039528 | Assembly and sub-assembly for thermal control of electronic devices | DELTA DESIGN, INC. |
11039532 | Printed circuit board and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11039550 | Heat sink with turbulent structures | GOOGLE LLC |
11040416 | Copper paste for joining, method for manufacturing joined body, and method for manufacturing semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
11040516 | Graphite sheet and method for manufacturing same | SKC CO., LTD. |
11040517 | Printed wiring board and semiconductor package | SHOWA DENKO MATERIALS CO., LTD. |
11040837 | Method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11041087 | Coatings | P2I LTD |
11041098 | Anisotropic conductive film and fabricating method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11041211 | Power distribution for active-on-active die stack with reduced resistance | XILINX, INC. |
11041242 | Gas shower head with plural hole patterns and with corresponding different plural hole densities and film formation method | -- |
11042183 | Electronic display with mount-accessible components | MANUFACTURING RESOURCES INTERNATIONAL, INC. |
11042211 | Serially connected computing nodes in a distributed computing system | -- |
11043258 | Memory system topologies including a memory die stack | RAMBUS INC. |
11043285 | Bioinformatics systems, apparatus, and methods executed on an integrated circuit processing platform | EDICO GENOME CORPORATION |
11043409 | Method of forming contacts to an embedded semiconductor die and related semiconductor packages | INFINEON TECHNOLOGIES AG |
11043410 | Packages with through-vias having tapered ends | -- |
11043411 | Integration of air spacer with self-aligned contact in transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043413 | Barrier layer formation for conductive feature | -- |
11043415 | Enhanced cobalt agglomeration resistance and gap-fill performance by ruthenium doping | APPLIED MATERIALS, INC. |
11043416 | Gradient atomic layer deposition | -- |
11043417 | Line structure for fan-out circuit and manufacturing method thereof, and photomask pattern for fan-out circuit | -- |
11043418 | Middle of the line self-aligned direct pattern contacts | GLOBALFOUNDRIES U.S. INC. |
11043419 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11043420 | Fan-out wafer level packaging of semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11043422 | Jet ablation die singulation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11043432 | Radio-frequency switching devices having improved voltage handling capability | SKYWORKS SOLUTIONS, INC. |
11043435 | Semiconductor die with hybrid wire bond pads | INNOGRIT TECHNOLOGIES CO., LTD. |
11043436 | Semiconductor device, manufacturing method, imaging device, and electronic apparatus for enabling component mounting with high flatness | SONY CORPORATION |
11043437 | Transparent substrate with light blocking edge exclusion zone | APPLIED MATERIALS, INC. |
11043438 | Chip on film package and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11043440 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11043441 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11043442 | Heat sink, heat dissipation apparatus, heat dissipation system, and communications device | HUAWEI TECHNOLOGIES CO., LTD. |
11043443 | Electric device and heat radiator | TDK CORPORATION |
11043444 | Two-dimensional addessable array of piezoelectric MEMS-based active cooling devices | FRORE SYSTEMS INC. |
11043445 | Semiconductor device having a through silicon via and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11043446 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11043448 | Semiconductor device with vertically separated openings and manufacturing method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11043449 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11043450 | Anti-fuse structure and method for fabricating same, as well as semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11043451 | Electrical fuse and/or resistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043452 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11043453 | Method of preventing pattern collapse | -- |
11043454 | Low resistivity interconnects with doped barrier layer for integrated circuits | SAMSUNG ELECTRONICS CO., LTD. |
11043455 | Three-dimensional memory device including self-aligned dielectric isolation regions for connection via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
11043456 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11043457 | Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same | INTEL CORPORATION |
11043458 | Method of manufacturing an electronic device comprising a conductive pad on a protruding-through electrode | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11043459 | Multiple reticle field semiconductor devices | INTEL CORPORATION |
11043460 | Measurement method of overlay mark structure | -- |
11043461 | Semiconductor device having an electromagnetic wave absorbing thermal conductive sheet between a semiconductor element and a cooling member | DEXERIALS CORPORATION |
11043462 | Solderless interconnection structure and method of forming same | -- |
11043463 | Interconnect structures and methods of forming same | -- |
11043464 | Semiconductor device having upper and lower redistribution layers | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11043465 | Semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11043466 | Radio frequency modules | SKYWORKS SOLUTIONS, INC. |
11043467 | Flip chip backside die grounding techniques | TEXAS INSTRUMENTS INCORPORATED |
11043468 | Lead-free solder joining of electronic structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043469 | Method of forming three dimensional semiconductor structure | -- |
11043470 | Inductor design in active 3D stacking technology | XILINX, INC. |
11043471 | Mixed-orientation multi-die integrated circuit package with at least one vertically-mounted die | MICROCHIP TECHNOLOGY INCORPORATED |
11043472 | 3D integrated ultra high-bandwidth memory | KEPLER COMPUTE INC. |
11043473 | Integrated circuit including a first semiconductor wafer and a second semiconductor wafer, semiconductor device including a first semiconductor wafer and a second semiconductor wafer and method of manufacturing same | -- |
11043474 | Semiconductor device | DENSO CORPORATION |
11043477 | Power converter monolithically integrating transistors, carrier, and components | TEXAS INSTRUMENTS INCORPORATED |
11043478 | Integrated circuit bridge for photonics and electrical chip integration | CISCO TECHNOLOGY, INC. |
11043481 | Method of manufacturing semiconductor package structure | -- |
11043482 | Semiconductor component, package structure and manufacturing method thereof | -- |
11043484 | Method and apparatus of package enabled ESD protection | XILINX, INC. |
11043485 | Electronic device having semiconductor device with protective resistor | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11043488 | High voltage protection for high-speed data interface | WESTERN DIGITAL TECHNOLOGIES, INC. |
11043492 | Self-aligned gate edge trigate and finFET devices | INTEL CORPORATION |
11043500 | Integrated assemblies comprising twisted digit line configurations | MICRON TECHNOLOGY, INC. |
11043510 | Array substrate and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11043512 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11043522 | Stacked substrate structure with inter-tier interconnection | -- |
11043523 | Multilevel semiconductor device and structure with image sensors | MONOLITHIC 3D INC. |
11043524 | Device-bonded body, image pickup module, endoscope and method for manufacturing device-bonded body | OLYMPUS CORPORATION |
11043525 | Transmission circuit and electronic device | CANON KABUSHIKI KAISHA |
11043549 | Flexible display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11043559 | Method for manufacturing semiconductor device | -- |
11043570 | Semiconductor device and manufacturing method thereof | -- |
11043571 | Insulated gate field effect transistor having passivated schottky barriers to the channel | ACORN SEMI, LLC |
11043616 | Airtight package | NIPPON ELECTRIC GLASS CO., LTD. |
11043730 | Fan-out package structure with integrated antenna | -- |
11043731 | Package structure | -- |
11043805 | Semiconductor device and a semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11044808 | Printed circuit board | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11044812 | Component carrier with adhesion promoting shape of wiring structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11044830 | Loop heat pipe and electronic device | FUJITSU LIMITED |
11044839 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11045910 | Metal paste and use thereof for joining components | HERAEUS DEUTSCHLAND GMBH & CO. KG |
11046051 | Metal-on-ceramic substrates | MATERION CORPORATION |
11046190 | Pressing member | DENSO CORPORATION |
11046677 | Hydrofluoroolefins and methods of using same | 3M INNOVATIVE PROPERTIES COMPANY |
11046848 | Heat-curable resin composition for semiconductor encapsulation and semiconductor device | SHIN-ETSU CHEMICAL CO., LTD. |
11047038 | Metallization for a thin-film component, process for the production thereof and sputtering target | PLANSEE SE |
11047537 | Fixing of LED strips | SIGNIFY HOLDING B.V. |
11047559 | Light source cooling body, light source assembly, a luminaire and method to manufacture a light source cooling or a light source assembly | SIGNIFY HOLDING B.V. |
11047627 | Cooling device | NEC CORPORATION |
11047628 | Electronic device having heat collection/diffusion structure | SAMSUNG ELECTRONICS CO., LTD. |
11047817 | Physical properties measurement system | NORTHROP GRUMMAN SYSTEMS CORPORATION |
11048309 | Heat dissipation module | -- |
11048653 | Integrated circuit inputs and outputs | NORDIC SEMICONDUCTOR ASA |
11048849 | Integrated circuit and method of manufacturing the same | -- |
11049542 | Semiconductor device with multiple chips and weak cell address storage circuit | SK HYNIX INC. |
11049682 | Electric-power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
11049734 | Method of packaging chip and chip package structure | PEP INNOVATION PTE. LTD. |
11049757 | Wafer processing method including applying a polyester sheet to a wafer | DISCO CORPORATION |
11049762 | Electronic circuit comprising electrical insulation trenches | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11049764 | Method for fabricating a semiconductor device | -- |
11049765 | Semiconductor device | -- |
11049767 | Semiconductor device and methods of manufacturing thereof | -- |
11049769 | Self-aligned interconnection for integrated circuits | MICRON TECHNOLOGY, INC. |
11049776 | Semiconductor memory device having chip-to-chip bonding structure | SK HYNIX INC. |
11049777 | Ceramic combo lid with selective and edge metallizations | MATERION CORPORATION |
11049778 | Component carrier with a stepped cavity and a stepped component assembly embedded within the stepped cavity | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11049779 | Carrier for chip packaging and manufacturing method thereof | -- |
11049780 | Electronic module and method for manufacturing same | TATEYAMA KAGAKU CO., LTD. |
11049781 | Chip-scale package device | -- |
11049782 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11049784 | Semiconductor device for use in harsh media | MELEXIS TECHNOLOGIES NV |
11049785 | Semiconductor device and fabrication method thereof | FUJI ELECTRIC CO., LTD. |
11049786 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11049787 | Semiconductor device and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11049788 | Integrated circuit chip device with thermal control | MICROSOFT TECHNOLOGY LICENSING, LLC |
11049789 | Semiconductor microcooler | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049790 | Electrically insulating thermal interface on the discontinuity of an encapsulation structure | INFINEON TECHNOLOGIES AG |
11049791 | Heat spreading layer integrated within a composite IC die structure and methods of forming the same | INTEL CORPORATION |
11049792 | Package structure for semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049793 | Flexible base design for chipset heat sink | -- |
11049794 | Circuit board with phase change material | ADVANCED MICRO DEVICES, INC. |
11049795 | Electronic power module comprising a dielectric support | SUPERGRID INSTITUTE |
11049796 | Manufacturing method of packaging device | -- |
11049797 | Method for manufacturing a semiconductor structure comprising a semiconductor device layer formed on a tem, porary substrate having a graded SiGe etch stop layer therebetween | -- |
11049798 | Embedded bridge with through-silicon Vias | INTEL CORPORATION |
11049799 | Semiconductor structure and method for forming the same | -- |
11049800 | Semiconductor device package with grooved substrate | TEXAS INSTRUMENTS INCORPORATED |
11049801 | Encapsulated vertical interconnects for high-speed applications and methods of assembling same | INTEL CORPORATION |
11049802 | Semiconductor device and method of manufacture | -- |
11049803 | Semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
11049804 | Arrays of memory cells individually comprising a capacitor and a transistor and methods of forming such arrays | MICRON TECHNOLOGY, INC. |
11049805 | Semiconductor package and method | -- |
11049806 | Semiconductor device including semiconductor chip transmitting signals at high speed | RENESAS ELECTRONICS CORPORATION |
11049807 | Three-dimensional memory device containing tubular blocking dielectric spacers | SANDISK TECHNOLOGIES LLC |
11049808 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11049809 | Semiconductor device having a reduced pitch between lead-out wirings | LONGITUDE LICENSING LIMITED |
11049810 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11049811 | Forming interlayer dielectric material by spin-on metal oxide deposition | -- |
11049812 | Semiconductor devices and methods of forming the same | -- |
11049813 | Interconnection structure, fabricating method thereof, and semiconductor device using the same | -- |
11049814 | Semiconductor device including a through contact extending between sub-chips and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11049815 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11049816 | Alignment mark and semiconductor device, and fabrication methods thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11049817 | Semiconductor device with integral EMI shield | NXP B.V. |
11049818 | Electromagnetic wave attenuator and electronic device | KABUSHIKI KAISHA TOSHIBA |
11049819 | Shielded package assemblies with integrated capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049820 | Crack suppression structure for HV isolation component | TEXAS INSTRUMENTS INCORPORATED |
11049821 | Circuit module | MURATA MANUFACTURING CO., LTD. |
11049822 | Systems and methods for the use of fraud prevention fluid to prevent chip fraud | CAPITAL ONE SERVICES, LLC |
11049823 | Integrated circuit package apparatus deployed with antenna and method for manufacturing integrated circuit package apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11049824 | Antenna apparatus with integrated antenna array and low loss multi-layer interposer | VIASAT, INC. |
11049825 | Method for producing semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
11049826 | Semiconductor device and semiconductor device manufacturing method | LAPIS SEMICONDUCTOR CO., LTD. |
11049827 | Semiconductor devices including a thick metal layer and a bump | SAMSUNG ELECTRONICS CO., LTD. |
11049828 | Electronic device with interconnection structure oblate ellipsoid-shaped aperture | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11049829 | Redistribution metal and under bump metal interconnect structures and method | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED |
11049830 | Level shifting between interconnected chips having different voltage potentials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049831 | Circuit substrate | MURATA MANUFACTURING CO., LTD. |
11049832 | Formation method of package structure with warpage-control element | -- |
11049833 | Semiconductor packages with an intermetallic layer | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049834 | Hybrid bonding using dummy bonding contacts | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11049835 | Semiconductor module | MURATA MANUFACTURING CO., LTD. |
11049836 | Bond wire support systems and methods | TEXAS INSTRUMENTS INCORPORATED |
11049837 | Bond wire array for packaged semiconductor device | NXP USA, INC. |
11049838 | Conductive bump and electroless Pt plating bath | C. UYEMURA & CO., LTD. |
11049839 | Bonding tools for bonding machines, bonding machines for bonding semiconductor elements, and related methods | KULICKE AND SOFFA INDUSTRIES, INC. |
11049840 | Bonding device | OSAKA UNIVERSITY |
11049841 | Silicon interposer sandwich structure for ESD, EMC, and EMC shielding and protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049842 | Alignment method, method for connecting electronic component, method for manufacturing connection body, connection body and anisotropic conductive film | DEXERIALS CORPORATION |
11049843 | Semiconductor packages | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049844 | Semiconductor wafer having trenches with varied dimensions for multi-chip modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049845 | Semiconductor device having wires connecting connection pads | LONGITUDE LICENSING LIMITED |
11049846 | Integrated circuit chip, method of manufacturing the integrated circuit chip, and integrated circuit package and display apparatus including the integrated circuit chip | SAMSUNG ELECTRONICS CO., LTD. |
11049847 | Semiconductor device for preventing defects between bit lines and channels | SAMSUNG ELECTRONICS CO., LTD. |
11049850 | Methods of bonding the strip-shaped under bump metallization structures | -- |
11049854 | MIMCAP creation and utilization methodology | AMPERE COMPUTING LLC |
11049855 | Tunable capacitive compensation for RF switch FET stacks | PSEMI CORPORATION |
11049856 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11049866 | Three-dimensional memory devices having through array contacts and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11049868 | Stacked type semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11049874 | NOR-type memory device and method of fabricating the same | -- |
11049876 | Three-dimensional memory device containing through-memory-level contact via structures | SANDISK TECHNOLOGIES LLC |
11049877 | Semiconductor memory | KIOXIA CORPORATION |
11049879 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11049905 | Memory device and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11049931 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11049953 | Nanosheet transistor | TESSERA, INC. |
11049980 | Integrated MIM diode | TEXAS INSTRUMENTS INCORPORATED |
11049983 | Conductive paste and solar cell | NAMICS CORPORATION |
11050017 | Semiconductor device and method for fabricating the same | -- |
11050022 | Radio frequency (RF) switches having phase-change material (PCM) and heat management for increased manufacturability and performance | NEWPORT FAB, LLC |
11050030 | OLED display and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050135 | Antenna-in-package with better antenna performance | -- |
11050153 | Encapsulating low-k dielectric blocks along with dies in an encapsulant to form antennas | -- |
11050155 | Microelectronic devices designed with mold patterning to create package-level components for high frequency communication systems | INTEL CORPORATION |
11050329 | Method for manufacturing a winding core | MURATA MANUFACTURING CO., LTD. |
11050388 | Compact three-way Doherty amplifier module | NXP USA, INC. |
11050395 | Radio frequency (RF) amplifier | NXP USA, INC. |
11050407 | Electronic devices formed in a cavity between substrates | SKYWORKS SOLUTIONS, INC. |
11051391 | Thermally highly conductive coating on base structure accommodating a component | AT&S (CHINA) CO. LTD. |
11051401 | Method of integrating an electronic module with conductive fabric | FLEXTRONICS AP, LLC |
11051427 | High-performance electronics cooling system | GOOGLE LLC |
11051428 | Oscillating heat pipe integrated thermal management system for power electronics | HAMILTON SUNDSTRAND CORPORATION |
11052636 | Fused sheet for electromagnetic wave absorption-extinction and shielding, and for electronic equipment high heat dissipation, and method of manufacturing the same | -- |
11052637 | Structure containing Sn layer or Sn alloy layer | ISHIHARA CHEMICAL CO., LTD. |
11052647 | Direct additive synthesis of diamond semiconductor | LOCKHEED MARTIN CORPORATION |
11053118 | Sensor package substrate and sensor module having the same | TDK CORPORATION |
11053264 | Limonene-based, non-halogenated flame retardants for polymeric applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11053382 | Resin composition, laminate, semiconductor wafer with resin composition layer, substrate for mounting semiconductor with resin composition layer, and semiconductor device | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11053384 | Curable composition and cured product thereof | DIC CORPORATION |
11053579 | Fine metal mask, display substrate, and alignment method therefor | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
11053600 | Tin or tin alloy plating solution and bump forming method | MITSUBISHI MATERIALS CORPORATION |
11054385 | Gas sensor | HITACHI METALS, LTD. |
11054593 | Chip-scale optoelectronic transceiver with microspringed interposer | PALO ALTO RESEARCH CENTER INCORPORATED |
11054744 | Photosensitive element, laminate, permanent mask resist, method for producing same, and method for producing semiconductor package | SHOWA DENKO MATERIALS CO., LTD. |
11054953 | Display device with integrated circuits stack structure | SAMSUNG DISPLAY CO., LTD. |
11055459 | Heterogeneous miniaturization platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056027 | Chip-on-film package, display panel, and display device | SAMSUNG DISPLAY CO., LTD. |
11056271 | Coil pattern and formation method therefor, and chip element having same | MODA-INNOCHIPS CO., LTD. |
11056348 | Bonding surfaces for microelectronics | INVENSAS BONDING TECHNOLOGIES, INC. |
11056349 | Method of fabricating semiconductor device, vacuum processing apparatus and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11056354 | Semiconductor separation device | LUMENTUM TECHNOLOGY UK LIMITED |
11056364 | Method for substrate thinning | -- |
11056373 | 3D fanout stacking | APPLE INC. |
11056382 | Cavity formation within and under semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11056383 | Forming array contacts in semiconductor memories | MICRON TECHNOLOGY, INC. |
11056384 | Method for forming contact plug | -- |
11056385 | Selective formation of metallic films on metallic surfaces | ASM INTERNATIONAL N.V. |
11056386 | Two-dimensional (2D) self-aligned contact (or via) to enable further device scaling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056387 | Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11056390 | Structures and methods for reliable packages | INVENSAS CORPORATION |
11056403 | Wafer with beveled edge region and method for analyzing shape of the same | SK SILTRON CO., LTD. |
11056407 | Semiconductor chips including through electrodes and methods of testing the through electrodes | SK HYNIX INC. |
11056408 | Power semiconductor device with active short circuit failure mode | ABB POWER GRIDS SWITZERLAND AG |
11056409 | Composite material and a semiconductor container made of the same | -- |
11056410 | Method of manufacturing semiconductor package using alignment mark on wafer | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11056411 | Chip packaging structure | -- |
11056412 | Semiconductor package and manufacturing method thereof | -- |
11056413 | Combined inductor and heat transfer device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056414 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11056415 | Semiconductor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11056416 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11056417 | Power conversion apparatus | DENSO CORPORATION |
11056418 | Semiconductor microcooler | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056419 | Semiconductor device having backside interconnect structure on through substrate via and method of forming the same | -- |
11056420 | Pressing-type semiconductor power device package | JMJ KOREA CO., LTD. |
11056421 | Package structure for power converter and manufacture method thereof | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11056422 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11056423 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
11056424 | Display device | SAMSUNG DISPLAY CO., LTD. |
11056425 | Structural enhancement of Cu nanowires | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056426 | Metallization interconnect structure formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056427 | Chip package | -- |
11056428 | Semiconductor device extension insulation | -- |
11056429 | Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device | TESSERA, INC. |
11056430 | Thin film based semiconductor devices and methods of forming a thin film based semiconductor device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11056431 | Electric fuse structure and method for fabricating the same | -- |
11056432 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11056433 | Redistribution layer structures for integrated circuit package | -- |
11056434 | Semiconductor device having specified p-type dopant concentration profile | -- |
11056435 | Semiconductor package with chamfered pads | -- |
11056436 | Integrated fan-out structure with rugged interconnect | -- |
11056437 | Panel-level chip device and packaging method thereof | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11056438 | Semiconductor packages and method of forming the same | -- |
11056439 | Optical chip ID definition using nanoimprint lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056440 | Methods of manufacturing semiconductor device and semiconductor device | -- |
11056441 | Electromagnetic shielding of compact electronic modules | APPLE INC. |
11056442 | Substrate structure, electronic package having the same, and method for fabricating the same | -- |
11056443 | Apparatuses exhibiting enhanced stress resistance and planarity, and related methods | MICRON TECHNOLOGY, INC. |
11056444 | Surface-mount thin-film components having terminals configured for visual inspection | AVX CORPORATION |
11056445 | Package structure with buffer layer sandwiched between encapsulation layer and semiconductor substrate | -- |
11056446 | Semiconductor package device and semiconductor process | -- |
11056447 | Power module having at least one power semiconductor | SIEMENS AKTIENGESELLSCHAFT |
11056448 | Covert gates to protect gate-level semiconductors | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11056449 | Guard ring structures and their methods of fabrication | INTEL CORPORATION |
11056450 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11056451 | Semiconductor device manufacturing method and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11056452 | Interface bus for inter-die communication in a multi-chip package over high density interconnects | INTEL CORPORATION |
11056453 | Stackable fully molded semiconductor structure with vertical interconnects | DECA TECHNOLOGIES USA, INC. |
11056454 | Stacked three-dimensional heterogeneous memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11056455 | Negative fillet for mounting an integrated device die to a carrier | ANALOG DEVICES, INC. |
11056456 | Semiconductor apparatus | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11056457 | Semiconductor device with bond wire reinforcement structure | NXP USA, INC. |
11056458 | Package comprising chip contact element of two different electrically conductive materials | INFINEON TECHNOLOGIES AG |
11056459 | Chip package structure and method for forming the same | -- |
11056460 | Method for producing an electric circuit comprising a circuit carrier, contact areas, and an insulating body | SIEMENS AKTIENGESELLSCHAFT |
11056461 | Method of manufacturing fan-out wafer level package | SAMSUNG ELECTRONICS CO., LTD. |
11056462 | Locking dual leadframe for flip chip on leadframe packages | TEXAS INSTRUMENTS INCORPORATED |
11056463 | Arrangement of penetrating electrode interconnections | SONY CORPORATION |
11056464 | Packages with metal line crack prevention design | -- |
11056465 | Semiconductor package having singular wire bond on bonding pads | INTEL CORPORATION |
11056466 | Package on package thermal transfer systems and methods | INTEL CORPORATION |
11056467 | Semiconductor devices with through silicon vias and package-level configurability | MICRON TECHNOLOGY, INC. |
11056468 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11056469 | Multimedia device having a pixel array and method for manufacturing the multimedia device | -- |
11056470 | Electronic package and method for fabricating the same | -- |
11056471 | Semiconductor device and method of manufacture | -- |
11056472 | Stretchable display device | LG DISPLAY CO., LTD. |
11056474 | Semiconductor package, semiconductor device and method of forming the same | -- |
11056475 | Semiconductor module | FUJI ELECTRIC CO., LTD. |
11056476 | Microcontroller unit and fabrication method thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11056477 | Semiconductor device having a first cell row and a second cell row | SOCIONEXT INC. |
11056480 | Method of forming a TVS semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11056483 | Heterolithic microwave integrated circuits including gallium-nitride devices on intrinsic semiconductor | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11056487 | Single diffusion break local interconnect | QUALCOMM INCORPORATED |
11056488 | Metal-oxide-semiconductor device | -- |
11056489 | Integrated circuit devices including vertical field-effect transistors (VFETs) | SAMSUNG ELECTRONICS CO., LTD. |
11056501 | Three-dimensional NAND memory device with source line comprising metallic and semiconductor layers | TOSHIBA MEMORY CORPORATION |
11056502 | Semiconductor device including multi-stack structure | SAMSUNG ELECTRONICS CO., LTD. |
11056503 | Semiconductor memory device including vertical barrier | SK HYNIX INC. |
11056505 | Integrated assemblies having one or more modifying substances distributed within semiconductor material, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11056506 | Semiconductor device including stack structure and trenches | SAMSUNG ELECTRONICS CO., LTD. |
11056512 | Device substrate | -- |
11056525 | Semiconductor photomultiplier | SENSL TECHNOLOGIES LTD |
11056535 | Non-volatile memory element arrays in a wheatstone bridge arrangement | GLOBALFOUNDRIES U.S. INC. |
11056555 | Semiconductor device having 3D inductor and method of manufacturing the same | -- |
11056560 | Hetero-epitaxial output device array with serial connections | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11056563 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056589 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056629 | Mounting an LED element on a flat carrier | LUMILEDS LLC |
11056632 | Thermoelectric conversion substrate, thermoelectric conversion module and method for producing thermoelectric conversion substrate | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11056646 | Memory device having programmable impedance elements with a common conductor formed below bit lines | ADESTO TECHNOLOGIES CORPORATION |
11056673 | Light emitting device | PIONEER CORPORATION |
11056756 | Multilayer substrate connecting body and transmission line device | MURATA MANUFACTURING CO., LTD. |
11056757 | Manufacturing method of a radio-frequency member | NIDEC CORPORATION |
11056765 | Microelectronic devices designed with foldable flexible substrates for high frequency communication modules | INTEL CORPORATION |
11056860 | Bus structure for parallel connected power switches | EATON INTELLIGENT POWER LIMITED |
11057019 | Non-volatile adjustable phase shifter using non-volatile radio frequency (RF) switch | NEWPORT FAB, LLC |
11057991 | Waterproof stretchable optoelectronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11057992 | Connection structure | MIKUNI ELECTRON CORPORATION |
11057996 | Circuit board, method of manufacturing circuit board, and electronic device | FUJITSU INTERCONNECT TECHNOLOGIES LIMITED |
11057997 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
11058004 | Metallic layer as carrier for component embedded in cavity of component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11058007 | Component carrier with two component carrier portions and a component being embedded in a blind opening of one of the component carrier portions | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11058031 | Vapor chamber | MURATA MANUFACTURING CO., LTD. |
11058032 | Memory module cooler with vapor chamber device connected to heat pipes | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11058035 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11058038 | Electromagnetic shields for sub-modules | QORVO US, INC. |
11059966 | Liquid epoxy resin composition for sealing, and electronic component device | SHOWA DENKO MATERIALS CO., LTD. |
11059972 | Curable organopolysiloxane composition and optical semiconductor device | DOW SILICONES CORPORATION |
11059973 | Heat-curable resin composition and semiconductor device | SHIN-ETSU CHEMICAL CO., LTD. |
11060799 | Vapor chamber structure | -- |
11060805 | Thermal interface material system | TELEDYNE SCIENTIFIC & IMAGING, LLC |
11061064 | Semiconductor device and method for detecting cracks | -- |
11061193 | Semiconductor chip package having optical interface | LIPAC CO., LTD. |
11061327 | Polyimides | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11061450 | Cooling apparatus for electronic components | -- |
11061455 | Systems and methods for integrating batteries with stacked integrated circuit die elements | ARBOR COMPANY, LLLP |
11061773 | Fault tolerant memory systems and components with interconnected and redundant data interfaces | RAMBUS INC. |
11062070 | Die to die interconnect structure for modularized integrated circuit devices | INTEL CORPORATION |
11062851 | Thin film capacitor embedded substrate and its manufacturing method | TDK CORPORATION |
11062901 | Low-k dielectric and processes for forming same | -- |
11062908 | Contact structure | -- |
11062909 | Interconnect structure having a carbon-containing barrier layer | -- |
11062915 | Redistribution structures for semiconductor packages and methods of forming the same | -- |
11062917 | Packaging method, panel assembly, wafer package and chip package | PEP INNOVATION PTE. LTD. |
11062923 | Apparatus to control transfer parameters during transfer of semiconductor devices | ROHINNI, LLC |
11062924 | Semiconductor packaging apparatus and method of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11062927 | Device and method for contactlessly transferring at least partly ferromagnetic electronic components from a carrier to a substrate | MUEHLBAUER GMBH & CO. KG |
11062933 | Die placement and coupling apparatus | INTEL CORPORATION |
11062938 | Semiconductor device and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11062943 | Top via interconnects with wrap around liner | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062945 | Methods for reducing contact depth variation in semiconductor fabrication | -- |
11062947 | Inorganic dies with organic interconnect layers and related structures | INTEL CORPORATION |
11062949 | Method of manufacturing power device with improved the utilization rate of wafer area | -- |
11062961 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11062965 | Flipped vertical field-effect-transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062966 | Defect detection structure of a semiconductor die, semiconductor device including the same and method of detecting defects in semiconductor die | SAMSUNG ELECTRONICS CO., LTD. |
11062968 | Package structure and method for forming the same | -- |
11062969 | Wafer level chip scale package structure and manufacturing method thereof | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11062970 | Heat spreader edge standoffs for managing bondline thickness in microelectronic packages | INTEL CORPORATION |
11062971 | Package structure and method and equipment for forming the same | -- |
11062972 | Electronic module for power control and method for manufacturing an electronic module power control | ZF FRIEDRICHSHAFEN AG |
11062973 | Synthetic diamond heat spreaders | ELEMENT SIX TECHNOLOGIES LIMITED |
11062974 | Bonded body, power module substrate, method for manufacturing bonded body, and method for manufacturing power module substrate | MITSUBISHI MATERIALS CORPORATION |
11062975 | Package structures | -- |
11062976 | Functional stiffener that enables land grid array interconnections and power decoupling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062977 | Shield structure for backside through substrate vias (TSVs) | -- |
11062978 | Semiconductor package and method | -- |
11062979 | High-frequency device and manufacturing method thereof | -- |
11062980 | Integrated circuit packages with wettable flanks and methods of manufacturing the same | TEXAS INSTRUMENTS INCORPORATED |
11062981 | Bidirectional switch and bidirectional switch device including the switch | PANASONIC CORPORATION |
11062982 | Packaged semiconductor device with a particle roughened surface | TEXAS INSTRUMENTS INCORPORATED |
11062983 | Substrate for mounting semiconductor element | OHKUCHI MATERIALS CO., LTD. |
11062984 | Methods for forming semiconductor devices | MICRON TECHNOLOGY, INC. |
11062985 | Wiring structure having an intermediate layer between an upper conductive structure and conductive structure | -- |
11062986 | Articles having vias with geometry attributes and methods for fabricating the same | CORNING INCORPORATED |
11062987 | Semiconductor device | -- |
11062988 | 3D IC decoupling capacitor structure and method for manufacturing the same | -- |
11062989 | Assemblies which include wordlines over gate electrodes | MICRON TECHNOLOGY, INC. |
11062990 | Semiconductor package of using insulating frame | NEPES LAWEH CORPORATION |
11062992 | Electronic component | ROHM CO., LTD. |
11062993 | Contacts having a geometry to reduce resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062994 | Semiconductor device package and method of manufacturing the same | -- |
11062995 | Interconnect fabricated with flowable copper | INTEL CORPORATION |
11062996 | Embedded component package structure and manufacturing method thereof | -- |
11062997 | Method for forming chip package structure | -- |
11062998 | Semiconductor package and manufacturing method thereof | -- |
11062999 | Semiconductor package and antenna module comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11063000 | Semiconductor package authentication feature | INFINEON TECHNOLOGIES AG |
11063001 | Semiconductor device and method of manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11063002 | Methods related to shielded module having compression overmold | SKYWORKS SOLUTIONS, INC. |
11063003 | Semiconductor device with diced semiconductor chips and method for manufacturing the same | -- |
11063004 | Semiconductor device, control device, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11063005 | Via rail solution for high power electromigration | -- |
11063006 | Semiconductor device structure with fine patterns forming varied height spacer and method for forming the same | -- |
11063007 | Semiconductor device and method of manufacture | -- |
11063008 | Semiconductor structure and manufacturing method thereof | -- |
11063009 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11063010 | Redistribution layer (RDL) structure and method of manufacturing the same | -- |
11063011 | Chip and wafer having multi-layered pad | -- |
11063012 | Semiconductor structure having buffer under bump pad and manufacturing method thereof | -- |
11063013 | Semiconductor package structure | -- |
11063014 | Semiconductor devices including a metal silicide layer and methods for manufacturing thereof | INFINEON TECHNOLOGIES AG |
11063015 | Semiconductor device package and method of manufacturing the same | -- |
11063016 | Integrated fan-out package including voltage regulators and methods forming same | -- |
11063017 | Embedded organic interposer for high bandwidth | INVENSAS CORPORATION |
11063018 | Semiconductor device assemblies with electrically functional heat transfer structures | MICRON TECHNOLOGY, INC. |
11063019 | Package structure, chip structure and method of fabricating the same | -- |
11063020 | Semiconductor device, manufacturing method for semiconductor device, and electronic device | SONY CORPORATION |
11063021 | Microelectronics package with vertically stacked dies | QORVO US, INC. |
11063022 | Package and manufacturing method of reconstructed wafer | -- |
11063023 | Semiconductor package | -- |
11063024 | Method to form a 3D semiconductor device and structure | MONLITHIC 3D INC. |
11063025 | Semiconductor module and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11063027 | Semiconductor die with improved thermal insulation between a power portion and a peripheral portion, method of manufacturing, and package housing the die | STMICROELECTRONICS S.R.L. |
11063029 | Method for forming an electro-optical system | STMICROELECTRONICS S.R.L. |
11063031 | Semiconductor memory system | TOSHIBA MEMORY CORPORATION |
11063034 | Capacitor structures | MICRON TECHNOLOGY, INC. |
11063036 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11063038 | Through silicon via design for stacking integrated circuits | -- |
11063041 | Integrated circuit device including a power supply line and method of forming the same | -- |
11063045 | Semiconductor device and method of manufacturing the same | -- |
11063046 | Multi-die fine grain integrated voltage regulation | APPLE INC. |
11063056 | Non-volatile memory device and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11063061 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11063062 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11063063 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11063071 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
11063078 | Anti-flare semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11063108 | Organic light emitting diode array substrate and electronic device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11063110 | Conductive pattern with tapered angle, display device including the same, and method of manufacturing conductive pattern | SAMSUNG DISPLAY CO., LTD. |
11063111 | Semiconductor structure and manufacturing method for the same | -- |
11063120 | Metal-graphene structures forming a lattice of interconnected segments | TEXAS INSTRUMENTS INCORPORATED |
11063127 | Semiconductor element and semiconductor device provided with the same | ROHM CO., LTD. |
11063128 | Conformal source and drain contacts for multi-gate field effect transistors | -- |
11063132 | Semiconductor device layout structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11063133 | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11063151 | Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures | INTEL CORPORATION |
11063157 | Trench capacitor profile to decrease substrate warpage | -- |
11063159 | Methods for routing electrical interconnections and resultant structures | FLIR SYSTEMS, INC. |
11063193 | Colour micro-LED display apparatus | REALD SPARK, LLC |
11063194 | Ultra-small LED electrode assembly | SAMSUNG DISPLAY CO., LTD. |
11063195 | Electronic device and method for manufacturing the same | -- |
11063216 | Confined phase change memory with double air gap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063495 | Heatsink clamp for multiple electronic components | NIDEC MOTOR CORPORATION |
11063571 | Packaged electronic components | ZHUHAI CRYSTAL RESONANCE TECHNOLOGIES CO., LTD. |
11063586 | Main-auxiliary field-effect transistor configurations with an auxiliary stack and interior parallel transistors | SKYWORKS SOLUTIONS, INC. |
11064603 | Electronic apparatus having package base substrate | SAMSUNG ELECTRONICS CO., LTD. |
11064609 | Printable 3D electronic structure | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11064632 | Heat-sinking improved structure for evaporators | -- |
11065466 | Shock determination based on prior shocks | ZOLL MEDICAL CORPORATION |
11065805 | Warpage reduction device and warpage reduction method | EO TECHNICS CO., LTD. |
11066510 | Epoxy resin composition, process for producing same, and uses of said composition | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11066577 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11067343 | Thermal compensation layers with core-shell phase change particles and power electronics assemblies incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11067345 | Passively deployable thermal management devices, systems, and methods | ROCCOR, LLC |
11067466 | Pressure sensor devices and methods for manufacturing pressure sensor devices | INFINEON TECHNOLOGIES AG |
11067554 | Gas sensor package and sensing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11067600 | Multilayer circuit board used for probe card and probe card including multilayer circuit board | MURATA MANUFACTURING CO., LTD. |
11067643 | Magnetic field sensor and method for making same | MELEXIS TECHNOLOGIES NV |
11067645 | Magnetic sensor component and assembly | MELEXIS TECHNOLOGIES SA |
11067670 | Heterogeneously integrated chip-scale lidar system | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11067857 | Display panel, display device, and method for manufacturing display panel | SAKAI DISPLAY PRODUCTS CORPORATION |
11068166 | Hybrid memory device using different types of capacitors and operating method thereof | MICRON TECHNOLOGY, INC. |
11068636 | Method for semiconductor package and semiconductor package design system | SAMSUNG ELECTRONICS CO., LTD. |
11068638 | Power grid, IC and method for placing power grid | -- |
11068640 | Power shared cell architecture | INTEL CORPORATION |
11069383 | Thermal interface materials for immersion cooled data storage devices | SEAGATE TECHNOLOGY LLC |
11069410 | Three-dimensional NOR-NAND combination memory device and method of making the same | SANDISK TECHNOLOGIES LLC |
11069419 | Test line letter for embedded non-volatile memory technology | -- |
11069475 | Compact isolated inductors | PSEMI CORPORATION |
11069476 | Self-contained device with planar overlapping coils | VAYYAR IMAGING LTD. |
11069526 | Using a self-assembly layer to facilitate selective formation of an etching stop layer | -- |
11069530 | Etching platinum-containing thin film using protective cap layer | TEXAS INSTRUMENTS INCORPORATED |
11069538 | Semiconductor device and method of manufacturing semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11069539 | 3D packages and methods for forming the same | -- |
11069540 | Package on package and a method of fabricating the same | -- |
11069541 | Semiconductor device package for debonding substrate assembly from carrier substrate using light and method of manufacturing same | SAMSUNG ELECTRONICS CO., LTD. |
11069551 | Method of dampening a force applied to an electrically-actuatable element | ROHINNI, LLC |
11069561 | Methods of forming electronic devices, and related electronic devices and electronic systems | MICRON TECHNOLOGY, INC. |
11069562 | Passivation layer for integrated circuit structure and forming the same | -- |
11069565 | Semiconductor interconnect structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION |
11069566 | Hybrid sidewall barrier facilitating low resistance interconnection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069567 | Modulating metal interconnect surface topography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069571 | High speed, high density, low power die interconnect system | GULA CONSULTING LIMITED LIABILITY COMPANY |
11069572 | Semiconductor device and forming method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069573 | Wafer level package structure and method of forming same | -- |
11069585 | Semiconductor substrate crack mitigation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11069586 | Chip-on-film package | -- |
11069587 | Integrated semiconductor device and process for manufacturing an integrated semiconductor device | STMICROELECTRONICS S.R.L. |
11069588 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069589 | Circuit board and circuit module | TAIYO YUDEN CO., LTD. |
11069590 | Wafer-level fan-out package with enhanced performance | QORVO US, INC. |
11069591 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11069592 | Semiconductor packages including a lower structure, an upper structure on the lower structure, and a connection pattern between the lower structure and the upper structure | SAMSUNG ELECTRONICS CO., LTD. |
11069593 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11069594 | Methods of forming electronic assemblies with inverse opal structures using variable current density electroplating | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11069595 | Water cooling module | -- |
11069596 | Through silicon contact structure and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069597 | Semiconductor chips and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069598 | Memory arrays and methods used in forming a memory array and conductive through-array-vias (TAVs) | MICRON TECHNOLOGY, INC. |
11069599 | Recessed lead leadframe packages | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11069600 | Semiconductor package with space efficient lead and die pad design | INFINEON TECHNOLOGIES AG |
11069601 | Leadless semiconductor package with wettable flanks | STMICROELECTRONICS, INC. |
11069602 | Package and terminal arrangement for semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
11069603 | Semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11069604 | Semiconductor package and method of making the same | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. GRAND |
11069605 | Wiring structure having low and high density stacked structures | -- |
11069606 | Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect | COMPASS TECHNOLOGY COMPANY LIMITED |
11069607 | Metal option structure of semiconductor device | SK HYNIX INC. |
11069608 | Semiconductor structure and manufacturing method thereof | -- |
11069609 | Techniques for forming vias and other interconnects for integrated circuit structures | INTEL CORPORATION |
11069610 | Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems | MICRON TECHNOLOGY, INC. |
11069611 | Liner-free and partial liner-free contact/via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069612 | Semiconductor devices having electrically and optically conductive vias, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11069613 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069614 | Semiconductor package structure | -- |
11069615 | Inductor, filter, and multiplexer | TAIYO YUDEN CO., LTD. |
11069616 | Horizontal programmable conducting bridges between conductive lines | TOKYO ELECTRON LIMITED |
11069617 | Semiconductor device and nonvolatile memory | TOSHIBA MEMORY CORPORATION |
11069618 | Line structure and a method for producing the same | DAI NIPPON PRINTING CO., LTD. |
11069619 | Interconnect structure and electronic device employing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069620 | Die interconnect substrate, an electrical device and a method for forming a die interconnect substrate | INTEL CORPORATION |
11069621 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11069622 | Interposer-type component carrier and method of manufacturing the same | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11069623 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11069624 | Electrical devices and methods of manufacture | FARADAY SEMI, INC. |
11069625 | Method for forming package structure | -- |
11069626 | Molding compound and semiconductor package with a molding compound | INFINEON TECHNOLOGIES AG |
11069627 | Scribe seals and methods of making | TEXAS INSTRUMENTS INCORPORATED |
11069628 | Integrated circuit provided with decoys against reverse engineering and corresponding fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
11069630 | Structures and methods for reducing thermal expansion mismatch during integrated circuit packaging | -- |
11069631 | Three-dimensional memory die containing stress-compensating slit trench structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
11069632 | Array substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069633 | Electronic package | -- |
11069634 | Amplifier and amplification apparatus | FUJITSU LIMITED |
11069635 | Radio frequency transistor amplifiers and other multi-cell transistors having isolation structures | CREE, INC. |
11069636 | Package structure and method of forming the same | -- |
11069637 | Semiconductor device, manufacturing method, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069638 | Method for fabricating the electronic component, and method for transposing a micro-element | -- |
11069639 | Semiconductor module, electronic component and method of manufacturing a semiconductor module | INFINEON TECHNOLOGIES AUSTRIA AG |
11069640 | Package for power electronics | CREE FAYETTEVILLE, INC. |
11069641 | Integrated circuit package and display device using the same | LG DISPLAY CO., LTD. |
11069642 | Package structure and method of manufacturing the same | -- |
11069643 | Semiconductor device manufacturing method | FUJI ELECTRIC CO., LTD. |
11069644 | Semiconductor device including a solder compound containing a compound Sn/Sb | INFINEON TECHNOLOGIES AG |
11069645 | Electronic component module | MURATA MANUFACTURING CO., LTD. |
11069646 | Printed circuit board structure having pads and conductive wire | -- |
11069647 | Semiconductor wafer, bonding structure and wafer bonding method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11069648 | Semiconductor structure and method for obtaining light emitting diodes reconstituted over a carrier substrate | IMEC VZW |
11069649 | Laser assisted solder bonding of direct conversion compound semiconductor detector | DETECTION TECHNOLOGY OY |
11069650 | Bonding condition evaluation apparatus | SHINKAWA LTD. |
11069651 | Method of mounting die | SHINKAWA LTD. |
11069652 | Method of manufacturing semiconductor structure | -- |
11069653 | Methods and structures for packaging semiconductor dies | -- |
11069654 | Metal frame, dummy wafer, semiconductor device, electronic device, and method of manufacturing semiconductor device | SONY CORPORATION |
11069655 | Semiconductor device including two or more chips mounted over wiring substrate | MICRON TECHNOLOGY, INC. |
11069656 | Three-layer package-on-package structure and method forming same | -- |
11069657 | Chip package having die structures of different heights and method of forming same | -- |
11069658 | System on integrated chips and methods of forming same | -- |
11069661 | Electronic package | -- |
11069662 | Semiconductor package and manufacturing method thereof | -- |
11069665 | Trimmable banked capacitor | APPLE INC. |
11069666 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11069667 | Wafer level proximity sensor | STMICROELECTRONICS PTE LTD |
11069670 | Camera assembly and packaging method thereof, lens module, and electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11069671 | Semiconductor package and method | -- |
11069673 | Semiconductor package and manufacturing method thereof | -- |
11069691 | Memory cell array with large gate widths | GLOBALFOUNDRIES U.S. INC. |
11069695 | Floating gate test structure for embedded memory device | -- |
11069699 | NAND memory cell string having a stacked select gate structure and process for forming same | CYPRESS SEMICONDUCTOR CORPORATION |
11069703 | Three-dimensional device with bonded structures including a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
11069706 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11069707 | Variable die size memory device and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11069721 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11069734 | Image sensor device | INVENSAS CORPORATION |
11069735 | Semiconductor device and imaging device | SONY CORPORATION |
11069746 | Electronic device | SK HYNIX INC. |
11069747 | Display device and electronic device having multiple overlapping display panels | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069763 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11069783 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11069838 | Light-emitting device with light-emitting element mounted on supporting member and display apparatus | ROHM CO, LTD. |
11070171 | Apparatus and methods for biasing of power amplifiers | SKYWORKS SOLUTIONS, INC. |
11070175 | Power amplification module | MURATA MANUFACTURING CO., LTD. |
11070209 | Programmable logic device with fine-grained disaggregation | INTEL CORPORATION |
11071197 | Multilayer ceramic electronic package with modulated mesh topology and alternating rods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11071203 | Circuit substrate arrangement with improved electrical contact | ROBERT BOSCH GMBH |
11071207 | Electronic module | IMBERATEK, LLC |
11071212 | Semiconductor device manufacturing method | FUJI ELECTRIC CO., LTD. |
11071224 | Functional panel, method for manufacturing the same, module, data processing device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11071230 | Heat dissipation structure of heat generating component | DENSO TEN LIMITED |
11071238 | Fluid cooling system | ICEOTOPE GROUP LIMITED |
11071240 | Power converting apparatus | HYUNDAI MOTOR COMPANY |
11071513 | Test key design to enable X-ray scatterometry measurement | -- |
11072706 | Gel-type thermal interface material | HONEYWELL INTERNATIONAL INC. |
11072710 | Surface-treated silica filler, and resin composition containing surface-treated silica filler | NAMICS CORPORATION |
11073336 | Shell heat dissipating structure of small form-factor pluggable transceiver | -- |
11073572 | Current sensor device with a routable molded lead frame | INFINEON TECHNOLOGIES AG |
11073873 | Electronic device interconnect | INTEL CORPORATION |
11074866 | Light emitting display apparatus | LG DISPLAY CO., LTD. |
11075072 | Wafer scale ultrasonic sensing device and manufacturing method thereof | -- |
11075090 | Semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075091 | Method for manufacturing semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11075092 | Multi-layer substrate | MURATA MANUFACTURING CO., LTD. |
11075093 | Assembly of a carrier and a plurality of electrical circuits fixed thereto, and method of making the same | CARDLAB APS |
11075097 | 3D IC bump height metrology APC | -- |
11075102 | Positioning device | SUSS MICROTEC LITHOGRAPHY GMBH |
11075109 | Radio frequency silicon on insulator structure with superior performance, stability, and manufacturability | -- |
11075112 | Method of forming interconnection structure | -- |
11075113 | Metal capping layer and methods thereof | -- |
11075116 | Integrated antenna on interposer substrate | -- |
11075117 | Die singulation and stacked device structures | XILINX, INC. |
11075118 | Semiconductor die singulation methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075122 | Semiconductor device and manufacturing method thereof | KIOXIA CORPORATION |
11075129 | Substrate processing carrier | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075130 | Package substrate having polymer-derived ceramic core | INTEL CORPORATION |
11075131 | Semiconductor package and method of forming the same | -- |
11075132 | Integrated fan-out package, package-on-package structure, and manufacturing method thereof | -- |
11075133 | Underfill structure for semiconductor packages and methods of forming the same | -- |
11075134 | Semiconductor device with a portion including silicon and nitrogen and method of manufacturing | INFINEON TECHNOLOGIES AG |
11075135 | Semiconductor structure and method of forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11075136 | Heat transfer structures and methods for IC packages | -- |
11075137 | High power module package structures | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075138 | Semiconductor package system | SAMSUNG ELECTRONICS CO., LTD. |
11075139 | Heat radiation structure, electronic device and manufacturing method of heat radiation structure | FUJITSU LIMITED |
11075140 | Heat conduction structure or semiconductor apparatus | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11075141 | Module base with integrated thermal spreader and heat sink for thermal and structural management of high-performance integrated circuits or other devices | RAYTHEON COMPANY |
11075142 | Cooling apparatus for power semiconductor and a method of manufacturing the same | HYUNDAI MOTOR COMPANY |
11075143 | Cooling apparatus | SHOWA DENKO K.K. |
11075144 | Cooler and semiconductor module | FUJI ELECTRIC CO., LTD. |
11075145 | Semiconductor device including through die via and manufacturing method thereof | -- |
11075146 | Microfeature workpieces having alloyed conductive structures, and associated methods | MICRON TECHNOLOGY, INC. |
11075147 | Stacked die semiconductor package | TEXAS INSTRUMENTS INCORPORATED |
11075148 | Stacked transistor assembly with dual middle mounting clips | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075149 | Redistribution substrate, method of manufacturing the same, and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11075150 | Semiconductor package and method of manufacturing the same | -- |
11075151 | Fan-out package with controllable standoff | -- |
11075152 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075153 | Electronic component-incorporating substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11075154 | Semiconductor device and method of manufacturing semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11075155 | Package structure and manufacturing method thereof | -- |
11075156 | Substrate having electronic component embedded therein | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11075157 | IC having trench-based metal-insulator-metal capacitor | TEXAS INSTRUMENTS INCORPORATED |
11075158 | MIM structure | -- |
11075159 | Integrated fan-out packages and methods of forming the same | -- |
11075160 | Semiconductor device and method for fabricating thereof | SAMSUNG ELECTRONICS CO., LTD. |
11075161 | Large via buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075162 | Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics | -- |
11075163 | Vertical NAND string multiple data line memory | MICRON TECHNOLOGY, INC. |
11075164 | Semiconductor device including a conductive feature over an active region | -- |
11075165 | Methods and apparatus for forming dual metal interconnects | APPLIED MATERIALS, INC. |
11075166 | Microelectronic structures having multiple microelectronic devices connected with a microelectronic bridge embedded in a microelectronic substrate | INTEL CORPORATION |
11075167 | Pillared cavity down MIS-SIP | DIALOG SEMICONDUCTOR (UK) LIMITED |
11075168 | InFO-POP structures with TIVs having cavities | -- |
11075169 | Integrated-circuitry overlay alignment mark, a substrate comprising an overlay alignment mark, a method of forming an overlay alignment mark in the fabrication of integrated circuitry, and a method of determining overlay alignment in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
11075170 | Semiconductor package with EMI shield and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11075171 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075172 | Process for manufacturing a strained semiconductor device and corresponding strained semiconductor device | STMICROELECTRONICS S.R.L. |
11075173 | Semiconductor device and method of forming same | -- |
11075174 | Semiconductor device | -- |
11075175 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075176 | Semiconductor device and method | -- |
11075177 | Integrated circuit comprising a substrate equipped with a trap-rich region, and fabricating process | STMICROELECTRONICS (CROLLES 2) SAS |
11075178 | RF power amplifier pallet | AMPLEON NETHERLANDS B.V. |
11075179 | Semiconductor device and method of forming the same | -- |
11075180 | Semiconductor device and method of manufacturing the semiconductor device | AOI ELECTRONICS CO., LTD. |
11075181 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11075182 | Semiconductor package and method of forming the same | -- |
11075183 | Semiconductor chip and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11075184 | Semiconductor package and method of fabricating semiconductor package | -- |
11075185 | Semiconductor package with multi-level conductive clip for top side cooling | INFINEON TECHNOLOGIES AG |
11075186 | Semiconductor package | -- |
11075187 | Semiconductor device and method of forming insulating layers around semiconductor die | SEMTECH CORPORATION |
11075188 | Package structure and assembly structure | -- |
11075189 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075193 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075194 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11075203 | Semiconductor structure | -- |
11075212 | Semiconductor device and method of manufacturing | -- |
11075214 | NOR memory cell with vertical floating gate | GREENLIANT IP, LLC |
11075217 | Vertical semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11075220 | Semiconductor device | KIOXIA CORPORATION |
11075223 | Thin film transistor array panel with integrated gate driver including noise removal unit | SAMSUNG DISPLAY CO., LTD. |
11075226 | Display device | -- |
11075235 | Image sensor mounting base, imaging device, and imaging module | KYOCERA CORPORATION |
11075260 | Substrate comprising recessed interconnects and a surface mounted passive component | QUALCOMM INCORPORATED |
11075265 | Trigate device with full silicided epi-less source/drain for high density access transistor applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075279 | Metal gate and contact plug design and method forming same | -- |
11075281 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075293 | Qubit-detector die assemblies | INTEL CORPORATION |
11075294 | Protective insulator for HFET devices | POWER INTEGRATIONS, INC. |
11075303 | Oxide semiconductor compound, semiconductor element provided with layer of oxide semiconductor compound, and laminated body | TOKYO INSTITUTE OF TECHNOLOGY |
11075321 | Semiconductor device | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11075330 | Package structure and electronic device | -- |
11075335 | Techniques for MRAM MTJ top electrode connection | -- |
11075439 | Electronic device and manufacturing method thereof | -- |
11075499 | Heat sink comprising synthetic diamond material | ELEMENT SIX TECHNOLOGIES LIMITED |
11075635 | Display device and manufacturing method of same | -- |
11076249 | Electronic device and control method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11076477 | Cooling and compression clamp for short lead power devices | MKS INSTRUMENTS, INC. |
11076478 | Electronic assemblies having embedded passive heat pipes and associated method | EAGLE TECHNOLOGY, LLC |
11076488 | Board having electronic component embedded therein | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11076502 | Apparatus, system, and method for cooling multi-chip modules via clustered fluid-cooled plates | JUNIPER NETWORKS, INC. |
11076503 | Thermally conductive insert element for electronic unit | APTIV TECHNOLOGIES LIMITED |
11076513 | Circuit module | TDK CORPORATION |
11077647 | Thermally conductive silicone rubber composite sheet | SHIN-ETSU CHEMICAL CO., LTD. |
11078075 | Packaging method and associated packaging structure | -- |
11078112 | Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same | CORNING INCORPORATED |
11078894 | Microfluidic fan | CHILLWIND TECHNOLOGIES AB |
11079270 | Optical sensor and apparatus comprising an optical sensor having a photodetector and a semiconductor guard ring are dimensioned so that a fill factor of each pixel is less than or equal to 50% | STMICROELECTRONICS (RESEARCH & DEVELOPMENT) LIMITED |
11079540 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11079997 | Display apparatus and methods | NANLUMENS ACQUISITION, INC. |
11080454 | Integrated circuit, system, and method of forming the same | -- |
11080455 | Layout design of integrated circuit with through-substrate via | -- |
11081147 | Pseudo-cryogenic semiconductor device having pseudo-cryogenic temperature sensor and voltage supplier and pseudo-cryogenic semiconductor stack | SK HYNIX INC. |
11081173 | Via formation for cross-point memory | MICRON TECHNOLOGY, INC. |
11081185 | Non-volatile memory array driven from both sides for performance improvement | SANDISK TECHNOLOGIES LLC |
11081186 | Non-volatile memory device and erasing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11081271 | Element for protecting circuit | MODA-INNOCHIPS CO., LTD. |
11081346 | Semiconductor structure having a group iii-v semiconductor layer comprising a hexagonal mesh crystalline structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081352 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | -- |
11081366 | MCM package isolation through leadframe design and package saw process | TEXAS INSTRUMENTS INCORPORATED |
11081367 | Support and method for producing semiconductor device-mounting substrate using the same | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11081368 | Method of dicing wiring substrate, and packaging substrate | TOPPAN PRINTING CO., LTD. |
11081369 | Package structure and manufacturing method thereof | -- |
11081371 | Chip package process | VIA ALLIANCE SEMICONDUCTOR CO., LTD. |
11081372 | Package system for integrated circuits | -- |
11081384 | Method for stabilizing a semiconductor arrangement | INFINEON TECHNOLOGIES AG |
11081388 | Forming barrierless contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081390 | Multi-pass plating process with intermediate rinse and dry | TEXAS INSTRUMENTS INCORPORATED |
11081391 | Wafer level dicing method and semiconductor device | -- |
11081392 | Dicing method for stacked semiconductor devices | -- |
11081403 | Methods of forming contact features in field-effect transistors | -- |
11081406 | Via integrity and board level reliability testing | TEXAS INSTRUMENTS INCORPORATED |
11081407 | Methods for assessing semiconductor structures | -- |
11081411 | Semiconductor structure | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11081412 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11081413 | Semiconductor package with inner and outer cavities | -- |
11081414 | Power semiconductor module arrangement | INFINEON TECHNOLOGIES AG |
11081415 | Method for manufacturing electronic package | -- |
11081416 | Configuring a sealing structure sealing a component embedded in a component carrier for reducing mechanical stress | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11081417 | Manufacturing a package using plateable encapsulant | INFINEON TECHNOLOGIES AG |
11081419 | Semiconductor package and a method of manufacturing the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11081420 | Substrate structure and semiconductor package structure | -- |
11081421 | IGBT module with heat dissipation structure having ceramic layers corresponding in position and in area to chips | -- |
11081422 | Self-healing PDMS encapsulation and repair of power modules | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11081423 | Power distribution by a working fluid contained in a conduit | THE BOEING COMPANY |
11081424 | Micro-fluidic channels having various critical dimensions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081425 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11081426 | 3D IC power grid | -- |
11081427 | Semiconductor device with through silicon via structure | -- |
11081428 | Electronic device with three dimensional thermal pad | TEXAS INSTRUMENTS INCORPORATED |
11081429 | Finger pad leadframe | TEXAS INSTRUMENTS INCORPORATED |
11081430 | Multi-die-package and method | INFINEON TECHNOLOGIES AUSTRIA AG |
11081431 | Circuit device | AUTONETWORKS TECHNOLOGIES, LTD. |
11081432 | Semiconductor device with semiconductor element and electrodes on different surfaces | ROHM CO., LTD. |
11081433 | Semiconductor device | ROHM CO., LTD. |
11081434 | Package substrates with magnetic build-up layers | INTEL CORPORATION |
11081435 | Package substrate and flip-chip package circuit including the same | -- |
11081436 | Component carrier with integrated strain gauge | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11081437 | Imaging element mounting board, producing method of imaging element mounting board, and mounting board assembly | NITTO DENKO CORPORATION |
11081438 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11081439 | Integrated circuit and electronic circuit comprising the same | KABUSHIKI KAISHA TOSHIBA |
11081440 | Interposer and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11081441 | Chip on film and display device | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081442 | Low cost metallization during fabrication of an integrated circuit (IC) | SKYWORKS SOLUTIONS, INC. |
11081443 | Multi-tier three-dimensional memory device containing dielectric well structures for contact via structures and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11081444 | Integrated circuit with guard ring | -- |
11081445 | Semiconductor device comprising air gaps having different configurations | -- |
11081446 | Semiconductor device | SK HYNIX INC. |
11081447 | Graphene-assisted low-resistance interconnect structures and methods of formation thereof | -- |
11081448 | Embedded die microelectronic device with molded component | INTEL CORPORATION |
11081449 | Semiconductor device and method for manufacturing the same and wireless communication apparatus | MITSUBISHI ELECTRIC CORPORATION |
11081450 | Radiation shield around a component on a substrate | INTEL CORPORATION |
11081451 | Die stack with reduced warpage | INTEL CORPORATION |
11081452 | Field effect transistor and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11081453 | Semiconductor package structure with antenna | -- |
11081454 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081455 | Semiconductor device with bond pad extensions formed on molded appendage | INFINEON TECHNOLOGIES AUSTRIA AG |
11081456 | Textured bond pads | TEXAS INSTRUMENTS INCORPORATED |
11081457 | Semiconductor package and methods of manufacturing a semiconductor package | INFINEON TECHNOLOGIES AUSTRIA AG |
11081458 | Methods and apparatuses for reflowing conductive elements of semiconductor devices | MICRON TECHNOLOGY, INC. |
11081459 | Semiconductor device | -- |
11081460 | Methods and systems for manufacturing pillar structures on semiconductor devices | MICRON TECHNOLOGY, INC. |
11081461 | Packaging process and packaging structure | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
11081462 | Method for manufacturing a bonding structure | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11081463 | Bonding method with electron-stimulated desorption | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081464 | Method for producing an integral join and automatic placement machine | INFINEON TECHNOLOGIES AG |
11081465 | Method for producing a stable sandwich arrangement of two components with solder situated therebetween | HERAEUS DEUTSCHLAND GMBH & CO. KG |
11081466 | Method for joining a micorelectronic chip to a wire element | PRIMO1D |
11081467 | Apparatuses and methods for arranging through-silicon vias and pads in a semiconductor device | MICRON TECHNOLOGY, INC. |
11081468 | Stacked die package including a first die coupled to a substrate through direct chip attachment and a second die coupled to the substrate through wire bonding and related methods, devices and apparatuses | MICRON TECHNOLOGY, INC. |
11081469 | Three-dimensional integrated circuit test and improved thermal dissipation | ARM LIMITED |
11081470 | Semiconductor device and method of manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11081472 | Stacked die multichip module package | TEXAS INSTRUMENTS INCORPORATED |
11081473 | Semiconductor device package and method of manufacturing the same | -- |
11081475 | Integrated circuit structure and method for reducing polymer layer delamination | -- |
11081476 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081477 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081478 | Interconnect structure having a fluorocarbon layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11081479 | Integrated circuit layout with asymmetric metal lines | -- |
11081480 | Semiconductor structure, capacitor structure thereof and manufacturing method of the same | -- |
11081491 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081492 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11081499 | Nonvolatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11081503 | Array substrate and method of mounting integrated circuit using the same | SAMSUNG DISPLAY CO., LTD. |
11081504 | Display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081515 | Semiconducting device, and appliance having the semiconducting device | CANON KABUSHIKI KAISHA |
11081518 | Semiconductor packaging method and semiconductor device based on molding process | NINGBO SUNNY OPOTECH CO., LTD. |
11081526 | Nonvolatile memory device | KIOXIA CORPORATION |
11081541 | Method of providing partial electrical shielding | INTEL CORPORATION |
11081542 | Buried MIM capacitor structure with landing pads | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081543 | Multi-spheroid BEOL capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081551 | Method for producing a graphene-based sensor | INFINEON TECHNOLOGIES AG |
11081557 | Memory and method for forming the same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11081565 | Memory modules and memory packages including graphene layers for thermal management | MICRON TECHNOLOGY, INC. |
11081572 | Integrated circuit heat dissipation using nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081573 | Semiconductor element | MURATA MANUFACTURING CO., LTD. |
11081585 | Via structure with low resistivity and method for forming the same | -- |
11081625 | Packaged LEDs with phosphor films, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11081632 | Micro-LED chips and methods for manufacturing the same and display devices | CHENGDU VISTAR OPTOELECTRONICS CO., LTD. |
11081669 | Encapsulation film | LG CHEM, LTD. |
11081768 | Fabricating an RF filter on a semiconductor package using selective seeding | INTEL CORPORATION |
11081783 | Integrated antenna using through silicon vias | MICRON TECHNOLOGY, INC. |
11081804 | Antenna-integrated type communication module and manufacturing method for the same | MURATA MANUFACTURING CO., LTD. |
11081810 | TFT substrate and scanned antenna having TFT substrate | SHARP KABUSHIKI KAISHA |
11081881 | Full swing positive to negative MOSFET supply clamp for electrostatic discharge (ESD) protection | STMICROELECTRONICS INTERNATIONAL N.V. |
11081970 | Assembly of bus bars forming a casing and heat dissipator for an electronic power device | INSTITUT VEDECOM |
11082028 | 3D-printed protective shell structures with support columns for stress sensitive circuits | TEXAS INSTRUMENTS INCORPORATED |
11082040 | Devices and methods for improving voltage handling and/or bi-directionality of stacks of elements when connected between terminals | PSEMI CORPORATION |
11082202 | Fault injection attack detection in integrated circuits | ARM LIMITED |
11083077 | Structure for delivering power | RAMBUS INC. |
11083086 | Printed wiring board and method for manufacturing printed wiring board | IBIDEN CO., LTD. |
11083107 | Electronic device and power module thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11083117 | Modular medical article storage container with tortuous path seal | MEPS REAL-TIME, INC. |
11084521 | Electronic control unit and electric power steering device using the same | DENSO CORPORATION |
11084713 | Bypass structure | -- |
11084717 | Through-substrate conductor support | TEXAS INSTRUMENTS INCORPORATED |
11084928 | Transparent siloxane encapsulant and adhesive | INKRON OY |
11084941 | Underfill material, underfill film, and method for manufacturing semiconductor device using same | DEXERIALS CORPORATION |
11085376 | Integration of a phase-change material for limiting the temperature of fuel from an electronic module | SAFRAN HELICOPTER ENGINES |
11085672 | Self-heating thermal interface material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11085702 | Heat sink | MITSUBISHI ELECTRIC CORPORATION |
11085712 | Heat-dissipating sheet | SEKISUI POLYMATECH CO., LTD. |
11085977 | Method and apparatus for integrating current sensors in a power semiconductor module | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11087030 | Side-channel attack mitigation for secure devices with embedded sensors | SILICON LABORATORIES INC. |
11087055 | Method of screening materials using forward conducting modes | SAMSUNG ELECTRONICS CO., LTD. |
11087058 | Prevention of front-side probing attacks | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. |
11087804 | Memory device with configurable input/output interface | MICRON TECHNOLOGY, INC. |
11087927 | Substrates employing surface-area amplification, for use in fabricating capacitive elements and other devices | MURATA MANUFACTURING CO., LTD. |
11087970 | Bonded wafer, a method of manufacturing the same, and a method of forming through hole | CANON KABUSHIKI KAISHA |
11087991 | Integrated structures, capacitors and methods of forming capacitors | MICRON TECHNOLOGY, INC. |
11087994 | Via connection to a partially filled trench | -- |
11087995 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11088000 | Wafer based corrosion and time dependent chemical effects | APPLIED MATERIALS, INC. |
11088016 | Method for locating devices | SOITEC |
11088017 | Stair step structures including insulative materials, and related devices | MICRON TECHNOLOGY, INC. |
11088020 | Structure and formation method of interconnection structure of semiconductor device | -- |
11088021 | Interconnect structure and method of forming the same | -- |
11088023 | Method of forming a semiconductor structure | -- |
11088025 | Contact structure for semiconductor device | -- |
11088030 | Semiconductor device and a method for fabricating the same | -- |
11088037 | Semiconductor device having probe pads and seal ring | -- |
11088038 | Semiconductor package including test pad | SAMSUNG ELECTRONICS CO., LTD. |
11088041 | Semiconductor packages with shortened talking path | -- |
11088042 | Semiconductor device and production method therefor | HITACHI METALS, LTD. |
11088043 | Semiconductor device with recessed end surface of lead | ROHM CO, LTD. |
11088044 | Compound semiconductor device and fabrication method therefor, and amplifier | FUJITSU LIMITED |
11088045 | Semiconductor device having a cooling body with a groove | MITSUBISHI ELECTRIC CORPORATION |
11088046 | Semiconductor device package with clip interconnect and dual side cooling | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088047 | Ceramic package opening, heat sink, vias coupled to conductive pad | TEXAS INSTRUMENTS INCORPORATED |
11088048 | Semiconductor structure | -- |
11088049 | Heat sink formed from a high pipe density silicon carbide substrate | NLIGHT INC. |
11088050 | 3D semiconductor device with isolation layers | MONOLITHIC 3D INC. |
11088051 | Test socket assembly and related methods | XCERRA CORPORATION |
11088052 | Integrated circuit package electronic device including pillar contacts and electrical terminations | TEXAS INSTRUMENTS INCORPORATED |
11088053 | Encapsulation structure with high density, multiple sided and exposed leads and method for manufacturing the same | GUANGDONG CHIPPACKING TECHNOLOGY CO., LTD. |
11088054 | Lead frame and method for manufacturing the same | -- |
11088055 | Package with dies mounted on opposing surfaces of a leadframe | TEXAS INSTRUMENTS INCORPORATED |
11088056 | Leadframe and leadframe package | -- |
11088057 | Semiconductor package structure and method for manufacturing the same | -- |
11088058 | Method for forming semiconductor package using carbon nano material in molding compound | -- |
11088059 | Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same | -- |
11088060 | Package module including a plurality of electronic components and semiconductor chip(s) embedded in a single package | SAMSUNG ELECTRONICS CO., LTD. |
11088061 | Substrate, semiconductor device package and method of manufacturing the same | -- |
11088062 | Method to enable 30 microns pitch EMIB or below | INTEL CORPORATION |
11088063 | Structures with deformable conductors | LIQUID WIRE INC. |
11088064 | Fine pitch copper pillar package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11088065 | Semiconductor device | ROHM CO., LTD. |
11088066 | Multilayer structure and related method of manufacture for electronics | TACTOTEK OY |
11088067 | Semiconductor device and layout design thereof | -- |
11088068 | Semiconductor packages and methods of manufacturing the same | -- |
11088069 | Semiconductor package and semiconductor device | -- |
11088070 | Method of forming a multi-level interconnect structure in a semiconductor device | IMEC VZW |
11088071 | Tank circuit structure and method of making the same | -- |
11088072 | Semiconductor device including a fuse and a transistor coupled to the fuse | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088073 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11088074 | Semiconductor device and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
11088075 | Layout structures with multiple fingers of multiple lengths | GLOBALFOUNDRIES U.S. INC. |
11088076 | Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners | SANDISK TECHNOLOGIES LLC |
11088077 | Layer structure including diffusion barrier layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088078 | Semiconductor device and method for manufacturing the same | -- |
11088079 | Package structure having line connected via portions | -- |
11088080 | Chip package structure using silicon interposer as interconnection bridge | -- |
11088081 | Semiconductor package having a connection structure with tapering connection via layers | SAMSUNG ELECTRONICS CO., LTD. |
11088082 | Semiconductor device with partial EMI shielding and method of making the same | STATS CHIPPAC PTE. LTD. |
11088083 | DC and AC magnetic field protection for MRAM device using magnetic-field-shielding structure | -- |
11088084 | Electromagnetic shielding metal-insulator-metal capacitor structure | -- |
11088085 | Layout to reduce noise in semiconductor devices | -- |
11088086 | Chip package structure and method for forming the same | -- |
11088087 | Micro module with a support structure | STMICROELECTRONICS, INC. |
11088088 | Microelectronic devices with polysilicon fill material between opposing staircase structures, and related devices, systems, and methods | MICRON TECHNOLOGY, INC. |
11088089 | Package substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11088090 | Package comprising a substrate that includes a stress buffer layer | QUALCOMM INCORPORATED |
11088091 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11088092 | Via rail solution for high power electromigration | -- |
11088093 | Micro-component anti-stiction structures | X-CELEPRINT LIMITED |
11088094 | Air channel formation in packaging process | -- |
11088095 | Package structure | -- |
11088096 | Transistor outline housing with high return loss | SCHOTT AG |
11088097 | Effective medium semiconductor cavities for RF applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088098 | Integrated structures with antenna elements and IC chips employing edge contact connections | VIASAT, INC. |
11088099 | Multi-metal contact structure in microelectronic component | INVENSAS BONDING TECHNOLOGIES, INC. |
11088100 | Semiconductor package and manufacturing method thereof | -- |
11088101 | Semiconductor package structure and method of manufacturing the same | -- |
11088102 | Bonded structures for package and substrate | -- |
11088103 | First layer interconnect first on carrier approach for EMIB patch | INTEL CORPORATION |
11088104 | Process for forming an electric heater | HERAEUS PRECIOUS METALS NORTH AMERICA CONSHOHOCKEN LLC |
11088105 | Semiconductor device and method for fabricating a semiconductor device | INFINEON TECHNOLOGIES AG |
11088106 | Stack of electrical components and method of producing the same | TDK CORPORATION |
11088107 | Vaccum deposition system and method thereof | INTLVAC INC. |
11088108 | Chip package structure including ring-like structure and method for forming the same | -- |
11088109 | Packages with multi-thermal interface materials and methods of fabricating the same | -- |
11088110 | Semiconductor device, circuit board structure and manufacturing method thereof | -- |
11088111 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11088112 | Radio frequency system-in-package with stacked clocking crystal | SKYWORKS SOLUTIONS, INC. |
11088113 | Semiconductor storage device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11088114 | High density pillar interconnect conversion with stack to substrate connection | MICRON TECHNOLOGY, INC. |
11088115 | Interposer and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11088116 | Bonded assembly containing horizontal and vertical bonding interfaces and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11088117 | Semiconductor package including stacked semiconductor chips | SK HYNIX INC. |
11088118 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11088121 | Printed LED arrays with large-scale uniformity | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11088122 | Method and device for manufacturing flexible light emission device | SAKAI DISPLAY PRODUCTS CORPORATION |
11088123 | Package system having laterally offset and ovelapping chip packages | MARVELL ISRAEL (M.I.S.L) LTD. |
11088124 | Package and manufacturing method thereof | -- |
11088125 | IPD modules with flexible connection scheme in packaging | -- |
11088129 | Display apparatus | -- |
11088130 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11088131 | Semiconductor device that uses bonding layer to join semiconductor substrates together | -- |
11088132 | Semiconductor device for enhancing electrostatic discharge protection and layout structure thereof | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11088134 | Electrostatic discharge device and split multi rail network with symmetrical layout design technique | DIALOG SEMICONDUCTOR (UK) LIMITED |
11088135 | Electrostatic discharge protection apparatus and integrated passive device with capacitors | -- |
11088138 | Semiconductor device for testing characteristics of transistors and method for testing semiconductor device | THE INDUSTRY & ACADEMIC COOPERATION IN CHUNGNAM NATIONAL UNIVERSITY (IAC) |
11088141 | Semiconductor device and method for fabricating the same | -- |
11088143 | Semiconductor and manufacturing method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11088157 | Three-dimensional semiconductor device having stepped gate electrodes | SAMSUNG ELECTRONICS CO., LTD. |
11088161 | Three-dimensional semiconductor memory device and method of detecting electrical failure thereof | SAMSUNG ELECTRONICS CO., LTD. |
11088163 | Semiconductor devices including upper and lower selectors | SAMSUNG ELECTRONICS CO., LTD. |
11088164 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11088165 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11088167 | Transistor, three dimensional memory device including such transistor and method of fabricating such memory device | -- |
11088199 | Semiconductor device | -- |
11088239 | Cap structure for trench capacitors | -- |
11088250 | Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same | -- |
11088260 | On-chip integrated temperature protection device based on gel electrolyte | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088261 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11088265 | Semiconductor structure having a repaired dielectric layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11088268 | Methods and devices for fabricating and assembling printable semiconductor elements | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11088270 | Microwave transistor with a patterned gate structure and manufacturing method thereof | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. . |
11088284 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11088298 | Light-emitting device | -- |
11088307 | Semiconductor light-emitting device | ROHM CO., LTD. |
11088308 | Junction structure | TDK CORPORATION |
11088310 | Through-silicon-via fabrication in planar quantum devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088311 | Three-dimensional integration for qubits on multiple height crystalline dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088317 | Structures and methods for shielding magnetically sensitive components | EVERSPIN TECHNOLOGIES, INC. |
11088326 | Substrate for use in manufacturing display device and method for forming element on substrate | SAMSUNG DISPLAY CO., LTD. |
11089671 | Integrated circuit / printed circuit board assembly and method of manufacture | ERIDAN COMMUNICATIONS, INC. |
11089673 | Wall for isolation enhancement | RAYTHEON COMPANY |
11089682 | Flexible circuit board, chip package including the same, and electronic device including the chip package | LG INNOTEK CO., LTD. |
11089685 | Stackable via package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11090779 | Method and tool to improve efficiency and effectiveness of waterjet de-burr process | TEXAS INSTRUMENTS INCORPORATED |
11091366 | Nickel lanthanide alloys for MEMS packaging applications | TEXAS INSTRUMENTS INCORPORATED |
11091394 | Composition for producing an aqueous coating mass | HERAEUS DEUTSCHLAND GMBH & CO. KG |
11091627 | Epoxy resin composition | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11091630 | Resin composition for semiconductor package, prepreg, and metal clad laminate using the same | LG CHEM, LTD. |
11092355 | System and method to redirect and/or reduce airflow using actuators | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092383 | Heat dissipation device | -- |
11092391 | Enhanced boiling with selective placement of nucleation sites | ROCHESTER INSTITUTE OF TECHNOLOGY |
11092671 | Laser diode optical frequency modulation linearization algorithm | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11092763 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092860 | Display panel and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11093825 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11094387 | Multi-fuse memory cell circuit and method | -- |
11094388 | Anti-fuse device and program method using the same | -- |
11094528 | Surface treatment of substrates using passivation layers | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11094535 | Selective passivation and selective deposition | ASM IP HOLDING B.V. |
11094547 | Method for producing wiring structure | HAMAMATSU PHOTONICS K.K. |
11094553 | Semiconductor device and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11094555 | CMP slurry and CMP method | -- |
11094559 | Method of fastening a semiconductor chip on a lead frame, and electronic component | OSRAM OLED GMBH |
11094561 | Semiconductor package structure | -- |
11094562 | Semiconductor device and method of manufacture | NEXPERIA B.V. |
11094567 | Mounting apparatus and method for manufacturing semiconductor device | SHINKAWA LTD. |
11094576 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11094580 | Structure and method to fabricate fully aligned via with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094581 | IC structure with air gaps and protective layer and method for manufacturing the same | THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11094583 | Method of forming a device having a doping layer and device formed | -- |
11094585 | Methods of forming a conductive contact structure to a top electrode of an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11094586 | Semiconductor device including interconnections having different structures and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11094587 | Use of noble metals in the formation of conductive connectors | INTEL CORPORATION |
11094588 | Interconnection structure of selective deposition process | APPLIED MATERIALS, INC. |
11094590 | Structurally stable self-aligned subtractive vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094591 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094593 | Semiconductor device including contact structure | SAMSUNG ELECTRONICS CO., LTD. |
11094594 | Semiconductor structure with buried power rail, integrated circuit and method for manufacturing the semiconductor structure | -- |
11094596 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094601 | Semiconductor element and method for producing the same | ROHM CO., LTD. |
11094602 | Semiconductor device package | -- |
11094603 | Power semiconductor device, rotating electric machine including same, and method of manufacturing power semiconductor device | DENSO CORPORATION |
11094604 | System and method to enhance solder joint reliability | WESTERN DIGITAL TECHNOLOGIES, INC. |
11094605 | Systems and methods for supporting a component | BALL AEROSPACE & TECHNOLOGIES CORP. |
11094606 | Bonded body, insulated circuit board with heat sink, and heat sink | MITSUBISHI MATERIALS CORPORATION |
11094607 | Heatsink retainer assembly | RADIAN THERMAL PRODUCTS, INC. |
11094608 | Heat dissipation structure including stacked chips surrounded by thermal interface material rings | -- |
11094609 | Thermal dissipation structure for integrated circuits comprising thermal dissipation trench | -- |
11094610 | Semiconductor power module | MITSUBISHI ELECTRIC CORPORATION |
11094611 | Liquid cooled heat dissipation device | CHAMP TECH OPTICAL (FOSHAN) CORPORATION |
11094612 | Semiconductor devices including through-silicon-vias and methods of manufacturing the same and semiconductor packages including the semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11094613 | Semiconductor structure and manufacturing method thereof | -- |
11094614 | Semiconductor chip contact structure, device assembly, and method of fabrication | LITTELFUSE, INC. |
11094615 | Semiconductor apparatus including leads and bonding wires | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11094616 | Multi-pitch leads | TEXAS INSTRUMENTS INCORPORATED |
11094617 | Semiconductor package including low side field-effect transistors and high side field-effect transistors and method of making the same | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN), LTD. |
11094618 | Power switching modular element and dismountable assembly of a plurality of modular elements | INSTITUT VEDECOM |
11094619 | Package with component connected with carrier via spacer particles | INFINEON TECHNOLOGIES AG |
11094620 | Integrated capacitor with extended head bump bond pillar | TEXAS INSTRUMENTS INCORPORATED |
11094621 | Display panel | -- |
11094622 | Packaged semiconductor devices and methods of packaging thereof | -- |
11094623 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094624 | Semiconductor device having capacitor | SAMSUNG ELECTRONICS CO., LTD. |
11094625 | Semiconductor package with improved interposer structure | -- |
11094626 | Methods of forming interconnect structures in semiconductor fabrication | -- |
11094627 | Methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11094628 | Techniques for making integrated inductors and related semiconductor devices, electronic systems, and methods | MICROCHIP TECHNOLOGY INCORPORATED |
11094629 | 3D power device and system | IMEC VZW |
11094630 | Formation of semiconductor devices including electrically programmable fuses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094631 | Graphene layer for reduced contact resistance | -- |
11094632 | Semiconductor device with air gap and method for preparing the same | -- |
11094633 | Bridge die design for high bandwidth memory interface | INTEL CORPORATION |
11094634 | Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof | -- |
11094635 | Package structure and method for forming the same | -- |
11094636 | Semiconductor package and method of manufacturing the semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094637 | Multi-chip package structures having embedded chip interconnect bridges and fan-out redistribution layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094638 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11094639 | Semiconductor package | -- |
11094640 | Package module | SAMSUNG ELECTRONICS CO., LTD. |
11094641 | Fan-out package having a main die and a dummy die | -- |
11094642 | Package structure | -- |
11094643 | Determining overlay of features of a memory array | MICRON TECHNOLOGY, INC. |
11094644 | Integrated circuit with scribe lane patterns for defect reduction | TEXAS INSTRUMENTS INCORPORATED |
11094645 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11094646 | Methods of manufacturing an integrated circuit having stress tuning layer | -- |
11094647 | Methods and apparatus to eliminate wafer bow for CVD and patterning HVM systems | APPLIED MATERIALS, INC. |
11094648 | Power module | DENKA COMPANY LIMITED |
11094649 | Semiconductor package structure and method for manufacturing the same | -- |
11094650 | Semiconductor arrangement and method of making | -- |
11094652 | Configurable radio transceiver and method thereof | -- |
11094653 | Bonded assembly containing a dielectric bonding pattern definition layer and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11094654 | Package structure and method of manufacturing the same | -- |
11094655 | Semiconductor structure and method for forming the same | -- |
11094656 | Packaged semiconductor device with electroplated pillars | TEXAS INSTRUMENTS INCORPORATED |
11094657 | Multilayer pillar for reduced stress interconnect and method of making same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094658 | Substrate, electronic substrate, and method for producing electronic substrate | LENOVO (SINGAPORE) PTE. LTD. |
11094659 | Microelectronic device with pillars having flared ends | TEXAS INSTRUMENTS INCORPORATED |
11094660 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094661 | Bonded structure and method of manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11094662 | Semiconductor assembly and method of manufacturing the same | -- |
11094663 | Method for transient liquid-phase bonding between metal materials using a magnetic force | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11094664 | Semiconductor device and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094665 | Chip package structure | -- |
11094666 | Bonding wire, semiconductor package including the same, and wire bonding method | SAMSUNG ELECTRONICS CO., LTD. |
11094667 | Bonding apparatus, bonding system, bonding method, and recording medium | TOKYO ELECTRON LIMITED |
11094668 | Solderless interconnect for semiconductor device assembly | MICRON TECHNOLOGY, INC. |
11094669 | Wafer level molded PPGA (pad post grid array) for low cost package | DIALOG SEMICONDUCTOR B.V. |
11094670 | Semiconductor device assemblies including multiple shingled stacks of semiconductor dies | MICRON TECHNOLOGY, INC. |
11094671 | Package with thinned substrate | -- |
11094672 | Composite IC chips including a chiplet embedded within metallization layers of a host IC chip | INTEL CORPORATION |
11094673 | Stacked die package with curved spacer | WESTERN DIGITAL TECHNOLOGIES, INC. |
11094674 | Memory scaling semiconductor device | SANDISK TECHNOLOGIES LLC |
11094678 | Light emitting device having insulation pattern | SAMSUNG DISPLAY CO., LTD. |
11094680 | Packages and methods of forming packages | -- |
11094682 | Package structure and method of fabricating the same | -- |
11094683 | Bonded nanofluidic device chip stacks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094684 | Edge cut debond using a temporary filler material with no adhesive properties and edge cut debond using an engineered carrier to enable topography | MICRON TECHNOLOGY, INC. |
11094685 | Static random access memory device | -- |
11094693 | Layout method | SAMSUNG ELECTRONICS CO., LTD. |
11094697 | Vertical two-transistor single capacitor memory cells and memory arrays | MICRON TECHNOLOGY, INC. |
11094701 | Layout structure of storage cell and method thereof | -- |
11094702 | One-time programmable memory device including anti-fuse element and manufacturing method thereof | -- |
11094704 | Method of forming a three-dimensional memory device and a driver circuit on opposite sides of a substrate | SANDISK TECHNOLOGIES LLC |
11094708 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11094712 | Three-dimensional memory device with support structures in slit structures and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094713 | Three-dimensional memory device with source contacts connected by an adhesion layer and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094714 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094715 | Three-dimensional memory device including different height memory stack structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11094718 | TFT array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11094722 | Image sensor package and imaging apparatus | SONY CORPORATION |
11094729 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11094730 | Solid-state imaging device having through electrode provided therein and electronic apparatus incorporating the solid-state imaging device | SONY CORPORATION |
11094744 | Interconnect landing method for RRAM technology | -- |
11094776 | Structure and formation method of semiconductor device with magnetic element covered by polymer material | -- |
11094853 | Passive micro light-emitting diode matrix device with uniform luminance | -- |
11094854 | Light emitting device, resin package, resin-molded body, and methods for manufacturing light emitting device, resin package and resin-molded body | NICHIA CORPORATION |
11094858 | Tape, encapsulating process and optical device | -- |
11094865 | Semiconductor device and semiconductor device package | SUZHOU LEKIN SEMICONDUCTOR CO., LTD. |
11094866 | Method for producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
11094868 | Method for producing an illumination device and illumination device | OSRAM OLED GMBH |
11094882 | Method of manufacturing memory device | SAMSUNG ELECTRONICS CO., LTD. |
11094899 | Method for manufacturing field effect transistor and method for manufacturing wireless communication device | TORAY INDUSTRIES, INC. |
11094911 | Organic light emitting diode display panel and packaging method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11095037 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11095280 | Efficient IGBT switching | EAGLE HARBOR TECHNOLOGIES, INC. |
11095318 | Transceiver using active device array and antenna module including the same | SAMSUNG ELECTRONICS CO., LTD. |
11096269 | Printed circuit board assembly | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096283 | Substrate on substrate structure and electronic device comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096284 | Compact semiconductor chip system and method | INTEL CORPORATION |
11096285 | Electronic circuit substrate | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11096287 | Method of manufacturing packaged board | DISCO CORPORATION |
11096313 | Heat sink, heat sink arrangement and module for liquid immersion cooling | ICEOTOPE GROUP LIMITED |
11096319 | Method of manufacturing electronic device using large-scale transferring method | EWHA UNIVERSITY-INDUSTRY COLLABORATION FOUNDATION |
11097306 | Support for bonding a workpiece and method thereof | MICRO MATERIALS INC. |
11097521 | OLED panel bottom protection film, and organic light-emitting display device comprising same | SAMSUNG DISPLAY CO., LTD. |
11097942 | Through silicon via (TSV) formation in integrated circuits | ANALOG DEVICES, INC. |
11098170 | Film and method for its production | AGC INC. |
11098414 | Plating system, a plating system control method, and a storage medium containing a program for causing a computer to execute the plating system control method | EBARA CORPORATION |
11098959 | Electronic device having heat collection/diffusion structure | SAMSUNG ELECTRONICS CO., LTD. |
11098960 | Cooling devices including a variable angle contact surface and methods for cooling heat-generating devices with a cooling device | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11099230 | Electromigration test structures for void localization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099393 | Surface emitting light source with lateral variant refractive index profile | FACEBOOK TECHNOLOGIES, LLC |
11099748 | Fault tolerant memory card | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11100029 | Interface bridge between integrated circuit die | INTEL CORPORATION |
11101002 | Semiconductor memory device including cache latch circuit | SK HYNIX INC. |
11101016 | Test modes for a semiconductor memory device with stacked memory chips using a chip identification | SK HYNIX INC. |
11101140 | Semiconductor device and method of manufacture | -- |
11101144 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11101145 | Semiconductor device with dummy micro bumps between stacking dies to improve flowability of underfill material | -- |
11101153 | Parameter-stable misregistration measurement amelioration in semiconductor devices | KLA CORPORATION |
11101160 | Device packaging using a recyclable carrier substrate | SKYWORKS SOLUTIONS, INC. |
11101168 | Profile of deep trench isolation structure for isolation of high-voltage devices | -- |
11101169 | Interconnect structures with airgaps arranged between capped interconnects | GLOBALFOUNDRIES U.S. INC. |
11101170 | Dual airgap structure | GLOBALFOUNDRIES U.S. INC. |
11101172 | Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101175 | Tall trenches for via chamferless and self forming barrier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101176 | Method of fabricating redistribution circuit structure | -- |
11101177 | Semiconductor structure and method for forming the same | -- |
11101186 | Substrate structure having pad portions | -- |
11101188 | Cap for package of integrated circuit | STMICROELECTRONICS (GRENOBLE 2) SAS |
11101189 | Semiconductor device package and method of manufacturing the same | -- |
11101190 | Package and printed circuit board attachment | -- |
11101191 | Laminated circuitry cooling for inter-chip bridges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101192 | Wafer level embedded heat spreader | -- |
11101193 | Power electronics modules including integrated jet cooling | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11101194 | Heat sinks and methods for fabricating a heat sink | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11101195 | Package structure and method for forming the same | -- |
11101196 | Semiconductor device including through substrate vias and method of manufacturing the semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11101197 | Leadframe systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11101198 | Semiconductor die package including a one-body clip | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11101199 | Power semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11101200 | Surface-mount integrated circuit package with coated surfaces for improved solder connection | MICROCHIP TECHNOLOGY INCORPORATED |
11101201 | Semiconductor package having leads with a negative standoff | INFINEON TECHNOLOGIES AG |
11101202 | Lead pin and wiring board having lead pin | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11101203 | Wiring structure comprising intermediate layer including a plurality of sub-layers | -- |
11101204 | Semiconductor module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11101205 | Interconnection structure fabrication using grayscale lithography | INTEL CORPORATION |
11101206 | Semiconductor device and electronic device | RENESAS ELECTRONICS CORPORATION |
11101207 | Integrated circuit with cells having metal layer configured based on directions from which intercell metal interconnects connects to the metal layer | QUALCOMM INCORPORATED |
11101208 | Metal-insulator-metal (MIM) capacitor | MICROCHIP TECHNOLOGY INCORPORATED |
11101209 | Redistribution structures in semiconductor packages and methods of forming same | -- |
11101210 | Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks | MICRON TECHNOLOGY, INC. |
11101211 | Semiconductor device with backside inductor using through silicon vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101212 | Thin film resistor with punch-through vias | TEXAS INSTRUMENTS INCORPORATED |
11101213 | EFuse structure with multiple links | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101214 | Package structure with dam structure and method for forming the same | -- |
11101215 | Tapered connectors for superconductor circuits | PSIQUANTUM CORP. |
11101216 | Metal line structure and method | -- |
11101217 | Buried power rail for transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101218 | Integrated assemblies having metal-containing regions coupled with semiconductor regions | MICRON TECHNOLOGY, INC. |
11101219 | Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101220 | Through-package partial via on package edge | QUALCOMM INCORPORATED |
11101221 | Input/output pins for chip-embedded substrate | INFINEON TECHNOLOGIES AMERICAS CORP. |
11101222 | Panel level packaging for multi-die products interconnected with very high density (VHD) interconnect layers | INTEL CORPORATION |
11101223 | Display device | SAMSUNG DISPLAY CO., LTD. |
11101224 | Wrapped signal shielding in a wafer fanout package | FUTUREWEI TECHNOLOGIES, INC. |
11101225 | Semiconductor device and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11101226 | Method for conveying high frequency module and a high-frequency module | DUSTPHOTONICS LTD. |
11101227 | Coupled line structures for wideband applications | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11101228 | Integrated circuit package with a magnetic core | QUALCOMM INCORPORATED |
11101229 | Semiconductor device and method for fabricating the same | -- |
11101230 | Array substrate and chip bonding method | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11101231 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11101232 | Conductive micro pin | -- |
11101233 | Semiconductor device and method for forming the same | -- |
11101234 | Cu pillar cylindrical preform for semiconductor connection | NIPPON MICROMETAL CORPORATION |
11101235 | Fabrication method of semiconductor package with stacked semiconductor chips | -- |
11101236 | Semiconductor package and method of forming the same | -- |
11101237 | Semiconductor device structure having semiconductor die bonded to redistribution layer via electrical pad with barrier layer | -- |
11101238 | Surface mounting semiconductor components | -- |
11101239 | Process for packaging component | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11101240 | Isolation bonding film for semiconductor packages and methods of forming the same | -- |
11101241 | Semiconductor device having terminals and semiconductor elements electrically connected to a respective side surface of the terminals | FUJI ELECTRIC CO., LTD. |
11101242 | Semiconductor device and method of manufacturing same | TOSHIBA MEMORY CORPORATION |
11101243 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11101244 | Stacked semiconductor die assemblies with die support members and associated systems and methods | MICRON TECHNOLOGY, INC. |
11101245 | Multi-chip modules including stacked semiconductor dice | MICRON TECHNOLOGY, INC. |
11101246 | Semiconductor device having chips attached to support members through silver sintered bodies with particles | DENSO CORPORATION |
11101252 | Package-on-package structure and manufacturing method thereof | -- |
11101253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11101254 | Flip-chip like integrated passive prepackage for SIP device | INTEL CORPORATION |
11101259 | Semiconductor device | DENSO CORPORATION |
11101260 | Method of forming a dummy die of an integrated circuit having an embedded annular structure | -- |
11101261 | Package-on-package structures and methods for forming the same | -- |
11101262 | Stacked semiconductor die assemblies with support members and associated systems and methods | MICRON TECHNOLOGY, INC. |
11101263 | Resistor with exponential-weighted trim | TEXAS INSTRUMENTS INCORPORATED |
11101266 | 3D device and devices with bonding | MONOLITHIC 3D INC. |
11101267 | Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11101276 | Word line contact structure for three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11101279 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101280 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11101284 | Three-dimensional memory device containing etch stop structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11101285 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101288 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11101293 | Semiconductor device and method for manufacturing the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101297 | Display device | -- |
11101309 | Imaging element, method for manufacturing imaging element, and electronic device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11101310 | Light detection device | HAMAMATSU PHOTONICS K.K. |
11101312 | Semiconductor apparatus and equipment | CANON KABUSHIKI KAISHA |
11101313 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11101319 | Semiconductor storage device including variable resistance elements | TOSHIBA MEMORY CORPORATION |
11101329 | Display device | SAMSUNG DISPLAY CO., LTD. |
11101352 | Quantum dot array devices with shared gates | INTEL CORPORATION |
11101375 | Semiconductor device and method of controlling same | KABUSHIKI KAISHA TOSHIBA |
11101397 | Solar cell panel | LG ELECTRONICS INC. |
11101402 | Method of manufacturing light emitting diodes and light emitting diode | OSRAM OLED GMBH |
11101405 | LED with internally confined current injection area | APPLE INC. |
11101417 | Structures and methods for electrically connecting printed components | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11101540 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11101541 | Semiconductor assembly and method for manufacturing the same | -- |
11101638 | Semiconductor die including multiple controllers for operating over an extended temperature range | ANALOG DEVICES GLOBAL UNLIMITED COMPANY |
11101732 | Power management system switched capacitor voltage regulator with integrated passive device | APPLE INC. |
11101784 | Electrical component with heat dissipation | SNAPTRACK, INC. |
11101803 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11101840 | Chip radio frequency package and radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11102887 | Electrical connection device | AUTONETWORKS TECHNOLOGIES, LTD. |
11102916 | Electric power inverter | MAHLE INTERNATIONAL GMBH |
11104788 | Composition, cured product and laminate | DIC CORPORATION |
11104832 | Liquid epoxy resin sealing material and semiconductor device | NAMICS CORPORATION |
11104834 | Heat dissipation sheet | TEIJIN LIMITED |
11105567 | Thermal management assembly comprising bulk graphene material | MOMENTIVE PERFORMANCE MATERIALS QUARTZ, INC. |
11105738 | Sensor wiring substrate, sensor package, and sensor device | KYOCERA CORPORATION |
11106128 | Method for designing mask set, recording medium, template, and method for manufacturing template | KIOXIA CORPORATION |
11106255 | Cooling device | NEC PLATFORMS, LTD. |
11106835 | Method of manufacturing conductive lines in a circuit | -- |
11107508 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11107539 | Semiconductor device and its power supply control method | RENESAS ELECTRONICS CORPORATION |
11107675 | CVD Mo deposition by using MoOCl<sub>4</sub> | ENTEGRIS, INC. |
11107680 | Mask assembly and method for fabricating a chip package | -- |
11107685 | Semiconductor manufacturing method and semiconductor manufacturing device | MITSUBISHI ELECTRIC CORPORATION |
11107686 | Methods for manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11107700 | Semiconductor package method of fabricating semiconductor package and method of fabricating re-distribution structure | SAMSUNG ELECTRONICS CO., LTD. |
11107701 | Stiffener package and method of fabricating stiffener package | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11107702 | Method for creating through-connected vias and conductors on a substrate | SAMTEC, INC. |
11107703 | Monolithic, biocompatible feedthrough for hermetically sealed electronics and methods of manufacture | NEURALINK CORP. |
11107716 | Automation line for processing a molded panel | PYXIS CF PTE. LTD. |
11107721 | 3D semiconductor device and structure with NAND logic | MONOLITHIC 3D INC. |
11107725 | Interconnect structure and manufacturing method for the same | -- |
11107727 | Double metal double patterning with vias extending into dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107728 | Interconnects with tight pitch and reduced resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107731 | Self-aligned repaired top via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107739 | Power semiconductor module arrangement | INFINEON TECHNOLOGIES AG |
11107740 | Power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11107741 | Composite ceramic multilayer substrate, heat generating element-mounting module, and method of producing composite ceramic multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11107742 | Electronic devices and fabricating processes | STMICROELECTRONICS (GRENOBLE 2) SAS |
11107743 | Chip on film package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11107744 | Insulated gate bipolar transistor module and manufacturing method thereof | RAYBEN TECHNOLOGIES (ZHUHAI) LIMITED |
11107746 | Power semiconductor apparatus and manufacturing method therefor | MITSUBISHI ELECTRIC CORPORATION |
11107747 | Semiconductor package with composite thermal interface material structure and method of forming the same | -- |
11107748 | Semiconductor module and vehicle | FUJI ELECTRIC CO., LTD. |
11107749 | Heat dissipation fin structure and cooling structure for electric substrate using the same | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11107750 | Magnetofluid pump device for IGBT heat dissipation and test method therefor | DALIAN UNIVERSITY OF TECHNOLOGY |
11107751 | Face-to-face through-silicon via multi-chip semiconductor apparatus with redistribution layer packaging and methods of assembling same | INTEL CORPORATION |
11107752 | Half buried nFET/pFET epitaxy source/drain strap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107753 | Packaging structure for gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11107754 | Electronic device, leadframe for an electronic device and method for fabricating an electronic device and a leadframe | INFINEON TECHNOLOGIES AG |
11107755 | Packaging for lateral high voltage GaN power devices | -- |
11107756 | Semiconductor device and method for manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11107757 | Integrated circuit structures in package substrates | INTEL CORPORATION |
11107758 | Fan-out package structure and method | -- |
11107759 | Chip package and manufacturing method thereof | -- |
11107760 | Semiconductor device, electric power conversion apparatus and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11107761 | Semiconductor device | DENSO CORPORATION |
11107762 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11107763 | Interconnect structure for stacked die in a microelectronic device | INTEL CORPORATION |
11107764 | Group III-V semiconductor fuses and their methods of fabrication | INTEL CORPORATION |
11107765 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107766 | Substrate with embedded stacked through-silicon via die | INTEL CORPORATION |
11107767 | Structure for standard logic performance improvement having a back-side through-substrate-via | -- |
11107768 | Chip package | -- |
11107769 | Semiconductor package and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11107770 | Integrated electrical/optical interface with two-tiered packaging | XILINX, INC. |
11107771 | Segregated power and ground design for yield improvement | -- |
11107772 | Semiconductor package and method of manufacturing semiconductor package | -- |
11107773 | Semiconductor device, semiconductor chip and method of dicing a semiconductor substrate | SAMSUNG ELECTRONICS CO., LTD. |
11107774 | Semiconductor device package and method of manufacturing the same | -- |
11107775 | Semiconductor device with electrically floating contacts between signal-transmitting contacts | -- |
11107776 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11107777 | Substrate structure and semiconductor package structure including the same | -- |
11107778 | Active shielding device and method of active shielding | NXP B.V. |
11107779 | Semiconductor package and manufacturing method thereof | -- |
11107780 | Pseudo-stripline using double solder-resist structure | INTEL CORPORATION |
11107781 | RFIC having coaxial interconnect and molded layer | INTEL CORPORATION |
11107782 | Radio frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11107783 | Wafer-level package including under bump metal layer | SAMSUNG ELECTRONICS CO., LTD. |
11107784 | Semiconductor device having circuit board to which contact part is bonded | FUJI ELECTRIC CO., LTD. |
11107785 | Semiconductor device with a plurality of landing pads and method for fabricating the same | -- |
11107786 | Pattern decomposition lithography techniques | INTEL CORPORATION |
11107787 | Member for semiconductor device | FUJI ELECTRIC CO., LTD. |
11107788 | Method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11107789 | Method for manufacturing semiconductor device | MITSUI CHEMICALS TOHCELLO, INC. |
11107790 | Laser bonding method | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11107791 | Semiconductor package structure and method for manufacturing the same | -- |
11107794 | Multi-wafer stack structure and forming method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107796 | Semiconductor module including memory stack having TSVs | SK HYNIX INC. |
11107798 | Semiconductor packages and methods of forming the same | -- |
11107799 | Hybrid system including photonic and electronic integrated circuits and cooling plate | PSIQUANTUM, CORP. |
11107801 | Multi fan-out package structure and method for forming the same | -- |
11107802 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11107803 | Method to construct 3D devices and systems | MONOLITHIC 3D INC. |
11107804 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11107807 | IC package having a metal die for ESP protection | -- |
11107808 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11107809 | Semiconductor device with nanowire plugs and method for fabricating the same | -- |
11107810 | Fin field effect transistor (FinFET) device structure and method for forming the same | -- |
11107811 | Metallization structures under a semiconductor device layer | INTEL CORPORATION |
11107820 | Semiconductor device and method for fabricating the same | -- |
11107822 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107826 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11107834 | Staircase and contact structures for three-dimensional memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11107848 | Semiconductor device for detection of radiation and method of producing a semiconductor device for detection of radiation | AMS AG |
11107855 | Method for bonding and connecting substrates | SONY CORPORATION |
11107878 | High resistivity iron-based, thermally stable magnetic material for on-chip integrated inductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107879 | Capacitor structure and fabrication method thereof | -- |
11107883 | Device isolator with reduced parasitic capacitance | TEXAS INSTRUMENTS INCORPORATED |
11107900 | Dual-gate transistors and their integrated circuits and preparation method thereof | PEKING UNIVERSITY |
11107915 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11107918 | Semiconductor structure for fully depleted silicon-on-insulator (FDSOI) transistor | MEDIATEK SINGAPORE PTE. LTD. |
11107982 | RRAM structure | -- |
11108131 | Semiconductor device package and method of manufacturing the same | -- |
11108156 | Differential on-chip loop antenna | INTEL CORPORATION |
11108361 | Integrated multiple-path power amplifier with interdigitated transistors | NXP USA, INC. |
11108362 | Multiple-path RF amplifiers with angularly offset signal path directions, and methods of manufacture thereof | NXP USA, INC. |
11109428 | Blade computing system with wireless communication between blades within a blade enclosure | INTEL CORPORATION |
11109486 | High-speed, flexible integrated circuits and methods for making high-speed, flexible integrated circuits | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11109511 | Cooling device and method of manufacturing cooling device | SANOH INDUSTRIAL CO., LTD. |
11109512 | Memory subsystem for a cryogenic digital system | RAMBUS INC. |
11109513 | Heat conductive sheet and multilayered heat conductive sheet | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11109515 | Heatsink for co-packaged optical switch rack package | INPHI CORPORATION |
11109750 | Pixel array area optimization using stacking scheme for hybrid image sensor with minimal vertical interconnects | DEPUY SYNTHES PRODUCTS, INC. |
11110534 | Continuous solder transfer to substrates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111136 | Use of a reactive, or reducing gas as a method to increase contact lifetime in micro contact MEMS switch devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111382 | Epoxy resin composition | SUMITOMO SEIKA CHEMICALS CO., LTD. |
11111598 | Crystal growth method in a semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11112130 | Refrigeration cycle apparatus | MITSUBISHI ELECTRIC CORPORATION |
11112189 | Cold plate and manufacturing method of cold plate | FUJIKURA LTD. |
11112244 | System and method for providing a simple and reliable inertia measurement unit (IMU) | SZ DJI TECHNOLOGY CO., LTD. |
11112424 | Physical quantity sensor, complex sensor, inertial measurement unit, portable electronic device, electronic device, and vehicle | SEIKO EPSON CORPORATION |
11112435 | Current transducer with integrated primary conductor | LEM INTERNATIONAL SA |
11112436 | Spark gap structures for detection and protection against electrical overstress events | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11112570 | Fabrication method of high aspect ratio solder bumping with stud bump and injection molded solder, and flip chip joining with the solder bump | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11112624 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
11113225 | Extending multichip package link off package | INTEL CORPORATION |
11113443 | Integrated circuit with thicker metal lines on lower metallization layer | -- |
11113827 | Pattern-to-design alignment for one-dimensional unique structures | KLA CORPORATION |
11114146 | Nanosecond non-destructively erasable magnetoresistive random-access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114239 | Electronic device, device package, and method of fabrication | NXP B.V. |
11114303 | Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device | -- |
11114308 | Controlling of height of high-density interconnection structure on substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114309 | Articles and methods of forming vias in substrates | CORNING INCORPORATED |
11114310 | Embedded packaging method capable of realizing heat dissipation | ZHUHAI ACCESS SEMICONDUCTOR CO., LTD |
11114311 | Chip package structure and method for forming the same | -- |
11114312 | Method for manufacturing an encapsulation cover for an electronic package and electronic package comprising a cover | STMICROELECTRONICS (GRENOBLE 2) SAS |
11114315 | Chip packaging method and package structure | PEP INNOVATION PTE. LTD. |
11114334 | Semiconductor device with air gap and method for preparing the same | -- |
11114336 | Semiconductor device and manufacturing method thereof | -- |
11114337 | Method for bonding and interconnecting semiconductor chips | IMEC VZW |
11114338 | Fully aligned via in ground rule region | GLOBALFOUNDRIES U.S. INC. |
11114339 | Method for reducing metal plug corrosion and device | -- |
11114340 | Method for producing an interconnection comprising a via extending through a substrate | -- |
11114341 | Laser processing method | DISCO CORPORATION |
11114343 | Partial backside metal removal singulation system and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114344 | IC die with dummy structures | XILINX, INC. |
11114349 | System and method for allowing restoration of first interconnection of die of power module | MITSUBISHI ELECTRIC CORPORATION |
11114353 | Hybrid microelectronic substrates | INTEL CORPORATION |
11114354 | Printed wiring board, printed circuit board, prepreg | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114355 | Power module and method for manufacturing power module | MURATA MANUFACTURING CO., LTD. |
11114356 | Glass substrate and laminated substrate | AGC INC. |
11114357 | Methods and apparatus for package with interposers | -- |
11114358 | Semi-conductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11114359 | Wafer level chip scale package structure | DIALOG SEMICONDUCTOR (UK) LIMITED |
11114360 | Multi-die device structures and methods | XILINX, INC. |
11114361 | Electronics assemblies and methods of manufacturing electronics assemblies with improved thermal performance | INTELLIGENT PLATFORMS, LLC |
11114362 | Stacked semiconductor package having heat dissipation structure | SK HYNIX INC. |
11114363 | Electronic package arrangements and related methods | QORVO US, INC. |
11114364 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11114365 | Electronic element mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11114366 | Semiconductor structure with buried conductive line and method for forming the same | -- |
11114367 | Molded integrated circuit packages and methods of forming the same | CARSEM (M) SDN. BHD. |
11114368 | Base material, mold package, base material manufacturing method, and mold package manufacturing method | DENSO CORPORATION |
11114369 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11114370 | Semiconductor device packages and methods of manufacturing the same | -- |
11114371 | Substrate-on-substrate structure and electronic device comprising the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11114372 | Integrated circuit, circuit board with integrated circuit, and display device using the same | LG DISPLAY CO., LTD. |
11114373 | Metal-insulator-metal structure | -- |
11114374 | Graphene enabled selective barrier layer formation | -- |
11114375 | 3D stacked memory and vertical interconnect structures for 3D stacked memory | SEOUL NATIONAL UNIVERSITY R&DB FOUNDATION |
11114376 | System for layout design of structure with inter layer vias | -- |
11114377 | Transformer, transformer manufacturing method and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11114378 | Semiconductor structure with ultra thick metal and manufacturing method thereof | -- |
11114379 | Integrated circuitry, memory integrated circuitry, and methods used in forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11114380 | Manufacturing method of memory device | -- |
11114381 | Power distribution network for 3D logic and memory | TOKYO ELECTRON LIMITED |
11114382 | Middle-of-line interconnect having low metal-to-metal interface resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114383 | Semiconductor devices having integrated optical components | MICRON TECHNOLOGY, INC. |
11114384 | Oxide-peeling stopper | INFINEON TECHNOLOGIES AUSTRIA AG |
11114385 | Plate-shaped workpiece processing method | DISCO CORPORATION |
11114386 | Semiconductor device and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11114387 | Electronic packaging structure | -- |
11114388 | Warpage control for microelectronics packages | INTEL CORPORATION |
11114389 | Substrate structure and method for manufacturing a semiconductor package | -- |
11114390 | Semiconductor device and forming method thereof | -- |
11114391 | Antenna package structure and antenna packaging method | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11114392 | Wireless communication device | MURATA MANUFACTURING CO., LTD. |
11114393 | Electronic package and method for fabricating the same | -- |
11114394 | Signal routing carrier | INTEL CORPORATION |
11114395 | Post passivation interconnect | -- |
11114396 | Reduced-length bond pads for broadband power amplifiers | CREE, INC. |
11114397 | Semiconductor package substrate and method of manufacturing semiconductor package using the same | SAMSUNG ELECTRONICS CO., LTD. |
11114398 | Integrated circuit device including support patterns and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11114399 | Semiconductor wafer with void suppression and method for producing same | JX NIPPON MINING & METALS COPRORATION |
11114400 | Semiconductor device with improved thermal dissipation and manufacturing methods | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE.LTD. |
11114401 | Bonding structure and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114402 | Semiconductor device with backmetal and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114403 | Semiconductor device and method of manufacturing semiconductor device | SK HYNIX INC. |
11114404 | Electronic device including electrical connections on an encapsulation block | STMICROELECTRONICS (GRENOBLE 2) SAS |
11114405 | Semiconductor package structure with twinned copper | -- |
11114406 | Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip | SANDISK TECHNOLOGIES LLC |
11114407 | Integrated fan-out package and manufacturing method thereof | -- |
11114408 | System and method for providing 3D wafer assembly with known-good-dies | INVENSAS CORPORATION |
11114409 | Chip on wafer on substrate optoelectronic assembly and methods of assembly thereof | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11114410 | Multi-chip package structures formed by joining chips to pre-positioned chip interconnect bridge devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114411 | Semiconductor chip transfer method and transfer tool | OSRAM OLED GMBH |
11114412 | Electronic package and method for fabricating the same | -- |
11114413 | Stacking structure, package structure and method of fabricating the same | -- |
11114414 | Wafer structure with capacitive chip interconnection, method for manufacturing the same, and chip structure with capacitive chip interconnection | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114415 | Semiconductor device with a layered protection mechanism and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11114416 | Power and temperature management for functional blocks implemented by a 3D stacked integrated circuit | MICRON TECHNOLOGY, INC. |
11114417 | Through-silicon via (TSV) test circuit, TSV test method and integrated circuits (IC) chip | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11114418 | Electronic device, method of manufacturing electronic device, and electronic apparatus | FUJITSU LIMITED |
11114421 | Integrating system in package (SiP) with input/output (IO) board for platform miniaturization | INTEL CORPORATION |
11114427 | 3D semiconductor processor and memory device and structure | MONOLITHIC 3D INC. |
11114428 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11114429 | Integrated circuit device with electrostatic discharge (ESD) protection | XILINX, INC. |
11114433 | 3DIC structure and method of fabricating the same | -- |
11114440 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11114441 | Semiconductor memory device | -- |
11114446 | SRAM with hierarchical bit lines in monolithic 3D integrated chips | INTEL CORPORATION |
11114448 | Semiconductor device and method for fabricating the same | -- |
11114454 | Semiconductor device | SK HYNIX INC. |
11114459 | Three-dimensional memory device containing width-modulated connection strips and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11114461 | Three-dimensional semiconductor memory devices having source structure overlaps buried insulating layer | SAMSUNG ELECTRONICS CO., LTD. |
11114464 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11114524 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114525 | Optoelectronic component and method for producing an optoelectronic component | OSRAM OLED GMBH |
11114533 | Semiconductor device including contacts having different heights and different widths | SAMSUNG ELECTRONICS CO., LTD. |
11114543 | Group III-V device structure | -- |
11114565 | Semiconductor device | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11114566 | Semiconductor device and method of manufacturing the same | -- |
11114567 | Manufacturing method of TFT substrate and TFT substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114572 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11114583 | Light emitting device encapsulated above electrodes | NICHIA CORPORATION |
11114596 | Light-emitting device | NICHIA CORPORATION |
11114597 | Display device having an electronic device disposed on a first pad and a second pad | -- |
11114602 | Method of forming superconducting layers and traces | MICROSOFT TECHNOLOGY LICENSING, LLC |
11114740 | Coupling mechanism, coupling mechanism group, and antenna device | FUJIKURA LTD. |
11114745 | Antenna package for signal transmission | -- |
11114836 | Semiconductor device, intelligent power module and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
11116072 | Discrete circuit having cross-talk noise cancellation circuitry and method thereof | INTEL CORPORATION |
11116075 | Component carrier comprising dielectric structures with different physical properties | AT&S (CHINA) CO. LTD. |
11116084 | Method, device and system for providing etched metallization structures | INTEL CORPORATION |
11116100 | Implementation module for stacked connection between isolated circuit components and the circuit thereof | SHENZHEN XILONG TOY COMPANY LIMITED |
11116105 | Liquid-cooling termination structure having temperature sensing function | SHANGHAI LUYAO ENERGY TECHNOLOGY CO., LTD. |
11116109 | Electrically insulating thermal connector having a low thermal resistivity | AVX CORPORATION |
11117796 | MEMS devices including MEMS dies and connectors thereto | -- |
11117872 | Oxazine compound, composition and cured product | DIC CORPORATION |
11117873 | Oxazine compound, composition, and cured product | DIC CORPORATION |
11119137 | Electrical test structure and method for monitoring deep trench impedance to substrate | TEXAS INSTRUMENTS INCORPORATED |
11119345 | Display device | SAMSUNG DISPLAY CO., LTD. |
11119962 | Apparatus and method for multiplexing data transport by switching different data protocols through a common bond pad | -- |
11120190 | Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level | ADVANCED MICRO DEVICES, INC. |
11120191 | Multi-tier co-placement for integrated circuitry | ARM LIMITED |
11120735 | Light emitting device package and display device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11120843 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11120988 | Semiconductor device packages and methods of manufacturing the same | -- |
11121004 | Semiconductor module and method for producing the same | INFINEON TECHNOLOGIES AG |
11121005 | Handler bonding and debonding for semiconductor dies | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121006 | Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package | -- |
11121011 | Process system and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11121020 | Support, adhesive sheet, laminated structure, semiconductor device, and method for manufacturing printed wiring board | AJINOMOTO CO., INC. |
11121021 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11121024 | Tunable hardmask for overlayer metrology contrast | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121025 | Layer for side wall passivation | -- |
11121028 | Semiconductor devices formed using multiple planarization processes | -- |
11121029 | Semiconductor device with air spacer and method for preparing the same | -- |
11121031 | Manufacturing method of chip package and chip package | -- |
11121032 | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121034 | Semiconductor device manufacturing method and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11121047 | Semiconductor structure | -- |
11121048 | System and method for a device package | INFINEON TECHNOLOGIES AMERICAS CORP. |
11121049 | Semiconductor package with a wire bond mesh | TEXAS INSTRUMENTS INCORPORATED |
11121050 | Method of manufacture of a semiconductor device | -- |
11121051 | Semiconductor packages and method of manufacturing the same | -- |
11121052 | Integrated fan-out device, 3D-IC system, and method | -- |
11121053 | Die heat dissipation structure | ASIA VITAL COMPONENTS (CHINA) CO., LTD. |
11121054 | Module | MURATA MANUFACTURING CO., LTD. |
11121055 | Leadframe spacer for double-sided power module | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11121056 | Semiconductor device and manufacturing method of the same | -- |
11121058 | Liquid cooled module with device heat spreader | APTIV TECHNOLOGIES LIMITED |
11121059 | Power module and method for manufacturing power module | MITSUBISHI ELECTRIC CORPORATION |
11121060 | Electronics assemblies and cooling structures having metalized exterior surface | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11121061 | Cooling chip structures having a jet impingement system and assembly having the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11121062 | Semiconductor device and method for manufacturing the same | -- |
11121063 | Stem | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11121064 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11121065 | Semiconductor packaging structure with antenna assembly | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11121066 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11121067 | Interposer and electronic device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11121068 | Array substrate, display device, method for manufacturing them, and spliced display device | BOE TECHNOLOGY GROUP CO., LTD. |
11121069 | Semiconductor package including capping pad having crystal grain of different size | SAMSUNG ELECTRONICS CO., LTD. |
11121070 | Integrated fan-out package | -- |
11121071 | Semiconductor package and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121072 | Semiconductor device with isolation structure | NXP USA, INC. |
11121073 | Through plate interconnect for a vertical MIM capacitor | INTEL CORPORATION |
11121074 | Packaged die stacks with stacked capacitors and methods of assembling same | INTEL CORPORATION |
11121075 | Hybrid metallization interconnects for power distribution and signaling | QUALCOMM INCORPORATED |
11121076 | Semiconductor die with conversion coating | TEXAS INSTRUMENTS INCORPORATED |
11121077 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121078 | SRAM having irregularly shaped metal lines | -- |
11121079 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11121080 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11121081 | Antifuse element | -- |
11121082 | Sub-ground rule e-Fuse structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121083 | Semiconductor device with fuse-detecting structure | -- |
11121084 | Integrated circuit device with through interconnect via and methods of manufacturing the same | -- |
11121085 | Trench walls, conductive structures having different widths and methods of making same | MICRON TECHNOLOGY, INC. |
11121086 | Vertical isolated gate field effect transistor integrated in a semiconductor chip | IMEC VZW |
11121087 | Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11121088 | Semiconductor package structure and method of manufacturing the same | -- |
11121089 | Integrated circuit package and method | -- |
11121090 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11121091 | Method for arranging two substrates | EV GROUP E. THALLNER GMBH |
11121092 | Marking pattern in forming staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121093 | Methods for selectively forming identification mark on semiconductor wafer | -- |
11121094 | Semiconductor devices with shield | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121095 | Semiconductor device having electromagnetic wave absorbing layer with heat dissipating vias | MITSUBISHI ELECTRIC CORPORATION |
11121096 | Active control of electronic package warpage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121097 | Active x-ray attack prevention device | GLOBALFOUNDRIES U.S. INC. |
11121098 | Trap layer substrate stacking technique to improve performance for RF devices | -- |
11121099 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11121100 | Trap layer substrate stacking technique to improve performance for RF devices | -- |
11121101 | Flip chip packaging rework | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121102 | Semiconductor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121103 | Semiconductor package including interconnection member and bonding wires and manufacturing method thereof | -- |
11121104 | Method for manufacturing interconnect structure | -- |
11121105 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121106 | Integrated circuit package and method | -- |
11121107 | Interconnect substrate having columnar electrodes | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11121108 | Flip chip package utilizing trace bump trace interconnection | -- |
11121109 | Innovative interconnect design for package architecture to improve latency | INTEL CORPORATION |
11121110 | Packaging process and packaging structure | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
11121111 | Semiconductor package structure and method of manufacturing the same | -- |
11121112 | Solid-state image pickup element with dam to control resin outflow | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11121113 | Bonding apparatus incorporating variable force distribution | ASM TECHNOLOGY SINGAPORE PTE LTD |
11121114 | Wire bonding tool including a wedge tool | FUJI ELECTRIC CO., LTD. |
11121115 | Y-theta table for semiconductor equipment | ASM TECHNOLOGY SINGAPORE PTE LTD. |
11121116 | Manufacturing method of power semiconductor device, power semiconductor device, and power converter | MITSUBISHI ELECTRIC CORPORATION |
11121117 | Method for self-assembling microelectronic components | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121118 | Integrated circuit stacking approach | -- |
11121119 | Semiconductor package | -- |
11121120 | Method and system for electronic devices with polycrystalline substrate structure interposer | QROMIS, INC. |
11121121 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11121123 | Semiconductor composite device and package board used therein | MURATA MANUFACTURING CO., LTD. |
11121127 | Integrated circuit chips, integrated circuit packages including the integrated circuit chips, and display apparatuses including the integrated circuit chips | SAMSUNG ELECTRONICS CO., LTD. |
11121129 | Semiconductor device | -- |
11121130 | Structure and formation method of semiconductor device with gate stacks | -- |
11121134 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11121135 | Structure of memory device | -- |
11121140 | Ferroelectric tunnel junction memory device with integrated ovonic threshold switches | SANDISK TECHNOLOGIES LLC |
11121141 | Semiconductor structure and method for forming the same | -- |
11121142 | Memory structure and manufacturing method therefor | -- |
11121155 | Integrated circuit including multiple height cell and method of fabricating the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11121164 | Semiconductor device and method for production of semiconductor device | SONY CORPORATION |
11121173 | Preserving underlying dielectric layer during MRAM device formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121178 | Electronic device and method for fabricating electronic device | SK HYNIX INC. |
11121205 | Display panel and display panel test system | SAMSUNG DISPLAY CO., LTD. |
11121209 | Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121216 | III-nitride devices including a graded depleting layer | TRANSPHORM TECHNOLOGY, INC. |
11121225 | Field plate structure to enhance transistor breakdown voltage | -- |
11121244 | RF device integrated on an engineered substrate | QROMIS, INC. |
11121247 | Semiconductor device and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11121256 | Semiconductor device integrating backside power grid and related integrated circuit and fabrication method | -- |
11121287 | Method for producing an optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
11121298 | Light-emitting diode packages with individually controllable light-emitting diode chips | CREELED, INC. |
11121301 | Microwave integrated quantum circuits with cap wafers and their methods of manufacture | RIGETTI & CO, INC. |
11121302 | System and method for superconducting multi-chip module | -- |
11121317 | Low resistance crosspoint architecture | MICRON TECHNOLOGY, INC. |
11121350 | Electrode-attached substrate, laminated substrate, and organic device manufacturing method | SUMITOMO CHEMICAL COMPANY, LIMITED |
11121467 | Semiconductor package with compact antenna formed using three-dimensional additive manufacturing process | NXP USA, INC. |
11121710 | Semiconductor device | KIOXIA CORPORATION |
11121733 | Semiconductor device, radio-frequency circuit, and communication apparatus | MURATA MANUFACTURING CO., LTD. |
11122216 | Solid-state imaging device | SAMSUNG ELECTRONICS CO., LTD. |
11122227 | Image sensor, image capturing system, and production method of image sensor | SONY CORPORATION |
11122678 | Packaged device having imbedded array of components | TESLA, INC. |
11122683 | Bare die integration with printed components on flexible substrate without laser cut | PALO ALTO RESEARCH CENTER INCORPORATED |
11122693 | Method for forming laminated circuit board | PI-CRYSTAL INCORPORATION |
11124646 | Heat-dissipating resin composition, cured product thereof, and method of using same | 3M INNOVATIVE PROPERTIES COMPANY |
11124649 | Curable resin composition, cured product of same and semiconductor device | NICHIA CORPORATION |
11124888 | Copper deposition in wafer level packaging of integrated circuits | MACDERMID ENTHONE INC. |
11125429 | Folded sheet metal heat sink | SIGNIFY HOLDING B.V. |
11125513 | Formable interface and shielding structures | MICROSOFT TECHNOLOGY LICENSING, LLC |
11125731 | Detection of a suspect counterfeit part by chromatography | IEC ELECTRONICS CORP. |
11125734 | Gas sensor package | SAMSUNG ELECTRONICS CO., LTD. |
11125781 | Integrated substrate and manufacturing method thereof | -- |
11126774 | Layout optimization of a main pattern and a cut pattern | -- |
11126781 | Integrated circuit including standard cell and method and system for designing and manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11127341 | Light emitting module and display device | -- |
11127451 | Memory system with minimized heat generation which includes memory that operates at cryogenic temperature | SK HYNIX INC. |
11127455 | Fin-FET gain cells | BAR-ILAN UNIVERSITY |
11127461 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11127462 | Multi-chip package with reduced calibration time and ZQ calibration method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11127474 | Memory device | SAMSUNG ELECTRONICS CO., LTD. |
11127515 | Nanostructure barrier for copper wire bonding | TEXAS INSTRUMENTS INCORPORATED |
11127585 | Out-of-plane deformable semiconductor substrate, method of making an out-of-plane deformable semiconductor substrate, and an in-plane and out-of-plane deformable semiconductor substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127591 | Light emitters on transition metal dichalcogenides directly converted from thermally and electrically conductive substrates and method of making the same | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127595 | Method for bonding a semiconductor substrate to a carrier | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127602 | Method of fastening a semiconductor chip on a lead frame, and electronic component | OSRAM OLED GMBH |
11127603 | Semiconductor module and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11127604 | Manufacturing method of semiconductor device | -- |
11127614 | Substrate transfer method and substrate transfer apparatus | TOKYO ELECTRON LIMITED |
11127628 | Semiconductor device with connecting structure having a step-shaped conductive feature and method for fabricating the same | -- |
11127629 | Semiconductor device and fabricating method thereof | -- |
11127630 | Contact plug without seam hole and methods of forming the same | -- |
11127631 | Semiconductor device with contact structures | -- |
11127632 | Semiconductor device with conductive protrusions and method for fabricating the same | -- |
11127634 | Backside metal removal die singulation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11127642 | Test circuit layout structure for display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127643 | Test structures for validating package fabrication process | XILINX, INC. |
11127644 | Planarization of semiconductor packages and structures resulting therefrom | -- |
11127645 | Grounding lids in integrated circuit devices | NXP USA, INC. |
11127646 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11127647 | Semiconductor devices and related methods | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD |
11127649 | Electronic apparatus | TOSHIBA MEMORY CORPORATION |
11127650 | Semiconductor device package including thermal dissipation element and method of manufacturing the same | -- |
11127651 | High power module semiconductor package with multiple submodules | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11127652 | Semiconductor structures having reduced thermally induced bow | RAYTHEON COMPANY |
11127653 | Latch assembly, heat sink assembly, and computer product incorporating the same | SOUTHCO, INC. |
11127654 | Semiconductor device and a manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11127655 | Memory die containing through-memory-level via structure and methods for making the same | SANDISK TECHNOLOGIES LLC |
11127656 | Crack-resistant semiconductor devices | AMS AG |
11127657 | Semiconductor device and process for fabricating the same | LAPIS SEMICONDUCTOR CO., LTD. |
11127658 | Manufacturing method for reflowed solder balls and their under bump metallurgy structure | LBSEMICON CO., LTD. |
11127659 | Parallel electrode combination, power module and power module group | YANGZHOU GUOYANG ELECTRONIC CO., LTD. |
11127660 | Surface-mount integrated circuit package with coated surfaces for improved solder connection | MICROCHIP TECHNOLOGY INCORPORATED |
11127661 | Semiconductor chip package method and semiconductor chip package device | TONGFU MICROELECTRONICS CO., LTD. |
11127662 | Semiconductor device | ROHM CO., LTD. |
11127663 | Semiconductor package having exposed heat sink for high thermal conductivity | JMJ KOREA CO., LTD. |
11127664 | Circuit board and manufacturing method thereof | -- |
11127665 | Module assembly | QORVO US, INC. |
11127666 | Semiconductor device and method of forming openings through insulating layer over encapsulant for enhanced adhesion of interconnect structure | STATS CHIPPAC PTE. LTD. |
11127667 | Display device | SAMSUNG DISPLAY CO., LTD. |
11127668 | Semiconductor device and method of forming double-sided fan-out wafer level package | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
11127669 | Flexible display screen and flexible device | SHENZHEN ROYOLE TECHNOLOGIES CO. |
11127670 | Component carrier with stabilizing structure for interface adhesion | AT&S (CHINA) CO. LTD. |
11127671 | Power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11127672 | Busbar assembly | SUNCALL CORPORATION |
11127673 | Semiconductor device including deep vias, and method of generating layout diagram for same | -- |
11127674 | Back end of the line metal structure and method | GLOBALFOUNDRIES U.S. INC. |
11127675 | Interconnection structure and manufacturing method thereof | -- |
11127676 | Removal or reduction of chamfer for fully-aligned via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127677 | Resistor structure of series resistor of ESD device | SICHUAN ENERGY INTERNET RESEARCH INSTITUTE, TSINGHUA UNIVERSITY |
11127678 | Dual dielectric layer for closing seam in air gap structure | GLOBALFOUNDRIES U.S. INC. |
11127679 | Semiconductor device including dummy patterns and peripheral interconnection patterns at the same level | SAMSUNG ELECTRONICS CO., LTD. |
11127680 | Semiconductor device and manufacturing method thereof | -- |
11127681 | Three-dimensional memory including molybdenum wiring layer having oxygen impurity and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11127682 | Semiconductor package having nonspherical filler particles | INTEL CORPORATION |
11127683 | Semiconductor structure with substantially straight contact profile | GLOBALFOUNDRIES U.S. INC. |
11127684 | Low-resistance interconnect structures | -- |
11127685 | Power semiconductor module with dimples in metallization layer below foot of terminal | ABB POWER GRIDS SWITZERLAND AG |
11127686 | Radio-frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11127687 | Semiconductor packages including modules stacked with interposing bridges | SK HYNIX INC. |
11127688 | Semiconductor package and manufacturing method thereof | -- |
11127689 | Segmented shielding using wirebonds | QORVO US, INC. |
11127690 | Dual-sided radio-frequency package with overmold structure | SKYWORKS SOLUTIONS, INC. |
11127691 | Methods of forming a semiconductor device | MICRON TECHNOLOGY, INC. |
11127692 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11127693 | Barrier for power metallization in semiconductor devices | INFINEON TECHNOLOGIES AG |
11127694 | Physical unclonable functions with copper-silicon oxide programmable metallization cells | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11127695 | Power conversion device for reducing an inductance difference between control signal wires of a power semiconductor and suppressing a current unbalancing of the control signals | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11127696 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11127697 | Semiconductor device and method of manufacturing the same | -- |
11127698 | Method for producing conductive film, method for producing field effect transistor using same, and method for producing wireless communication device | TORAY INDUSTRIES, INC. |
11127699 | Chip package structure and manufacturing method thereof | -- |
11127700 | Integrated circuit device | -- |
11127701 | Method of manufacturing intergrated fan-out package with redistribution structure | -- |
11127702 | Semiconductor device and method for manufacturing same | DENSO CORPORATION |
11127703 | Semiconductor devices | -- |
11127704 | Semiconductor device with bump structure and method of making semiconductor device | -- |
11127705 | Semiconductor structure and manufacturing method thereof | -- |
11127706 | Electronic package with stud bump electrical connections | INTEL CORPORATION |
11127707 | Semiconductor package structure and method for manufacturing the same | -- |
11127708 | Package structure and method of manufacturing the same | -- |
11127709 | Capillary transport device, capillary mounting device, capillary replacement device, capillary transport method, capillary mounting method, and capillary replacement method | KAIJO CORPORATION |
11127710 | Method for transferring structures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11127711 | Semiconductor device | KIOXIA CORPORATION |
11127713 | High bandwidth memories and systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11127714 | Printed board and semiconductor device | FUJI ELECTRIC CO., LTD. |
11127715 | Large channel interconnects with through silicon Vias (TSVs) and method for constructing the same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127716 | Mounting structures for integrated device packages | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11127717 | Semiconductor device including memory cell arrays and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11127718 | Multi-chip stacked devices | XILINX, INC. |
11127719 | Face-to-face dies with enhanced power delivery using extended TSVS | NVIDIA CORPORATION |
11127722 | Stack packages including vertically stacked sub-packages with interposer bridges | SK HYNIX INC. |
11127725 | Semiconductor structure and associated manufacturing method | -- |
11127727 | Thermal spreading management of 3D stacked integrated circuits | INTEL CORPORATION |
11127728 | Three-dimensional semiconductor chip containing memory die bonded to both sides of a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
11127729 | Method for removing a bulk substrate from a bonded assembly of wafers | SANDISK TECHNOLOGIES LLC |
11127730 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11127732 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11127733 | Electronic device | INFINEON TECHNOLOGIES AG |
11127734 | Vertical nanowire transistor for input/output structure | -- |
11127735 | Display substrate area surrounded by wiring having plurality of tips on side thereof | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
11127737 | Monolithic multi-I region diode limiters | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11127738 | Back biasing of FD-SOI circuit blocks | XCELSIS CORPORATION |
11127742 | Semiconductor device and a method for fabricating the same | -- |
11127746 | Fin-based strap cell structure for improving memory performance | -- |
11127747 | Transistors including two-dimensional materials | MICRON TECHNOLOGY, INC. |
11127748 | Semiconductor device having contact electrode extending through void | TOSHIBA MEMORY CORPORATION |
11127754 | Semiconductor storage device | KIOXIA CORPORATION |
11127764 | Circuit substrate, method for manufacturing the same, display substrate and tiled display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11127773 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11127776 | Hybrid bonding method for semiconductor wafers and related three-dimensional integrated device | LFOUNDRY S.R.L. |
11127780 | Display panel | -- |
11127789 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11127791 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11127793 | Manufacturing methods of three-dimensional vertical memory | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11127813 | Semiconductor inductors | INTEL CORPORATION |
11127827 | Control gate strap layout to improve a word line etch process window | -- |
11127833 | Method to improve HKMG contact resistance | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11127837 | Method of forming MOSFET structure | -- |
11127860 | Extended-drain field-effect transistors including a floating gate | GLOBALFOUNDRIES U.S. INC. |
11127876 | Method of preventing contamination of LED die | LUMILEDS LLC |
11127889 | Displays with unpatterned layers of light-absorbing material | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11127890 | Method for assembling a carrier with components, pigment for assembling a carrier with a component and method for producing a pigment | OSRAM OLED GMBH |
11128029 | Die with embedded communication cavity | INTEL CORPORATION |
11128030 | Antenna module and electronic device including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11128131 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11128268 | Power amplifier packages containing peripherally-encapsulated dies and methods for the fabrication thereof | NXP USA, INC. |
11128269 | Multiple-stage power amplifiers and devices with low-voltage driver stages | NXP USA, INC. |
11128339 | Radio frequency switch based on negative-capacitance field effect transistors | -- |
11129298 | Process for liquid immersion cooling | TMGCORE, INC. |
11129299 | Heat sink | TEJAS NETWORK LIMITED |
11129300 | Module and power conversion device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11129301 | Thermally conductive insulator | SIEMENS AKTIENGESELLSCHAFT |
11129302 | Apparatus, system, and method for electromagnetic interference mitigation in split heatsink technologies | JUNIPER NETWORKS, INC. |
11129304 | Electronic device | FUJITSU LIMITED |
11130845 | Thermal interface materials including polymeric phase-change materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11131506 | Burst resistant thin wall heat sink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11131711 | Testing system and method for in chip decoupling capacitor circuits | NVIDIA CORPORATION |
11132017 | Clock distribution system | NORTHROP GRUMANN SYSTEMS CORPORATION |
11133038 | Multi-die peak power management for three-dimensional memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133128 | System in package module assembly, system in package module, and electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11133188 | Non-volatile memory semiconductor device with electrostatic discharge protection, planarization layers, and manufacturing method thereof | -- |
11133197 | Semiconductor structure and method of forming | -- |
11133198 | Method of manufacturing packaged device chip | DISCO CORPORATION |
11133199 | Mold heel crack problem reduction | TEXAS INSTRUMENTS INCORPORATED |
11133206 | Method for die-level unique authentication and serialization of semiconductor devices using electrical and optical marking | TOKYO ELECTRON LIMITED |
11133215 | Glass substrate, laminated substrate, laminated substrate manufacturing method, laminate, package, and glass substrate manufacturing method | AGC INC. |
11133216 | Interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133218 | Semiconductor apparatus having through silicon via structure and manufacturing method thereof | -- |
11133234 | Semiconductor device and semiconductor device manufacturing method | LAPIS SEMICONDUCTOR CO., LTD. |
11133235 | Heat-dissipating semiconductor package including a plurality of metal pins between first and second encapsulation members | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
11133236 | Polymer-based-semiconductor structure with cavity | -- |
11133237 | Package with embedded heat dissipation features | -- |
11133238 | Heat sink fastening seat and securing device with gasket for use with electrical connector | FUDING PRECISION COMPONENTS (SHENZHEN) CO., LTD. |
11133239 | Mechanical part for fastening processor, assembly, and computer device | HUAWEI TECHNOLOGIES CO., LTD. |
11133240 | Semiconductor device and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11133241 | Semiconductor package with a cavity in a die pad for reducing voids in the solder | STMICROELECTRONICS, INC. |
11133242 | Method of manufacturing semiconductor devices, corresponding device and circuit | STMICROELECTRONICS S.R.L. |
11133243 | Electronic device and wiring board | LAPIS SEMICONDUCTOR CO., LTD. |
11133244 | Semiconductor device package and method for manufacturing the same | -- |
11133245 | Semiconductor package structure and method for manufacturing the same | -- |
11133246 | Semiconductor structure employing conductive paste on lead frame | -- |
11133247 | Vias with metal caps for underlying conductive lines | -- |
11133248 | Semiconductor structure and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133249 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133250 | Semiconductor component and method for producing a semiconductor component | OSRAM OPTO SEMICONDUCTORS GMBH |
11133251 | Semiconductor assembly having T-shaped interconnection and method of manufacturing the same | -- |
11133252 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11133253 | Semiconductor devices including a thick metal layer | SAMSUNG ELECTRONICS CO., LTD. |
11133254 | Hybrid power rail structure | -- |
11133255 | Metal patterning for internal cell routing | -- |
11133256 | Embedded bridge substrate having an integral device | INTEL CORPORATION |
11133257 | Bridge interconnection with layered interconnect structures | INTEL CORPORATION |
11133258 | Package with bridge die for interconnection and method forming same | -- |
11133259 | Multi-chip package structure having high density chip interconnect bridge with embedded power distribution network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133260 | Self-aligned top via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133261 | Electronic device packaging | INTEL CORPORATION |
11133262 | Semiconductor packages and display devices including the same | SAMSUNG ELECTRONICS CO., LTD. |
11133263 | High-density interconnects for integrated circuit packages | INTEL CORPORATION |
11133264 | Electronic system comprising a lower redistribution layer and method for manufacturing such an electronic system | 3DIS TECHNOLOGIES |
11133265 | Integrated fan-out package and method of fabricating the same | -- |
11133266 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133267 | Semiconductor device including a peripheral circuit region and memory cell regions | SAMSUNG ELECTRONICS CO., LTD. |
11133268 | Crack bifurcation in back-end-of-line | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133269 | Semiconductor package and manufacturing method thereof | -- |
11133270 | Integrated circuit device and fabrication method thereof | -- |
11133271 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11133272 | Vertically-aligned and conductive dummies in integrated circuit layers for capacitance reduction and bias independence and methods of manufacture | QUALCOMM INCORPORATED |
11133273 | Semiconductor device with waveguide and method therefor | NXP USA, INC. |
11133274 | Fan-out interconnect structure and method for forming same | -- |
11133275 | Method for improving wire bonding strength of an image sensor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11133276 | Semiconductor device and method for manufacturing the same | ROHM CO., LTD. |
11133277 | Semiconductor device bonded by bonding pads | SAMSUNG ELECTRONICS CO., LTD. |
11133278 | Semiconductor package including cap layer and dam structure and method of manufacturing the same | -- |
11133279 | Connection structure | MIKUNI ELECTRON CORPORATION |
11133280 | Integrated circuit chip and configuration adjustment method for the same | -- |
11133281 | Chip to chip interconnect in encapsulant of molded semiconductor package | INFINEON TECHNOLOGIES AG |
11133282 | COWOS structures and methods forming same | -- |
11133283 | Integrated fan-out device | -- |
11133284 | Semiconductor package device | -- |
11133285 | Package-on-package structure having polymer-based material for warpage control | -- |
11133286 | Chip packages and methods of manufacture thereof | -- |
11133287 | Semiconductor package including stacked semiconductor chips and method for fabricating the same | SK HYNIX INC. |
11133288 | Semiconductor package including stacked semiconductor chips | SK HYNIX INC. |
11133290 | Chip package structure with stacked chips and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133291 | Chip package structure with multi-chip stack | -- |
11133293 | Three-dimensional memory device with three-dimensional phase-change memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133295 | Methods for manufacturing a display device | -- |
11133296 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11133297 | Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof | SANDISK TECHNOLOGIES LLC |
11133301 | Integrated circuit having a MOM capacitor and transistor | -- |
11133302 | Semiconductor carrier with vertical power FET module | -- |
11133304 | Packaging scheme involving metal-insulator-metal capacitor | -- |
11133312 | Semiconductor device, and method for manufacturing the same | SK HYNIX INC. |
11133318 | Semiconductor structure and manufacturing method of the same | -- |
11133319 | Semiconductor device and method for fabricating the same | -- |
11133321 | Semiconductor device and method of fabricating the same | -- |
11133323 | High-voltage transistor having shielding gate | TOSHIBA MEMORY CORPORATION |
11133325 | Memory cell structure of a three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133327 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133328 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11133344 | Multilevel semiconductor device and structure with image sensors | MONOLITHIC 3D INC. |
11133348 | Sensor package structure and sensing module thereof | -- |
11133367 | Thin film transistor and fabricating method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11133375 | Semiconductor substrate with integrated inductive component | TEXAS INSTRUMENTS INCORPORATED |
11133395 | N-work function metal with crystal structure | -- |
11133398 | Semiconductor device including sense insulated-gate bipolar transistor | ROHM CO., LTD. |
11133412 | Integrated circuit devices including vertical field-effect transistors (VFETs) | SAMSUNG ELECTRONICS CO., LTD. |
11133432 | Display panel and manufacturing method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11133450 | Superconducting bump bonds | GOOGLE LLC |
11133451 | Superconducting bump bonds | GOOGLE LLC |
11133568 | Semiconductor package structure having antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11133578 | Semiconductor device package comprising an encapsulated and conductively shielded semiconductor device die that provides an antenna feed to a waveguide | NXP B.V. |
11133609 | Semiconductor device having terminal pin connected by connecting member and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11133750 | Power module | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11133803 | Multiple via structure for high performance standard cells | QUALCOMM INCORPORATED |
11133805 | Superconducting logic circuits | PSIQUANTUM CORP. |
11134240 | Device and method for determining a fingerprint for a device using a voltage offset distribution pattern | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11134560 | Heat exchange plate with slotted airfoil fins | XI'AN JIAOTONG UNIVERSITY |
11134561 | Wiring board and method for producing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11134572 | Circuit board structure and method for manufacturing a circuit board structure | IMBERATEK, LLC |
11134573 | Printed wiring-board islands for connecting chip packages and methods of assembling same | INTEL CORPORATION |
11134587 | Power module with integrated cooling device | DANFOSS SILICON POWER GMBH |
11134595 | Compliant die attach systems having spring-driven bond tools | ASSEMBLEON B.V. |
11134598 | 3D packaging with low-force thermocompression bonding of oxidizable materials | SET NORTH AMERICA, LLC |
11134829 | Image pickup apparatus, endoscope, and method for manufacturing image pickup apparatus | OLYMPUS CORPORATION |
11135669 | Method and device for a high temperature vacuum-safe solder resist utilizing laser ablation of solderable surfaces for an electronic module assembly | CREE, INC. |
11135683 | Solder alloy and junction structure using same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11136437 | Curable particulate silicone composition, semiconductor member comprising curable particulate silicone composition, and method for molding semiconductor member | DOW TORAY CO., LTD. |
11136476 | Method of producing anisotropic conductive film and anisotropic conductive film | DEXERIALS CORPORATION |
11136479 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11136484 | Thermally conductive sheet | SEKISUI CHEMICAL CO., LTD. |
11137213 | Water cooling head | -- |
11137560 | Semiconductor module, manufacturing method thereof, and communication method using the same | RENESAS ELECTRONICS CORPORATION |
11137649 | Display device | SAMSUNG DISPLAY CO., LTD. |
11137689 | Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11137806 | Thermal management of integrated circuits | INTEL CORPORATION |
11138360 | Semiconductor device with filler cell region, method of generating layout diagram and system for same | -- |
11138487 | Method for manufacturing RFID inlet and antenna pattern | SATO HOLDINGS KABUSHIKI KAISHA |
11139164 | Electronic device including hermetic micro-cavity and methods of preparing the same | RAYTHEON COMPANY |
11139177 | Method of fabricating semiconductor package structure | -- |
11139178 | Semiconductor package with filler particles in a mold compound | TEXAS INSTRUMENTS INCORPORATED |
11139179 | Embedded component package structure and manufacturing method thereof | -- |
11139193 | Device and method for positioning first object in relation to second object | SHINKAWA LTD. |
11139199 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139201 | Top via with hybrid metallization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139202 | Fully aligned top vias with replacement metal lines | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139204 | Semiconductor device comprised of contact plugs having pillar portions and protrusion portions extending from the pillar portions | SK HYNIX INC. |
11139206 | Semiconductor device with conductive shielding structure | -- |
11139207 | Method for manufacturing a semiconductor device and semiconductor device | AMS AG |
11139208 | Semiconductor device and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11139210 | Bonding support structure (and related process) for wafer stacking | -- |
11139212 | Semiconductor arrangement and method for making | -- |
11139219 | Bypass thyristor device with gas expansion cavity within a contact plate | ABB SCHWEIZ AG |
11139220 | Flexible semiconductor package formed by roll-to-roll process | HAESUNG DS CO., LTD. |
11139221 | Pins for heat exchangers | HAMILTON SUNDSTRAND CORPORATION |
11139222 | Electronic device comprising heat pipe contacting a cover structure for heat dissipation | -- |
11139223 | Semiconductor device and manufacturing method thereof | -- |
11139224 | Package comprising a substrate having a via wall configured as a shield | QUALCOMM INCORPORATED |
11139225 | Device including a plurality of leads surrounding a die paddle and method for manufacturing the same | -- |
11139226 | Semiconductor package structure and assembly structure | -- |
11139228 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139229 | Package-on-package semiconductor assemblies and methods of manufacturing the same | MICRON TECHNOLOGY, INC. |
11139230 | Flip-chip package substrate and method for preparing the same | -- |
11139231 | Radio frequency module and communication device | MURATA MANUFACTURING CO., LTD. |
11139232 | Wiring structure and method for manufacturing the same | -- |
11139233 | Cavity wall structure for semiconductor packaging | UTAC HEADQUARTERS PTE. LTD. |
11139234 | Package carrier and manufacturing method thereof | -- |
11139235 | Semiconductor device and manufacturing method thereof | LAPIS SEMICONDUCTOR CO., LTD. |
11139236 | Semiconductor devices and methods of forming the same | -- |
11139237 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11139238 | High Q factor inductor structure | QORVO US, INC. |
11139239 | Recessed inductor structure to reduce step height | -- |
11139240 | Semiconductor module and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11139241 | Integrated circuit device with crenellated metal trace layout | INTEL CORPORATION |
11139242 | Via-to-metal tip connections in multi-layer chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139243 | Semiconductor memory device | -- |
11139244 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139245 | Advanced node interconnect routing methodology | -- |
11139246 | Semiconductor device with aligned vias | TOSHIBA MEMORY CORPORATION |
11139247 | Interconnection structure, semiconductor package and method of manufacturing the same | -- |
11139248 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11139249 | Semiconductor devices and methods of forming the same | -- |
11139250 | Integrated module with electromagnetic shielding | QORVO US, INC. |
11139251 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11139252 | Semiconductor package and method for manufacturing the same | -- |
11139253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11139254 | Semiconductor device and electronic device | FUJITSU LIMITED |
11139255 | Protection of integrated circuits | STMICROELECTRONICS (ROUSSET) SAS |
11139256 | Tamper-resistant integrated circuits, and related methods | MICRON TECHNOLOGY, INC. |
11139257 | Methods related to dual-sided module with land-grid array (LGA) footprint | SKYWORKS SOLUTIONS, INC. |
11139258 | Bonding pads with thermal pathways | MICRON TECHNOLOGY, INC. |
11139259 | Display driving system, display panel and electronic device | BEIJING XIAOMI MOBILE SOFTWARE CO., LTD. |
11139260 | Plurality of stacked pillar portions on a semiconductor structure | -- |
11139261 | Film-like adhesive and method for producing semiconductor package using film-like adhesive | FURUKAWA ELECTRIC CO., LTD. |
11139262 | Use of pre-channeled materials for anisotropic conductors | MICRON TECHNOLOGY, INC. |
11139263 | Semiconductor device | VOLKSWAGEN AKTIENGESELLSCHAFT |
11139264 | Die interconnect substrates, a semiconductor device and a method for forming a die interconnect substrate | INTEL CORPORATION |
11139265 | Anisotropic conductive film and connected structure | DEXERIALS CORPORATION |
11139266 | Manufacturing method for electronic component, and electronic component | MURATA MANUFACTURING CO., LTD. |
11139267 | Packaging structure and forming method thereof | TONGFU MICROELECTRONICS CO., LTD. |
11139268 | Semiconductor package structure and method of manufacturing the same | -- |
11139269 | Mixed under bump metallurgy (UBM) interconnect bridge structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139270 | Artificial intelligence processor with three-dimensional stacked memory | KEPLER COMPUTING INC. |
11139271 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11139272 | Bonded assembly containing oxidation barriers and/or adhesion enhancers and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11139273 | Dynamically configurable multi-chip package | INTEL CORPORATION |
11139274 | Semiconductor device package and method of manufacturing the same | -- |
11139275 | Semiconductor device and method of manufacturing the same | KIOXIA CORPORATION |
11139277 | Semiconductor device including contact fingers on opposed surfaces | WESTERN DIGITAL TECHNOLOGIES, INC. |
11139278 | Low parasitic inductance power module and double-faced heat-dissipation low parasitic inductance power module | YANGZHOU GUOYANG ELECTRONIC CO., LTD. |
11139281 | Molded underfilling for package on package devices | -- |
11139282 | Semiconductor package structure and method for manufacturing the same | -- |
11139283 | Abstracted NAND logic in stacks | XCELSIS CORPORATION |
11139285 | Semiconductor package | -- |
11139286 | Semiconductor device including a capacitor structure and a thin film resistor and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11139287 | Transient voltage suppression device with thermal cutoff | LITTEFLUSE SEMICONDUCTOR (WUXI) CO., LTD. |
11139296 | CMOS circuit with vertically oriented n-type transistor and method of providing same | INTEL CORPORATION |
11139297 | Circuit arrangement, redistribution board, module and method of fabricating a half-bridge circuit | INFINEON TECHNOLOGIES AUSTRIA AG |
11139300 | Three-dimensional memory arrays with layer selector transistors | INTEL CORPORATION |
11139301 | Semiconductor device including side surface conductor contact | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139302 | Integrated assemblies comprising spaces between bitlines and comprising conductive plates operationally proximate the bitlines, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11139307 | Vertical field effect transistor including integrated antifuse | SAMSUNG ELECTRONICS CO., LTD. |
11139312 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139340 | Spin element and reservoir element | TDK CORPORATION |
11139373 | Scalable circuit-under-pad device topologies for lateral GaN power transistors | GAN SYSTEMS INC. |
11139375 | Semiconductor device and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11139412 | Electrical coupling assemblies and methods for optoelectronic modules | II-VI DELAWARE, INC. |
11139420 | LED package structure | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11139552 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11139637 | Manufacturable RGB laser diode source and system | KYOCERA SLD LASER, INC. |
11139695 | Flat panel substrate with integrated antennas and wireless power transmission system | OSSIA INC. |
11139748 | Power module, power converter device, and electrically powered vehicle | HITACHI ASTEMO, LTD. |
11139753 | Semiconductor device, power conversion apparatus, and vehicle | KABUSHIKI KAISHA TOSHIBA |
11140723 | Patch on interposer package with wireless communication interface | INTEL CORPORATION |
11140750 | Closed loop temperature controlled circuit to improve device stability | STMICROELECTRONICS, INC. |
11140772 | Printed circuit board including warpage offset regions and semiconductor packages including the same | SAMSUNG ELECTRONICS CO., LTD. |
11140786 | Thermal interface adhesion for transfer molded electronic components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11141810 | Non-eutectic bonding | TECHNI HOLDING AS |
11141822 | System and method for assembling hook type heatsink | ARRIS ENTERPRISES LLC |
11142453 | MEMS device stress-reducing structure | TDK CORPORATION |
11142669 | Adhesive and method of encapsulating organic electronic device using the same | LG CHEM, LTD. |
11143461 | Flat loop heat pipe | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11143466 | Heat transfer system and method incorporating tapered flow field | ROCHESTER INSTITUTE OF TECHNOLOGY |
11143690 | Testing structure and testing method | -- |
11143961 | Resist composition | THE UNIVERSITY OF MANCHESTER |
11145371 | Semiconductor memory device | KIOXIA CORPORATION |
11145513 | Method of manufacturing semiconductor device | NICHIA CORPORATION |
11145521 | Method for cleaning a semiconductor substrate | -- |
11145530 | System and method for alignment of an integrated circuit | CEREBRAS SYSTEMS INC. |
11145538 | High resistivity silicon-on-insulator structure and method of manufacture thereof | -- |
11145540 | Semiconductor structure having air gap dielectric and the method of preparing the same | -- |
11145541 | Conductive via and metal line end fabrication and structures resulting therefrom | INTEL CORPORATION |
11145542 | Global dielectric and barrier layer | -- |
11145543 | Semiconductor via structure with lower electrical resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145545 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11145547 | Semiconductor chip suitable for 2.5D and 3D packaging integration and methods of forming the same | QORVO US, INC. |
11145548 | Manufacturing process of element chip using laser grooving and plasma-etching | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145554 | Method for source/drain contact formation in semiconductor devices | -- |
11145558 | Manufacturing method of semiconductor module | FUJI ELECTRIC CO., LTD. |
11145560 | Semiconductor device and methods of manufacturing | -- |
11145562 | Package structure and method of manufacturing the same | -- |
11145563 | Semiconductor devices having cutouts in an encapsulation material and associated production methods | INFINEON TECHNOLOGIES AG |
11145564 | Multi-layer passivation structure and method | -- |
11145565 | Method of fabricating a chip package module with improve heat dissipation effect | -- |
11145566 | Stacked silicon package assembly having thermal management | XILINX, INC. |
11145567 | Heat-radiating substrate | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11145568 | Magnetically affixed heat spreader | INTEL CORPORATION |
11145569 | Module equipped with a heat dissipation member | MURATA MANUFACTURING CO., LTD. |
11145570 | Closed loop liquid cooler and electronic device using the same | CELESTICA TECHNOLOGY CONSULTANCY (SHANGHAI) CO. LTD |
11145571 | Heat transfer for power modules | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145572 | Semiconductor structure having through-substrate via (TSV) in porous semiconductor region | NEWPORT FAB, LLC |
11145573 | Semiconductor package including a pad pattern | SAMSUNG ELECTRONICS CO., LTD. |
11145574 | Semiconductor device packages with electrical routing improvements and related methods | MICROCHIP TECHNOLOGY INCORPORATED |
11145575 | Conductive bonding layer with spacers between a package substrate and chip | UTAC HEADQUARTERS PTE. LTD. |
11145576 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11145577 | Lead frame with angular deflections and wrapped printed wiring boards for system-in-package apparatus | INTEL CORPORATION |
11145578 | Semiconductor package with top or bottom side cooling and method for manufacturing the semiconductor package | INFINEON TECHNOLOGIES AG |
11145579 | Thermally enhanced electronic packages for GaN power integrated circuits | NAVITAS SEMICONDUCTOR LIMITED |
11145580 | IoT and AI system package with solid-state battery enhanced performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145581 | Methods of forming leadless semiconductor packages with plated leadframes and wettable flanks | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145582 | Method of manufacturing semiconductor devices with a paddle and electrically conductive clip connected to a leadframe and corresponding semiconductor device | STMICROELECTRONICS S.R.L. |
11145583 | Method to achieve variable dielectric thickness in packages for better electrical performance | INTEL CORPORATION |
11145584 | Semiconductor device, lead frame, and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11145585 | Wiring board having each pad with tapered section continuously formed on columnar section | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11145586 | Interposer and electronic device | MURATA MANUFACTURING CO., LTD. |
11145587 | Electronic component mounting substrate, electronic device, and electronic module | KYOCERA CORPORATION |
11145588 | Method for fabricating semiconductor package and semiconductor package using the same | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11145589 | Semiconductor module bonding structure and bonding method | DENSO CORPORATION |
11145590 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11145591 | Integrated circuit (IC) device integral capacitor and anti-fuse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145592 | Process for forming metal-insulator-metal structures | -- |
11145593 | Semiconductor device with integrated capacitor and manufacturing method thereof | -- |
11145594 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11145595 | Integrated fan-out package with antenna components and manufacturing method thereof | -- |
11145596 | Package structure and method of forming the same | -- |
11145597 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11145598 | Lattice bump interconnect | TEXAS INSTRUMENTS INCORPORATED |
11145599 | Method of fabricating a memory device having multiple metal interconnect lines | -- |
11145600 | Electronic device with multilayer electrode and methods for manufacturing the same | -- |
11145601 | Semiconductor chip including alignment pattern | SAMSUNG ELECTRONICS CO., LTD. |
11145602 | Alignment mark structure and method of fabricating the same | -- |
11145603 | Integrated circuit packaging system with shielding and method of manufacture thereof | STATS CHIPPAC PTE. LTD. |
11145604 | Semiconductor structure, integrated circuit device, and method of forming semiconductor structure | -- |
11145605 | Semiconductor device and method for fabricating the same | -- |
11145606 | Corner structures for an optical fiber groove | GLOBALFOUNDRIES U.S. INC. |
11145607 | Semiconductor chip | MURATA MANUFACTURING CO., LTD. |
11145608 | Detection of laser-based security attacks | QUALCOMM INCORPORATED |
11145609 | Doherty amplifier with surface-mount packaged carrier and peaking amplifiers | NXP USA, INC. |
11145610 | Chip package structure having at least one chip and at least one thermally conductive element and manufacturing method thereof | -- |
11145611 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145612 | Methods for bump planarity control | TEXAS INSTRUMENTS INCORPORATED |
11145613 | Method for forming bump structure | -- |
11145614 | Semiconductor device and method of manufacture | -- |
11145615 | Solder material for semiconductor device | FUJI ELECTRIC CO., LTD. |
11145616 | Semiconductor device, power conversion apparatus, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11145617 | Semiconductor structure | -- |
11145618 | Bonding equipment | SHARP KABUSHIKI KAISHA |
11145619 | Electrical connecting structure having nano-twins copper and method of forming the same | -- |
11145620 | Formation of bonding wire vertical interconnects | ASM TECHNOLOGY SINGAPORE PTE LTD |
11145621 | Semiconductor package device and method of manufacturing the same | -- |
11145622 | Discrete polymer in fan-out packages | -- |
11145623 | Integrated circuit packages and methods of forming the same | -- |
11145624 | Semiconductor device package and method for manufacturing the same | -- |
11145625 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11145626 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11145627 | Semiconductor package and manufacturing method thereof | -- |
11145628 | Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11145629 | Semiconductor device and power conversion device | ROHM CO., LTD. |
11145632 | High density die package configuration on system boards | INTEL CORPORATION |
11145633 | Semiconductor package and manufacturing method thereof | -- |
11145634 | Power converter | MITSUBISHI ELECTRIC CORPORATION |
11145635 | LED display unit | SHENZHEN GLOSHINE TECHNOLOGY CO., LTD. |
11145637 | Semiconductor package including a substrate having two silicon layers formed on each other | SAMSUNG ELECTRONICS CO., LTD. |
11145638 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11145639 | Semiconductor package and manufacturing method thereof | -- |
11145643 | Semiconductor device, method for manufacturing semiconductor device, and PID protection device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11145645 | Multi-stack three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11145655 | Memory device with reduced-resistance interconnect | -- |
11145656 | Transistors, arrays of transistors, arrays of memory cells individually comprising a capacitor and an elevationally-extending transistor, and methods of forming an array of transistors | MICRON TECHNOLOGY, INC. |
11145669 | Semiconductor devices including a contact structure that contacts a dummy channel structure | SAMSUNG ELECTRONICS CO., LTD. |
11145671 | Three-dimensional semiconductor memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145672 | Semiconductor device including stack structures having gate pads with different thicknesses | SAMSUNG ELECTRONICS CO., LTD. |
11145689 | Indicia for light emitting diode chips | CREELED, INC. |
11145706 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11145712 | Semiconductor apparatus and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11145714 | Semiconductor device | ROHM CO., LTD. |
11145719 | Semiconductor device having a contact | -- |
11145735 | Ohmic alloy contact region sealing layer | RAYTHEON COMPANY |
11145736 | Semiconductor device with electrically connected doping regions and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145767 | Semiconductor structure | -- |
11145768 | Trench capacitor component with reduced equivalent series resistance and equivalent series inductance | QUALCOMM INCORPORATED |
11145782 | Processing an optical device | TEXAS INSTRUMENTS INCORPORATED |
11145795 | Light emitting apparatus and method for manufacturing same | CITIZEN ELECTRONICS CO., LTD. |
11145796 | Compact opto-electronic modules and fabrication methods for such modules | AMS SENSORS SINGAPORE PTE. LTD. |
11145799 | LED module having LED chips as light source | ROHM CO., LTD. |
11145842 | Organic light emitting diode display panel comprising multi-layer encapsulation | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145961 | Vehicle radar signaling device including a substrate integrated waveguide | APTIV TECHNOLOGIES LIMITED |
11146254 | Driving device and power module | MITSUBISHI ELECTRIC CORPORATION |
11146259 | Voltage equalization method for use in radiofrequency switch having multiple transistors connected in series and radiofrequency switch | VANCHIP (TIANJIN) TECHNOLOGY CO., LTD. |
11147153 | Thermal conductivity for integrated circuit packaging | INTEL CORPORATION |
11147156 | Composite member, heat radiation member, semiconductor device, and method of manufacturing composite member | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11147158 | Tamper-respondent assembly with interconnect characteristic(s) obscuring circuit layout | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11147166 | Method for producing semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
11147185 | Heat sink and electronic device | SONY INTERACTIVE ENTERTAINMENT INC. |
11147187 | Radiative cooling device with liquid pump | NIDEC CORPORATION |
11147197 | Microelectronic package electrostatic discharge (ESD) protection | INTEL CORPORATION |
11147851 | Method of fabricating an electronic power module by additive manufacturing, and associated substrate and module | SAFRAN |
11148938 | Substrate bonding apparatus, substrate pairing apparatus, and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
11148943 | Glass piece and methods of manufacturing glass pieces and semiconductor devices with glass pieces | INFINEON TECHNOLOGIES AG |
11149118 | Insulating film forming composition, insulating film, and semiconductor device provided with insulating film | DAICEL CORPORATION |
11149937 | Functionally graded manifold microchannel heat sinks | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11150028 | Cooling device with superimposed fin groups and parallel heatpipes | FURUKAWA ELECTRIC CO., LTD. |
11150273 | Current sensor integrated circuits | ALLEGRO MICROSYSTEMS, LLC |
11150409 | Saw assisted facet etch dicing | GENXCOMM, INC. |
11150710 | Apparatuses and methods for encapsulated devices | INTERSIL AMERICAS LLC |
11151299 | Integrated circuit | -- |
11151953 | Liquid crystal display device and electronic device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152074 | Memory device with improved program performance and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11152213 | Transistor device with ultra low-k self aligned contact cap and ultra low-k spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152221 | Methods and apparatus for metal silicide deposition | APPLIED MATERIALS, INC. |
11152226 | Structure with controlled capillary coverage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152227 | Lift-off embedded micro and nanostructures | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152230 | Device and method for bonding alignment | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
11152251 | Method for manufacturing semiconductor device having via formed by ion beam | -- |
11152252 | Semiconductor device with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152253 | Semiconductor structure and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11152254 | Pitch quartered three-dimensional air gaps | INTEL CORPORATION |
11152255 | Methods of performing chemical-mechanical polishing process in semiconductor devices | -- |
11152257 | Barrier-less prefilled via formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152258 | Method of forming an interconnect in a semiconductor device | -- |
11152259 | Interconnection element and method of manufacturing the same | STMICROELECTRONICS (CROLLES 2) SAS |
11152261 | Self-aligned top via formation at line ends | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152270 | Monitoring structure for critical dimension of lithography process | -- |
11152271 | Semiconductor module and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152272 | Die-to-wafer hybrid bonding with forming glass | QUALCOMM INCORPORATED |
11152273 | Conductive structures and redistribution circuit structures | -- |
11152274 | Multi-moldings fan-out package and process | -- |
11152275 | Semiconductor device and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152276 | Trim wall protection method for multi-wafer stacking | -- |
11152277 | Three-dimensional memory devices having hydrogen blocking layer and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11152278 | Heat sink, integrated circuit chip and circuit board | BITMAIN TECHNOLOGIES INC. |
11152279 | Monolithic microwave integrated circuit (MMIC) cooling structure | RAYTHEON COMPANY |
11152280 | Semiconductor device and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11152281 | Method of manufacturing a cooling circuit on an integrated circuit chip using a sacrificial material | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152282 | Localized catalyst for enhanced thermal interface material heat transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152283 | Rack and row-scale cooling | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11152284 | Three-dimensional memory device with a dielectric isolation spacer and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11152285 | Display device | -- |
11152286 | Power semiconductor module device | WASEDA UNIVERSITY |
11152287 | Semiconductor module and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152288 | Lead frames for semiconductor packages | INFINEON TECHNOLOGIES AG |
11152289 | Semiconductor device and corresponding method | STMICROELECTRONICS S.R.L. |
11152290 | Wide bandgap group IV subfin to reduce leakage | INTEL CORPORATION |
11152291 | Multilayer substrate | FUJITSU INTERCONNECT TECHNOLOGIES LIMITED |
11152292 | Fan-out semiconductor package having metal pattern layer electrically connected embedded semiconductor chip and redistribution layer | SAMSUNG ELECTRONICS CO., LTD. |
11152293 | Wiring board having two insulating films and hole penetrating therethrough | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11152294 | Hermetic metallized via with improved reliability | CORNING INCORPORATED |
11152295 | Semiconductor package structure and method for manufacturing the same | -- |
11152296 | Semiconductor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD. |
11152297 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11152298 | Metal via structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152299 | Hybrid selective dielectric deposition for aligned via integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152300 | Electrical fuse with metal line migration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152301 | Memory cell having multi-level word line | -- |
11152302 | Fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11152303 | Different scaling ratio in FEOL / MOL/ BEOL | -- |
11152304 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11152305 | Semiconductor device and method of manufacturing the same | -- |
11152306 | Dielectric film for semiconductor fabrication | -- |
11152307 | Buried local interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152308 | Interposer circuit | II-VI DELAWARE, INC. |
11152309 | Semiconductor package, method of fabricating semiconductor package, and method of fabricating redistribution structure | SAMSUNG ELECTRONICS CO., LTD. |
11152311 | Semiconductor device with protection structure and air gaps and method for fabricating the same | -- |
11152312 | Packages with interposers and methods for forming the same | -- |
11152313 | Using threading dislocations in GaN/Si systems to generate physically unclonable functions | SYNOPSYS, INC. |
11152314 | Integrated circuit with supply circuit comprising field-effect transistors | INFINEON TECHNOLOGIES AG |
11152315 | Electronic device package and method for manufacturing the same | -- |
11152316 | Method of forming contact holes in a fan out package | -- |
11152317 | Semiconductor device including interconnection structure including copper and tin and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11152318 | Semiconductor device and manufacturing method of semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152319 | Micro-connection structure and manufacturing method thereof | -- |
11152320 | Semiconductor package structure and method of the same | -- |
11152321 | Semiconductor device having a copper pillar interconnect structure | INFINEON TECHNOLOGIES AG |
11152322 | Leadframes in semiconductor devices | TEXAS INSTRUMENTS INCORPORATED |
11152323 | Package with UBM and methods of forming | -- |
11152324 | Method and apparatus for making integrated circuit packages | TEXAS INSTRUMENTS INCORPORATED |
11152325 | Contact and die attach metallization for silicon carbide based devices and related methods of sputtering eutectic alloys | CREE, INC. |
11152326 | Semiconductor die with multiple contact pads electrically coupled to a lead of a lead frame | STMICROELECTRONICS, INC. |
11152327 | Semiconductor device with fuse portion comprising wires of different electrical resistance | MITSUBISHI ELECTRIC CORPORATION |
11152328 | System and method for uniform pressure gang bonding | ELUX, INC. |
11152329 | Method of separating bonded substrate, method of manufacturing semiconductor storage device, and substrate separation apparatus | TOSHIBA MEMORY CORPORATION |
11152330 | Semiconductor package structure and method for forming the same | -- |
11152331 | Electronic package and method for fabricating the same | -- |
11152332 | Modular voltage regulators | -- |
11152333 | Semiconductor device packages with enhanced heat management and related systems | MICRON TECHNOLOGY, INC. |
11152334 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11152335 | Stack packages including a supporting substrate | SK HYNIX INC. |
11152336 | 3D processor having stacked integrated circuit die | XCELSIS CORPORATION |
11152337 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11152339 | Method for improved transfer of semiconductor die | ROHINNI, LLC |
11152340 | Power module having a multilayered structure with liquid cooled busbar and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
11152342 | Receiver optical module and process of assembling the same | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11152343 | 3D integrated ultra high-bandwidth multi-stacked memory | KEPLER COMPUTING, INC. |
11152344 | Integrated circuit package and methods of forming same | -- |
11152345 | Method for manufacturing semiconductor device | KIOXIA CORPORATION |
11152347 | Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections | QUALCOMM INCORPORATED |
11152355 | Structure with embedded memory device and contact isolation scheme | -- |
11152357 | Rectifier diode encapsulation structure with common electrodes | ZHANGZHOU GO WIN LIGHIING CO., LTD |
11152363 | Bulk CMOS devices with enhanced performance and methods of forming the same utilizing bulk CMOS process | QORVO US, INC. |
11152368 | Semiconductor device including storage node electrode having filler and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152371 | Apparatus comprising monocrystalline semiconductor materials and monocrystalline metal silicide materials, and related methods, electronic devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11152380 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11152388 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11152391 | Semiconductor memory device and production method thereof | TOSHIBA MEMORY CORPORATION |
11152392 | Integrated circuit including clubfoot structure conductive patterns | SAMSUNG ELECTRONICS CO., LTD. |
11152396 | Semiconductor device having stacked transistors and multiple threshold voltage control | INTEL CORPORATION |
11152401 | Flexible display substrate and preparation method thereof | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11152416 | Semiconductor package including a redistribution line | SAMSUNG ELECTRONICS CO., LTD. |
11152418 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11152426 | Memory device using an etch stop dielectric layer and methods for forming the same | -- |
11152440 | Display apparatus with thin pad-area insulator and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11152455 | Method to reduce breakdown failure in a MIM capacitor | -- |
11152457 | Method of manufacturing capacitor | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11152458 | Metal capacitor | -- |
11152463 | Semiconductor nanocrystal structure and optoelectronic device | OSRAM OPTO SEMICONDUCTORS GMBH |
11152472 | Crystalline oxide semiconductor | FLOSFIA INC. |
11152489 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152495 | Integrated circuit heat dissipation using nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152529 | Semicondutor package structures and methods of manufacturing the same | -- |
11152547 | Metallic structure for optical semiconductor device, method for producing the same, and optical semiconductor device using the same | NICHIA CORPORATION |
11152551 | Electronic device | -- |
11152677 | Integration of self-biased magnetic circulators with microwave devices | QORVO US, INC. |
11152928 | Electronic circuit, electronic apparatus, and method | KABUSHIKI KAISHA TOSHIBA |
11153962 | Header for semiconductor device, and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11153967 | High-frequency module | MURATA MANUFACTURING CO., LTD. |
11153977 | Circuit carrier, package, and method for manufacturing a package | SIEMENS AKTIENGESELLSCHAFT |
11153985 | Modular hybrid circuit packaging | ROSEMOUNT INC. |
11155717 | Storage container storing liquid composition and method for storing liquid composition | FUJIFILM CORPORATION |
11155739 | Resin-impregnated boron nitride body and a method for producing a resin-impregnated boron nitride body | INFINEON TECHNOLOGIES AG |
11156408 | Heat sink with condensing fins and phase change material | UNITED ARAB EMIRATES UNIVERSITY |
11156638 | Contactors with signal pins, ground pins, and short ground pins | TEXAS INSTRUMENTS INCORPORATED |
11156677 | Semiconductor sensor structure | TDK-MICRONAS GMBH |
11157096 | Foldable flexible circuit board | DUS OPERATING, INC. |
11157674 | Transistor sizing for parameter obfuscation of analog circuits | DREXEL UNIVERSITY |
11157717 | Thermally conductive and protective coating for electronic device | NEXT BIOMETRICS GROUP ASA |
11158379 | Nonvolatile memory device, storage device, and operating method of nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11158444 | Magnetic material having coated ferromagnetic filler particles | INTEL CORPORATION |
11158448 | Packaging layer inductor | -- |
11158499 | Semiconductor component and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11158511 | Semiconductor device and power converter including a copper film with a small grain size stress relaxtion layer | MITSUBISHI ELECTRIC CORPORATION |
11158515 | Selective metal removal for conductive interconnects in integrated circuitry | INTEL CORPORATION |
11158518 | Methods of etching metals in semiconductor devices | -- |
11158519 | Method of forming capped metallized vias | CORNING INCORPORATED |
11158520 | Method to protect die during metal-embedded chip assembly (MECA) process | HRL LABORATORIES, LLC |
11158538 | Interconnect structures with cobalt-infused ruthenium liner and a cobalt cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158539 | Method and structure for barrier-less plug | -- |
11158550 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11158551 | Modular WLCSP die daisy chain design for multiple die sizes | DIALOG SEMICONDUCTOR (UK) LIMITED |
11158552 | Semiconductor device and method to manufacture the same | -- |
11158553 | Package and semiconductor device | NGK INSULATORS, LTD. |
11158554 | Shielded fan-out packaged semiconductor device and method of manufacturing | MICRON TECHNOLOGY, INC. |
11158555 | Package structure having sensor die with touch sensing electrode, and method of fabricating the same | -- |
11158556 | Electronic chip package having a support and a conductive layer on the support | STMICROELECTRONICS (TOURS) SAS |
11158557 | Semiconductor device with a passivation layer and method for producing thereof | INFINEON TECHNOLOGIES AG |
11158558 | Package with underfill containment barrier | INTEL CORPORATION |
11158560 | Thermal structures for dissipating heat and methods for manufacture thereof | -- |
11158561 | Memory device with low density thermal barrier | MICRON TECHNOLOGY, INC. |
11158562 | Conformal integrated circuit (IC) device package lid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158563 | Power semiconductor module and vehicle | FUJI ELECTRIC CO., LTD. |
11158564 | Apparatus, system, and method for dynamic compensation of heatsink-clamping mechanisms | JUNIPER NETWORKS, INC. |
11158565 | Compliant pin fin heat sink and methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158566 | Integrated circuit with a ring-shaped hot spot area and multidirectional cooling | GOOGLE LLC |
11158567 | Package with stacked power stage and integrated control die | TEXAS INSTRUMENTS INCORPORATED |
11158568 | Package with wall-side capacitors | INTEL CORPORATION |
11158569 | Semiconductor component and semiconductor package | INFINEON TECHNOLOGIES AUSTRIA AG |
11158570 | Semiconductor devices having electrostatic discharge layouts for reduced capacitance | MICRON TECHNOLOGY, INC. |
11158571 | Devices including conductive interconnect structures, related electronic systems, and related methods | MICRON TECHNOLOGY, INC. |
11158572 | Package structure including a first electronic device, a second electronic device and a plurality of dummy pillars | -- |
11158573 | Interconnect structures | INVENSAS BONDING TECHNOLOGIES, INC. |
11158574 | Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11158575 | Parasitic capacitance reduction in GaN-on-silicon devices | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11158576 | Package structure having redistribution layer structures | -- |
11158577 | Methods for fabricating microelectronic devices with contacts to conductive staircase steps, and related devices and systems | MICRON TECHNOLOGY, INC. |
11158578 | High density interconnect device and method | INTEL CORPORATION |
11158579 | Semiconductor package including a backside redistribution layer | SAMSUNG ELECTRONICS CO., LTD. |
11158580 | Semiconductor devices with backside power distribution network and frontside through silicon via | -- |
11158581 | Semiconductor package having semiconductor chip between first and second redistribution layers | SAMSUNG ELECTRONICS CO., LTD. |
11158582 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11158583 | Substrate with built-in component | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11158584 | Selective CVD alignment-mark topography assist for non-volatile memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158585 | Warpage compensating RF shield frame | INTEL IP CORPORATION |
11158586 | Semiconductor structure and manufacturing method thereof | -- |
11158587 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158588 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158589 | Semiconductor device and semiconductor package comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11158590 | Capacitor interposer layer (CIL) in a die-to-wafer three-dimensional (3D) integrated circuit (IC) (3DIC) | QUALCOMM INCORPORATED |
11158591 | Bond pad structure for bonding improvement | -- |
11158592 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
11158593 | Structures for bonding a group III-V device to a substrate by stacked conductive bumps | -- |
11158594 | Semiconductor packages having improved reliability in bonds between connection conductors and pads | SAMSUNG ELECTRONICS CO., LTD. |
11158595 | Embedded die package multichip module | TEXAS INSTRUMENTS INCORPORATED |
11158596 | Semiconductor device package comprising power module and passive elements | -- |
11158597 | Electronic device including through conductors in sealing body | RENESAS ELECTRONICS CORPORATION |
11158598 | Method to construct 3D devices and systems | MONOLITHIC 3D INC. |
11158599 | Method for manufacturing electronic device | SUMITOMO BAKELITE CO., LTD. |
11158600 | Lithography process for semiconductor packaging and structures resulting therefrom | -- |
11158601 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11158602 | Batch diffusion soldering and electronic devices produced by batch diffusion soldering | INFINEON TECHNOLOGIES AUSTRIA AG |
11158603 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11158604 | Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11158605 | Semiconductor packaging structure and method | -- |
11158606 | Molded direct bonded and interconnected stack | INVENSAS BONDING TECHNOLOGIES, INC. |
11158607 | Wafer reconstitution and die-stitching | APPLE INC. |
11158608 | Semiconductor package including offset stack of semiconductor dies between first and second redistribution structures, and manufacturing method therefor | -- |
11158609 | Three-dimensional integrated package device for high-voltage silicon carbide power module | XI'AN JIAOTONG UNIVERSITY |
11158614 | Thermal performance structure for semiconductor packages and method of forming same | -- |
11158615 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11158616 | Semiconductor package with first and second encapsulants | SAMSUNG ELECTRONICS CO., LTD. |
11158617 | Semiconductor device including a circuit for transmitting a signal | RENESAS ELECTRONICS CORPORATION |
11158618 | Light conversion device | -- |
11158619 | Redistribution layers in semiconductor packages and methods of forming same | -- |
11158621 | Double side mounted large MCM package with memory channel length reduction | APPLE INC. |
11158622 | Three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11158625 | Electrostatic protection device and manufacturing method thereof and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11158640 | Apparatus comprising compensation capacitors and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. |
11158649 | Semiconductor storage device with columnar body having impurity containing channel film | TOSHIBA MEMORY CORPORATION |
11158650 | Memory cell fabrication for 3D nand applications | APPLIED MATERIALS, INC. |
11158664 | Band-pass filter for stacked sensor | -- |
11158683 | Display device having an alignment structure | SAMSUNG DISPLAY CO., LTD. |
11158712 | Field-effect transistors with buried gates and methods of manufacturing the same | INTEL CORPORATION |
11158717 | Method for manufacturing thin-film transistor (TFT) substrate and TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158743 | Passivated and faceted for fin field effect transistor | -- |
11158772 | Lighting assembly and method for manufacturing a lighting assembly | LUMILEDS LLC |
11158773 | LED package | LUMENS CO., LTD. |
11158775 | Semiconductor device and method | -- |
11158776 | Lead frame and method of manufacturing light emitting device | NICHIA CORPORATION |
11159158 | Switch resistor networks | SKYWORKS SOLUTIONS, INC. |
11159164 | Integrated circuit and method of manufacturing the same | -- |
11159165 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11159166 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
11160160 | PCB for bare die mount and process therefore | -- |
11160163 | Electronic substrate having differential coaxial vias | TEXAS INSTRUMENTS INCORPORATED |
11160174 | Method of manufacturing multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11160192 | Apparatus, system, and method for increasing the cooling efficiency of cold plate devices | JUNIPER NETWORKS, INC. |
11160193 | Shrinking device for liquid cooling system and the liquid cooling system having the same | BEIJING DEEPCOOL INDUSTRIES CO., LTD. |
11160198 | Power electric device for a vehicle | MAHLE INTERNATIONAL GMBH |
11161146 | IC die, probe and ultrasound system | KONINKLIJKE PHILIPS N.V. |
11161737 | Method for forming hermetic seals in MEMS devices | ELBIT SYSTEMS OF AMERICA, LLC |
11161981 | One-component, storage-stable, UV-crosslinkable organosiloxane composition | ELANTAS BECK GMBH |
11161996 | Printed electronics | E2IP TECHNOLOGIES INC. |
11162745 | Heat radiating plate and method for producing same | DOWA METALTECH CO., LTD. |
11162777 | Wafer alignment mark scheme | -- |
11163933 | Layout method | -- |
11164497 | Display device | SAMSUNG DISPLAY CO., LTD. |
11164654 | Method for driving an electronic device including a semiconductor memory in a test mode | SK HYNIX INC. |
11164740 | Semiconductor structure having porous semiconductor layer for RF devices | NEWPORT FAB, LLC |
11164749 | Warpage reduction | XILINX, INC. |
11164752 | Method of etching a dielectric layer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11164754 | Fan-out packages and methods of forming the same | -- |
11164755 | Electronic package and method for fabricating the same | -- |
11164756 | Semiconductor device package having continously formed tapered protrusions | -- |
11164770 | Method for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11164773 | Method for forming semiconductor device structure with air gap | -- |
11164774 | Interconnects with spacer structure for forming air-gaps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164776 | Metallic interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164777 | Top via with damascene line and via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164778 | Barrier-free vertical interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164779 | Bamboo tall via interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164782 | Self-aligned gate contact compatible cross couple contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164784 | Open-drain transistor monitoring circuit in a multi-chip package to control power | MICRON TECHNOLOGY, INC. |
11164785 | Three-dimensional integrated circuits (3DICs) including upper-level transistors with epitaxial source and drain material | INTEL CORPORATION |
11164786 | Power reduction in finFET structures | -- |
11164801 | Electrically testable integrated circuit packaging | PSEMI CORPORATION |
11164802 | Wafer manufacturing method and multilayer device chip manufacturing method | DISCO CORPORATION |
11164803 | Unit with wiring board, module, and equipment | CANON KABUSHIKI KAISHA |
11164804 | Integrated circuit (IC) device package lid attach utilizing nano particle metallic paste | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164805 | Semiconductor package including non-conductive film between package substrate and semiconductor chip thereon | SAMSUNG ELECTRONICS CO., LTD. |
11164806 | Temperature calculation based on non-uniform leakage power | INTEL CORPORATION |
11164807 | Arrangement and thermal management of 3D stacked dies | ADVANCED MICRO DEVICES, INC. |
11164808 | Semiconductor devices and methods of manufacturing the same | -- |
11164809 | Integrated circuits and methods for forming integrated circuits | INTEL CORPORATION |
11164811 | 3D semiconductor device with isolation layers and oxide-to-oxide bonding | MONOLITHIC 3D INC. |
11164812 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11164813 | Transistor semiconductor die with increased active area | CREE, INC. |
11164814 | Package structure and method of manufacturing the same | -- |
11164815 | Bottom barrier free interconnects without voids | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164816 | Semiconductor device and method for fabricating the same | -- |
11164817 | Multi-chip package structures with discrete redistribution layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164818 | Inorganic-based embedded-die layers for modular semiconductive devices | INTEL CORPORATION |
11164819 | Semiconductor package and manufacturing method thereof | -- |
11164821 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11164822 | Structure of semiconductor device and method for bonding two substrates | -- |
11164823 | Semiconductor device with crack-detecting structure and method for fabricating the same | -- |
11164824 | Package structure and method of fabricating the same | -- |
11164825 | CoWos interposer with selectable/programmable capacitance arrays | -- |
11164826 | Packaged integrated circuit having stacked die and method for making | NXP USA, INC. |
11164827 | Substrate with gradiated dielectric for reducing impedance mismatch | INTEL CORPORATION |
11164828 | Amplifier | MITSUBISHI ELECTRIC CORPORATION |
11164829 | Method of forming contact holes in a fan out package | -- |
11164830 | Semiconductor chip and method of processing a semiconductor chip | INFINEON TECHNOLOGIES AG |
11164831 | Carrier-foil-attached ultra-thin copper foil | ILJIN MATERIALS CO., LTD. |
11164832 | Package with UBM and methods of forming | -- |
11164833 | Semiconductor device using wires and stacked semiconductor package | SK HYNIX INC. |
11164834 | Wafer structure and method for manufacturing the same, and chip structure | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11164835 | Semiconductor wafer and method of ball drop on thin wafer with edge support ring | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11164836 | Film scheme for bumping | -- |
11164837 | Semiconductor device packages with angled pillars for decreasing stress | MICRON TECHNOLOGY, INC. |
11164838 | Semiconductor package including plurality of semiconductor chips on common connection structure | SAMSUNG ELECTRONICS CO., LTD. |
11164839 | Package structure and method of manufacturing the same | -- |
11164840 | Chip interconnection structure, wafer interconnection structure and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11164841 | Semiconductor device | DENSO CORPORATION |
11164842 | Bonding apparatus and bonding system | TOKYO ELECTRON LIMITED |
11164843 | Substrate bonding apparatus | KIOXIA CORPORATION |
11164844 | Double etch stop layer to protect semiconductor device layers from wet chemical etch | -- |
11164845 | Resist structure for forming bumps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164846 | Semiconductor device manufacturing method and soldering support jig | FUJI ELECTRIC CO., LTD. |
11164848 | Semiconductor structure and method manufacturing the same | -- |
11164849 | Chip assembly and chip | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11164852 | Method of forming package structure | -- |
11164853 | Chip package and manufacturing method thereof | -- |
11164855 | Package structure with a heat dissipating element and method of manufacturing the same | -- |
11164856 | TSV check circuit with replica path | MICRON TECHNOLOGY, INC. |
11164857 | Semiconductor device packages, packaging methods, and packaged semiconductor devices | -- |
11164863 | Integrated circuit having vertical transistor and semiconductor device including the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11164886 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11164893 | Radio-frequency loss reduction for integrated devices | JUNIPER NETWORKS, INC. |
11164895 | Array substrate, method for manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11164900 | Image sensor chip-scale-package | OMNIVISION TECHNOLOGIES, INC. |
11164903 | Image sensor with pad structure | -- |
11164963 | Bipolar transistor and radio-frequency power amplifier module | MURATA MANUFACTURING CO., LTD. |
11164969 | Segmented power transistor | TEXAS INSTRUMENTS INCORPORATED |
11164970 | Contact field plate | -- |
11164973 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11165010 | Cold-welded flip chip interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11165136 | Flex integrated antenna array | QUALCOMM INCORPORATED |
11165137 | Antenna-integrated radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11165143 | Antenna module and electronic system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11165185 | Electrical connector heat sink with protective ramp | MOLEX, LLC |
11165211 | Device and method for producing a tested weld joint | SCHUNK SONOSYSTEMS GMBH |
11165341 | Noise reduction circuit and noise reduction element | MURATA MANUFACTURING CO., LTD. |
11165363 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11165509 | Method for co-packaging light engine chiplets on switch substrate | MARVELL ASIA PTE, LTD. |
11166351 | Solder reflow apparatus and method of manufacturing an electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11166368 | Printed circuit board and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11166379 | Integrated circuit package substrate | INTEL CORPORATION |
11166380 | Method of manufacture of a structure and structure | TACTOTEK OY |
11166381 | Solder-pinning metal pads for electronic components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11166382 | Connection structure including circuit body and conductive body | YAZAKI CORPORATION |
11166385 | Component carrier having a laser via and method of manufacturing | AT&S (CHINA) CO. LTD. |
11166399 | Overmolded electronic module with an integrated electromagnetic shield using SMT shield wall components | SKYWORKS SOLUTIONS, INC. |
11166642 | Measurement sensor package and measurement sensor | KYOCERA CORPORATION |
11167375 | Additive manufacturing processes and additively manufactured products | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11167391 | Fabrication of flexible conductive films, with semiconductive material, formed with rubbing-in technology for elastic or deformable devices | KING ABDULAZIZ UNIVERSITY |
11168216 | Resin material and laminate | SEKISUI CHEMICAL CO., LTD. |
11168234 | Enhanced adhesive materials and processes for 3D applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11168407 | Copper electrodeposition on cobalt lined features | LAM RESEARCH COMPORATION |
11169207 | Testing of semiconductor chips with microbumps | -- |
11169250 | Radar module incorporated with a pattern-shaping device | -- |
11170842 | Stacked semiconductor device | RAMBUS INC. |
11170926 | Isolated coupling structure | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11171015 | Multi-layered polysilicon and oxygen-doped polysilicon design for RF SOI trap-rich poly layer | -- |
11171016 | Semiconductor package and manufacturing process thereof | -- |
11171017 | Shutter disk | APPLIED MATERIALS, INC. |
11171018 | Method of fabricating semiconductor device and encapsulant | SAMSUNG ELECTRONICS CO., LTD. |
11171039 | Composite semiconductor substrate, semiconductor device and method for manufacturing the same | -- |
11171041 | Etch damage and ESL free dual damascene metal interconnect | -- |
11171043 | Plug and trench architectures for integrated circuits and methods of manufacture | INTEL CORPORATION |
11171044 | Planarization controllability for interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171046 | Methods for forming cobalt and ruthenium capping layers for interconnect structures | APPLIED MATERIALS, INC. |
11171049 | Semiconductor device and a method of forming the semiconductor device | INFINEON TECHNOLOGIES AG |
11171050 | Method for manufacturing a contact pad, method for manufacturing a semiconductor device using same, and semiconductor device | TOKYO ELECTRON LIMITED |
11171051 | Contacts and liners having multi-segmented protective caps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171052 | Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby | -- |
11171054 | Selective deposition with SAM for fully aligned via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171063 | Metalization repair in semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171064 | Metalization repair in semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171066 | Semiconductor panels, semiconductor packages, and methods for manufacturing thereof | INFINEON TECHNOLOGIES AG |
11171067 | Module having a sealing resin layer with radiating member filled depressions | MURATA MANUFACTURING CO., LTD. |
11171069 | Display module, manufacturing method thereof and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171070 | Component carrier with integrated thermally conductive cooling structures | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11171071 | Power module and fabrication method of the power module | ROHM CO., LTD. |
11171072 | Heat dissipation substrate and manufacturing method thereof | -- |
11171073 | Switching semiconductor device and cooling apparatus thereof | LG ELECTRONICS INC. |
11171074 | Heat sink board, manufacturing method thereof, and semiconductor package including the same | JMJ KOREA CO., LTD. |
11171075 | Stacked microfluidic cooled 3D electronic-photonic integrated circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11171076 | Compute-in-memory packages and methods forming the same | -- |
11171077 | Semiconductor device with lead frame that accommodates various die sizes | NXP USA, INC. |
11171078 | Semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11171079 | Semiconductor device and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11171080 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11171081 | Wiring substrate, semiconductor package and method of manufacturing wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11171082 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11171083 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171084 | Top via with next level line selective growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171085 | Semiconductor device structure with magnetic layer and method for forming the same | -- |
11171086 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171087 | Semiconductor structure and controlling method thereof | -- |
11171088 | Electronic apparatus including antennas and directors | -- |
11171089 | Line space, routing and patterning methodology | -- |
11171090 | Semiconductor device and method of manufacture | -- |
11171091 | Semiconductor device having contact plug connected to gate structure on PMOS region | -- |
11171092 | Component with dielectric layer for embedding in component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11171093 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11171094 | Hermetic fully-filled metallized through-hole vias | CORNING INCORPORATED |
11171095 | Active attack prevention for secure integrated circuits using latchup sensitive diode circuit | GLOBALFOUNDRIES U.S. INC. |
11171096 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11171097 | Bonded assembly containing metal-organic framework bonding dielectric and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11171098 | Package and manufacturing method thereof | -- |
11171099 | Single-shot encapsulation | SEMTECH CORPORATION |
11171100 | Semiconductor device structure with protected bump and method of forming the same | -- |
11171101 | Process for removing bond film from cavities in printed circuit boards | RAYTHEON COMPANY |
11171102 | Multilayer pillar for reduced stress interconnect and method of making same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171103 | Solder ball dimension management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171104 | IC chip package with dummy solder structure under corner, and related method | MARVELL ASIA PTE, LTD. |
11171105 | Semiconductor package and manufacturing method of the same | -- |
11171106 | Semiconductor package structure with circuit substrate and manufacturing method thereof | -- |
11171107 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11171108 | Semiconductor package and method for manufacturing the same | -- |
11171109 | Techniques for forming semiconductor device packages and related packages, intermediate products, and methods | MICRON TECHNOLOGY, INC. |
11171110 | Backside metalization with through-wafer-via processing to allow use of high q bondwire inductances | SKYWORKS SOLUTIONS, INC. |
11171111 | Integrated circuit device and electronic device | SYNAPTICS INCORPORATED |
11171112 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171113 | Semiconductor package structure having an annular frame with truncated corners | -- |
11171114 | Die stack with cascade and vertical connections | INTEL CORPORATION |
11171115 | Artificial intelligence processor with three-dimensional stacked memory | KEPLER COMPUTING INC. |
11171116 | Semiconductor devices and manufacturing methods of the same | SAMSUNG ELECTRONICS CO., LTD. |
11171117 | Interlayer connection of stacked microelectronic components | INVENSAS BONDING TECHNOLOGIES, INC. |
11171118 | Semiconductor assemblies including thermal circuits and methods of manufacturing the same | MICRON TECHNOLOGY, INC. |
11171119 | Semiconductor module including a semiconductor package connected to a module substrate and a bonding wire | SAMSUNG ELECTRONICS CO., LTD. |
11171120 | Integrated circuit package assembly | -- |
11171122 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11171126 | Configurable substrate and systems | OCTAVO SYSTEMS LLC |
11171127 | Semiconductor device and method of manufacturing semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11171128 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11171138 | Semiconductor arrangement and method of manufacture | -- |
11171142 | Integrated circuit with vertical structures on nodes of a grid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171150 | Three-dimensional memory device containing a channel connection strap and method for making the same | SANDISK TECHNOLOGIES LLC |
11171151 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11171154 | Vertical memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11171166 | Camera assembly and packaging method thereof, lens module, electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11171170 | Image sensor package with flexible printed circuits | SONY CORPORATION |
11171177 | Phase change memory devices with enhanced vias | INTEL CORPORATION |
11171194 | Display apparatus | SAMSUNG DISPLAY CO., LTD. |
11171216 | Semiconductor device and control system | KABUSHIKI KAISHA TOSHIBA |
11171222 | Semiconductor device and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11171229 | Low switching loss high performance power module | CREE, INC. |
11171234 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11171237 | Middle of line gate structures | GLOBALFOUNDRIES U.S. INC. |
11171240 | Recessed thin-channel thin-film transistor | INTEL CORPORATION |
11171266 | Shaped phosphor to reduce repeated reflections | LUMILEDS LLC |
11171273 | LED package with integrated features for gas or liquid cooling | LUMILEDS LLC |
11171290 | Cross linked surface coating and interfacial layer for a perovskite material photovoltaic device | HUNT PEROVSKITE TECHNOLOGIES, L.L.C. |
11171571 | Alternating current solid-state switch | GM GLOBAL TECHNOLOGY OPERATIONS LLC |
11172567 | Assembly method and device for circuit structural member and circuit structural member | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11172569 | Strip for an electronic device and manufacturing method thereof | -- |
11172576 | Method for producing a printed circuit board structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11172594 | Heat dissipation structure | -- |
11172597 | Mounting apparatus, for mounting at least one heat dissipating electrical device, optionally including a heat sink body for solid, gas and fluid heat exchange, and circuit board assembly providing interface between circuits | ALGOZEN CORPORATION |
11172599 | Electromagnetic-wave shielding sheet and electronic component-mounted substrate | TOYO INK SC HOLDINGS CO., LTD. |
11173567 | Bonding apparatus with rotating bonding stage | KAIJO CORPORATION |
11174157 | Semiconductor device packages and methods of manufacturing the same | -- |
11174394 | Surface treatment compositions and articles containing same | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11175100 | Heat sinks using memory shaping materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175219 | Throughput-scalable analytical system using single molecule analysis sensors | GENESENSE TECHNOLOGY INC. |
11175339 | IC analog boundary scan cell, digital cell, comparator, analog switches | TEXAS INSTRUMENTS INCORPORATED |
11175707 | Heat pipe with support post | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11176302 | System on chip (SoC) builder | NETSPEED SYSTEMS, INC. |
11176450 | Three dimensional circuit implementing machine trained network | XCELSIS CORPORATION |
11176970 | Routing for power signals including a redistribution layer | MICRON TECHNOLOGY, INC. |
11176971 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11176999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11177004 | Semiconductor memory device including page buffers | SK HYNIX INC. |
11177065 | Thermal paths for glass substrates | QUALCOMM INCORPORATED |
11177134 | Conductive pattern and method for manufacturing the same, thin film transistor, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177140 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11177141 | Method for packaging a chip | JWL (ZHEJIANG) SEMICONDUCTOR CO., LTD |
11177142 | Method for dicing integrated fan-out packages without seal rings | -- |
11177148 | Laser processing apparatus | DISCO CORPORATION |
11177149 | Wafer jig with identification mark | DISCO CORPORATION |
11177155 | Direct bond method providing thermal expansion matched devices | RAYTHEON COMPANY |
11177156 | Semiconductor package, manufacturing method of semiconductor device and semiconductor package | -- |
11177157 | Method for constructing micro-LED display module | LUMENS CO., LTD. |
11177159 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11177160 | Double patterned lithography using spacer assisted cuts for patterning steps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177161 | Semiconductor device, manufacturing method thereof, solid-state imaging device, and electronic apparatus with multi-layer interconnects | SONY CORPORATION |
11177162 | Trapezoidal interconnect at tight BEOL pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177163 | Top via structure with enlarged contact area with upper metallization level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177165 | Method of manufacturing a semiconductor device having redistribution layer including a dielectric layer made from a low-temperature cure polyimide | -- |
11177166 | Etch stop layer removal for capacitance reduction in damascene top via integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177167 | Ultrathin multilayer metal alloy liner for nano Cu interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177168 | Device and method for reducing contact resistance of a metal | -- |
11177171 | Encapsulated top via interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177172 | Semiconductor structure | -- |
11177173 | Semiconductor device with an interconnect structure and method for forming the same | -- |
11177175 | Microelectronic devices and methods for filling vias in microelectronic devices | MICRON TECHNOLOGY, INC. |
11177184 | Method of manufacturing a flip chip package and an apparatus for testing flip chips | SK HYNIX INC. |
11177185 | Semiconductor memory and method of manufacturing the semiconductor memory | TOSHIBA MEMORY CORPORATION |
11177186 | Bonded body and insulated circuit board | MITSUBISHI MATERIALS CORPORATION |
11177187 | Sensor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11177188 | Heat dissipation substrate for multi-chip package | -- |
11177189 | Module including heat dissipation structure | MURATA MANUFACTURING CO., LTD. |
11177190 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11177191 | Rivet spacer for compromising assembling between two parts secured to each other via screw structure | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
11177192 | Semiconductor device including heat dissipation structure and fabricating method of the same | -- |
11177193 | Reservoir structure and system forming gap for liquid thermal interface material | -- |
11177194 | Semiconductor device with interconnect structure and method for preparing the same | -- |
11177195 | Multi-lead adapter | TEXAS INSTRUMENTS INCORPORATED |
11177196 | Lead frame, semiconductor device, and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11177197 | Semiconductor package with solder standoff | TEXAS INSTRUMENTS INCORPORATED |
11177198 | Plurality of lead frames electrically connected to inductor chip | ROHM CO., LTD. |
11177199 | Semiconductor packages with external bump pads having trench portions and semiconductor modules including the semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11177200 | Pad design for reliability enhancement in packages | -- |
11177201 | Semiconductor packages including routing dies and methods of forming same | -- |
11177202 | Multilayer structure and method for fabricating the same | -- |
11177203 | Vertical and horizontal circuit assemblies | FAIRCHILD SEMICONDUCTOR CORPORATION |
11177204 | Power electronics package and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
11177205 | Semiconductor package having multi-level and multi-directional shape narrowing vias | SAMSUNG ELECTRONICS CO., LTD. |
11177206 | Double-sided flexible circuit board and layout structure thereof | -- |
11177207 | Compact transistor utilizing shield structure arrangement | NXP USA, INC. |
11177208 | Interconnect structures and methods of forming the same | -- |
11177209 | Semiconductor memory device and manufacturing method of the semiconductor memory device | SK HYNIX INC. |
11177210 | Integrated circuit with non-functional structures | NXP B.V. |
11177211 | Method of manufacturing via structures of semiconductor devices | -- |
11177212 | Contact formation method and related structure | -- |
11177213 | Embedded small via anti-fuse device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177214 | Interconnects with hybrid metal conductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177215 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11177216 | Nitride structures having low capacitance gate contacts integrated with copper damascene structures | RAYTHEON COMPANY |
11177217 | Direct bonded heterogeneous integration packaging structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177218 | Package including metallic bolstering pattern and manufacturing method of the package | -- |
11177219 | Photonic integrated circuit with integrated optical transceiver front-end circuitry for photonic devices and methods of fabricating the same | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11177220 | Vertical and lateral interconnects between dies | INTEL CORPORATION |
11177221 | Semiconductor device package and method of manufacturing the same | -- |
11177222 | Semiconductor packages and associated methods with antennas and EMI isolation shields | MICRON TECHNOLOGY, INC. |
11177223 | Electromagnetic interference shielding for packages and modules | QUALCOMM INCORPORATED |
11177224 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11177225 | Semiconductor device including physical unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177226 | Flexible shield for semiconductor devices | INTEL CORPORATION |
11177227 | Method and apparatus for heat sinking high frequency IC with absorbing material | ANOKIWAVE, INC. |
11177228 | Semiconductor device and bump formation process | -- |
11177229 | IC chip layout for minimizing thermal expansion misalignment | SYNAPTICS INCORPORATED |
11177230 | Electronic device including at least one row of bumps | -- |
11177231 | Bonding contacts having capping layer and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177232 | Circuit device with monolayer bonding between surface structures | INTEL CORPORATION |
11177233 | Solder pads of variable thickness in an optoelectronic semiconductor chip, on a connection substrate for mounting a semiconductor chip, method of producing an optoelectronic component, and optoelectronic component having the solder pads | OSRAM OLED GMBH |
11177234 | Package architecture with improved via drill process and method for forming such package | INTEL CORPORATION |
11177235 | Semiconductor device with improved signal transmission characteristics | RENESAS ELECTRONICS CORPORATION |
11177236 | Semiconductor device having case to which circuit board is bonded by bonding material and method of manafacturing thereof | FUJI ELECTRIC CO., LTD. |
11177237 | Manufacturing method of semiconductor package | -- |
11177241 | Semiconductor device with top die positioned to reduce die cracking | WESTERN DIGITAL TECHNOLOGIES, INC. |
11177242 | Semiconductor device including magnetic hold-down layer | WESTERN DIGITAL TECHNOLOGIES, INC. |
11177246 | Photo-sensitive silicon package embedding self-powered electronic system | TEXAS INSTRUMENTS INCORPORATED |
11177249 | Semiconductor memory device and method of manufacturing the same | SK HYNIX INC. |
11177250 | Method for fabrication of high density logic and memory for advanced circuit architecture | TOKYO ELECTRON LIMITED |
11177251 | Circuit overvoltage protection | TEXAS INSTRUMENTS INCORPORATED |
11177253 | Transistor with integrated capacitor | TEXAS INSTRUMENTS INCORPORATED |
11177271 | Device, a method used in forming a circuit structure, a method used in forming an array of elevationally-extending transistors and a circuit structure adjacent thereto | MICRON TECHNOLOGY, INC. |
11177272 | Three-dimensional memory device and method for manufacturing the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177273 | Nonvolatile memory device including row decoder | SAMSUNG ELECTRONICS CO., LTD. |
11177276 | Conductive structures, assemblies having vertically-stacked memory cells over conductive structures, and methods of forming conductive structures | MICRON TECHNOLOGY, INC. |
11177277 | Word line architecture for three dimensional NAND flash memory | SANDISK TECHNOLOGIES LLC |
11177282 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11177285 | Conductive contacts in semiconductor on insulator substrate | ELPIS TECHNOLOGIES INC. |
11177294 | Array substrate with via hole structures, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177300 | Solid-state image pickup apparatus, method of manufacturing solid-state image pickup apparatus, and electronic apparatus | SONY CORPORATION |
11177306 | Support structure for integrated circuitry | -- |
11177307 | Stacked semiconductor dies with a conductive feature passing through a passivation layer | -- |
11177308 | CMOS sensors and methods of forming the same | -- |
11177318 | Semiconductor package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11177320 | Variable resistance memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11177343 | Three-dimensional memory devices with backside isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177355 | Semiconductor structure and manufacturing method thereof | -- |
11177374 | Heterojunction bipolar transistor and method for forming the same | -- |
11177383 | Semiconductor device structure and method for forming the same | -- |
11177394 | Wide bandgap semiconductor switching device with wide area schottky junction, and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
11177434 | Chip package | -- |
11177437 | Alignment through topography on intermediate component for memory device patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177551 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
11177552 | Semiconductor device package and method for manufacturing the same | -- |
11177626 | CTE-tuned pyrolytic graphite (PG) substrate to minimize joining stress between laser diode and the substrate | LAWRENCE LIVEREMORE NATIONAL SECURITY, LLC |
11177628 | Assembly comprising an electric component | OSRAM OLED GMBH |
11177887 | Substrate with stepped profile for mounting transmitter optical subassemblies and an optical transmitter or transceiver implementing same | APPLIED OPTOELECTRONICS, INC. |
11178754 | Display device and method of manufacturing flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11178759 | Electronic component and camera module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11178765 | Electronic device | MURATA MANUFACTURING CO., LTD. |
11178771 | Integrating Josephson amplifiers or Josephson mixers into printed circuit boards | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11178772 | Component carrier connected with a separate tilted component carrier for short electric connection | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11178773 | Conductor trace structure reducing insertion loss of circuit board | -- |
11178786 | Method for manufacturing hermetic sealing lid member | HITACHI METALS, LTD. |
11179029 | Image sensor with tolerance optimizing interconnects | DEPUY SYNTHES PRODUCTS, INC. |
11179748 | Mounting structure, ultrasonic device, ultrasonic probe, ultrasonic apparatus, and electronic apparatus | SEIKO EPSON CORPORATION |
11179809 | Manufacturing method of glass substrate having holes, manufacturing method of interposer substrate, and method for forming hole in glass substrate | AGC INC. |
11180364 | Covering for a component and method for producing a covering for a component | SNAPTRACK, INC. |
11180373 | Nanocrystalline graphene and method of forming nanocrystalline graphene | SAMSUNG ELECTRONICS CO., LTD. |
11180861 | 3-dimensional NOR string arrays in segmented stacks | SUNRISE MEMORY CORPORATION |
11181124 | Compact cooling device with radial fan adhesively bonded to a heat sink | ELEKTROSIL GMBH |
11181323 | Heat-dissipating device with interfacial enhancements | QUALCOMM INCORPORATED |
11181566 | Detection circuit of electromagnetic fault injection and security chip | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11181589 | Semiconductor device | DENSO CORPORATION |
11181689 | Low temperature solder in a photonic device | CISCO TECHNOLOGY, INC. |
11181704 | Fabrication method of high aspect ratio solder bumping with stud bump and injection molded solder, and flip chip joining with the solder bump | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11181955 | Electronic device having thermal diffusion structure | SAMSUNG ELECTRONICS CO., LTD. |
11181959 | System for transmitting power to a remote PoE subsystem by forwarding PD input voltage | CLEARONE, INC. |
11182037 | Display device | SAMSUNG DISPLAY CO., LTD. |
11182526 | Methods for engineering integrated circuit design and development | EFABLESS CORPORATION |
11182529 | Semiconductor device including power-grid-adapted route-spacing and method for generating layout diagram of same | -- |
11182530 | Automatic routing system workflow | DIALOG SEMICONDUCTOR (UK) LIMITED |
11182532 | Hierarchical density uniformization for semiconductor feature surface planarization | -- |
11183226 | Data and clock synchronization and variation compensation apparatus and method | INTEL CORPORATION |
11183233 | Semiconductor device for selectively performing isolation function and layout displacement method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11183246 | Memory device | SK HYNIX INC. |
11183257 | Programmable memory | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11183381 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11183394 | Structure and method to expose memory cells with different sizes | -- |
11183399 | Semiconductor device and method of manufacture | -- |
11183401 | System and related techniques for handling aligned substrate pairs | SUSS MICROTEC LITHOGRAPHY GMBH |
11183413 | Methods related to preparation of a stencil to receive a plurality of IC units | ROKKO SYSTEMS PTE LTD |
11183414 | Secondary packaging method and secondary package of through silicon via chip | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11183419 | Unconfined buried interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183421 | Interconnection structure of metal lines, method of fabricating the same and semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11183422 | Semiconductor structure and method for manufacturing the same | -- |
11183424 | Barrier layer formation for conductive feature | -- |
11183436 | Power module package and packaging techniques | ALLEGRO MICROSYSTEMS, LLC |
11183437 | Circuit package | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11183438 | Compression-loaded printed circuit assembly for solder defect mitigation | GOOGLE LLC |
11183439 | Package structure for power device | -- |
11183440 | Power modules for ultra-fast wide-bandgap power switching devices | GAN SYSTEMS INC. |
11183441 | Stress buffer layer in embedded package | TEXAS INSTRUMENTS INCORPORATED |
11183442 | Manufacturing method of heat dissipation component | -- |
11183443 | Semiconductor structure and method for manufacturing the same | -- |
11183444 | Packaging of a semiconductor device with a plurality of leads | ROHM CO., LTD. |
11183445 | Semiconductor arrangement, laminated semiconductor arrangement and method for fabricating a semiconductor arrangement | INFINEON TECHNOLOGIES AG |
11183446 | X.5 layer substrate | QUALCOMM INCORPORATED |
11183447 | Flip-chip package substrate and method for fabricating the same | -- |
11183448 | Wiring circuit board and imaging device | NITTO DENKO CORPORATION |
11183449 | Cryogenic integrated circuits | -- |
11183450 | Electronic device having inverted lead pins | TEXAS INSTRUMENTS INCORPORATED |
11183451 | Interconnect clip with angled contact surface and raised bridge | INFINEON TECHNOLOGIES AG |
11183452 | Transfering informations across a high voltage gap using capacitive coupling with DTI integrated in silicon technology | INFINEON TECHNOLOGIES AUSTRIA AG |
11183453 | Electronic-component-embedded substrate having a wiring line with a roughened surface, electronic component device, and communication module | MURATA MANUFACTURING CO., LTD. |
11183454 | Functional component within interconnect structure of semiconductor device and method of forming same | -- |
11183455 | Interconnects with enlarged contact area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183456 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11183457 | Semiconductor device, power converter, method for manufacturing semiconductor device, and method for manufacturing power converter | MITSUBISHI ELECTRIC CORPORATION |
11183458 | Integrated circuit packaging structure and method | SHENZHEN XIUYUAN ELECTRONIC TECHNOLOGY CO., LTD |
11183459 | Fabric-based items with electrical component arrays | APPLE INC. |
11183460 | Embedded die packaging with integrated ceramic substrate | TEXAS INSTRUMENTS INCORPORATED |
11183461 | Semiconductor structure and manufacturing method thereof | -- |
11183462 | Substrate having electronic component embedded therein | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11183463 | Chip package method and chip package structure | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11183464 | Package substrate processing method and protective tape | DISCO CORPORATION |
11183465 | Radio-frequency module | MURATA MANUFACTURING CO., LTD. |
11183466 | Semiconductor package including an electromagnetic shield and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11183467 | Flexible circuit board, method for mounting the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183468 | Chip protected against back-face attacks | STMICROELECTRONICS (CROLLES 2) SAS |
11183469 | Semiconductor device | KIOXIA CORPORATION |
11183470 | Semiconductor package including decoupling capacitor | SK HYNIX INC. |
11183471 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11183472 | Semiconductor device and manufacturing method of semiconductor device for improving solder connection strength | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183473 | Integrated circuit structure having dies with connectors of different sizes | -- |
11183474 | Electronic device package and method for manufacturing the same | -- |
11183475 | Semiconductor structure | -- |
11183476 | Silicon carbide semiconductor device, silicon carbide semiconductor assembly, and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11183477 | Mixed hybrid bonding structures and methods of forming the same | INTEL CORPORATION |
11183478 | Apparatus and method for transferring semiconductor devices from a substrate and stacking semiconductor devices on each other | ROHINNI, LLC |
11183479 | Semiconductor device, method for manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11183480 | Semiconductor device | DENSO CORPORATION |
11183482 | Shift control method in manufacture of semiconductor device | -- |
11183483 | Multichip module and electronic device | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11183484 | Semiconductor module, DIMM module, manufacturing method of semiconductor module, and manufacturing method of DIMM module | ULTRAMEMORY INC. |
11183485 | Semiconductor module | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11183487 | Integrated circuit package and method | -- |
11183488 | Three-dimensional memory devices with stacked device chips using interposers | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183489 | Power electronics module | AUDI AG |
11183490 | Multi-layer power converter with devices having reduced lateral current | PSEMI CORPORATION |
11183493 | Semiconductor device using EMC wafer support system and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11183498 | Semiconductor memory device having an electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11183502 | Memory cell and Method for reading out data therefrom | -- |
11183504 | Structures for testing nanoscale devices including ferroelectric capacitors and methods for forming the same | -- |
11183509 | Non-volatile memory with silicided bit line contacts | CYPRESS SEMICONDUCTOR CORPORATION |
11183513 | Semiconductor device and method for fabricating the same | -- |
11183517 | Display panel including external conductive pad, display apparatus including the same and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11183521 | Display device having an embedded shielding layer flexible substrate | SAMSUNG DISPLAY CO., LTD. |
11183540 | Imaging element, method of manufacturing imaging element, and imaging device | SONY CORPORATION |
11183577 | Formation of air gap spacers for reducing parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183588 | Semiconductor device and inverter | MITSUBISHI ELECTRIC CORPORATION |
11183621 | Component having a buffer layer and method for producing a component | OSRAM OLED GMBH |
11183949 | Power conversion device with a coolant passage | DENSO CORPORATION |
11184973 | Printed circuit board including auxiliary power supply and electronic apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11184983 | Embedding known-good component between known-good component carrier blocks with late formed electric connection structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11184991 | Break out module system | MOLEX, LLC |
11186060 | Methods of continuous fabrication of holes in flexible substrate sheets and products relating to the same | CORNING INCORPORATED |
11186742 | Sealing resin composition, electronic component device, and method of manufacturing electronic component device | SHOWA DENKO MATERIALS CO., LTD. |
11187468 | Loop heat pipe wick, loop heat pipe, cooling device, and electronic device, and method for manufacturing porous rubber and method for manufacturing loop heat pipe wick | RICOH COMPANY, LTD. |
11187742 | Display panel and method for testing for occurrence of crack in display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11187926 | Binding device, display panel, binding system and operating method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11188133 | System for transmitting power to a remote PoE subsystem by forwarding PD input voltage | CLEARONE, INC. |
11188237 | Anti-hacking mechanisms for flash memory device | SILICON STORAGE TECHNOLOGY, INC. |
11188701 | Stacked chip layout | -- |
11189207 | Chip-on-film and display including the same | LG DISPLAY CO., LTD. |
11189338 | Multi-rank high bandwidth memory (HBM) memory | XILINX, INC. |
11189340 | Circuit in memory device for parasitic resistance reduction | -- |
11189409 | Electronic substrates having embedded dielectric magnetic material to form inductors | INTEL CORPORATION |
11189466 | High voltage switching circuit | RENO TECHNOLOGIES, INC. |
11189479 | Diffusion barrier layer | APPLIED MATERIALS, INC. |
11189485 | Steam oxidation initiation for high aspect ratio conformal radical oxidation | APPLIED MATERIALS, INC. |
11189500 | Method of manufacturing a component carrier with an embedded cluster and the component carrier | AT&S (CHONGQING) COMPANY LIMITED |
11189501 | Chip package structure and manufacturing method thereof | -- |
11189507 | Chip packaging apparatus and method thereof | CAPCON LIMITED |
11189515 | Method for alignment, process tool and method for wafer-level alignment | -- |
11189523 | Semiconductor structure and fabrication method thereof | -- |
11189524 | Semiconductor arrangement and method of making | -- |
11189525 | Via-first process for connecting a contact and a gate electrode | -- |
11189526 | Apparatus comprising staircase structures | MICRON TECHNOLOGY, INC. |
11189527 | Self-aligned top vias over metal lines formed by a damascene process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189528 | Subtractive RIE interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189530 | Manufacturing method of chips | DISCO CORPORATION |
11189534 | Semiconductor assembly and deterioration detection method | FUJI ELECTRIC CO., LTD. |
11189535 | Semiconductor chip including chip pad, redistribution wiring test pad, and redistribution wiring connection pad | SAMSUNG ELECTRONICS CO., LTD. |
11189537 | Circuit package, an electronic circuit package, and methods for encapsulating an electronic circuit | INFINEON TECHNOLOGIES AG |
11189538 | Semiconductor structure with polyimide packaging and manufacturing method | -- |
11189539 | Apparatus having a functional structure delimited by a frame structure and method for producing same | INFINEON TECHNOLOGIES AG |
11189540 | Arrangement and thermal management of 3D stacked dies | ADVANCED MICRO DEVICES, INC. |
11189541 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11189542 | Method for fabricating an electronic module via compression molding | INFINEON TECHNOLOGIES AUSTRIA AG |
11189543 | Board assembly with chemical vapor deposition diamond (CVDD) windows for thermal transport | MICROCHIP TECHNOLOGY CALDICOT LIMITED |
11189544 | Plurality of cooling tubes with coolant for a power conversion package | DENSO CORPORATION |
11189545 | Semiconductor device and method for manufacturing the same | -- |
11189546 | Semiconductor arrangement and method for making | -- |
11189547 | Semiconductor module and semiconductor module manufacturing method | FUJI ELECTRIC CO., LTD. |
11189548 | Pre-encapsulated lead frames for microelectronic device packages, and associated methods | MICRON TECHNOLOGY, INC. |
11189549 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189550 | Low-cost semiconductor package using conductive metal structure | JMJ KOREA CO., LTD. |
11189551 | Semiconductor device | TDK CORPORATION |
11189552 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11189553 | Wiring substrate, semiconductor package having the wiring substrate, and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11189554 | Semiconductor device | NUFLARE TECHNOLOGY, INC. |
11189555 | Chip packaging with multilayer conductive circuit | -- |
11189556 | Manufacturing of a power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11189557 | Hybrid package | NXP USA, INC. |
11189558 | Process to yield ultra-large integrated circuits and associated integrated circuits | RAYTHEON COMPANY |
11189559 | Semiconductor device and fabrication method thereof | -- |
11189560 | Semiconductor device comprising etch stop layer over dielectric layer and method of manufacture | -- |
11189561 | Placing top vias at line ends by selective growth of via mask from line cut dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189562 | Interconnection structure having increased conductive features and method of manufacturing the same | -- |
11189563 | Semiconductor structure and manufacturing method thereof | -- |
11189564 | Metal-oxide-semiconductor field-effect-transistors (MOSFET) as antifuse elements | INTEL CORPORATION |
11189565 | Semiconductor device with programmable anti-fuse feature and method for fabricating the same | -- |
11189566 | Tight pitch via structures enabled by orthogonal and non-orthogonal merged vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189567 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11189568 | Top via interconnect having a line with a reduced bottom dimension | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189569 | Power grid layout designs for integrated circuits | ADVANCED MICRO DEVICES, INC. |
11189570 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11189571 | Electronic circuit device and method of manufacturing electronic circuit device | RISING TECHNOLOGIES CO., LTD. |
11189572 | Maintaining height of alignment key in semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11189573 | Semiconductor package with electromagnetic interference shielding using metal layers and vias | INTEL CORPORATION |
11189574 | Microelectronic package having electromagnetic interference shielding | INTEL CORPORATION |
11189575 | Specialized surface mount device for symmetric heat distribution in package | QUALCOMM INCORPORATED |
11189576 | Semiconductor device package and a method of manufacturing the same | -- |
11189577 | Semiconductor structure | -- |
11189578 | Electronic chip | STMICROELECTRONICS (ROUSSET) SAS |
11189579 | Semiconductor module and semiconductor device using the same | FUJI ELECTRIC CO., LTD. |
11189580 | Electrostatic discharge protection in integrated circuits | INTEL CORPORATION |
11189581 | Electronic device including semiconductor package including package ball | SAMSUNG ELECTRONICS CO., LTD. |
11189582 | Wire bond pad design for compact stacked-die package | WESTERN DIGITAL TECHNOLOGIES INC. |
11189583 | Semiconductor structure and manufacturing method thereof | -- |
11189584 | Driving chip including bonding pads in non-display area and display panel | WUHAN CHINA STAR OPTOELEETRONIES TECHNOLOGY CO., LTD. |
11189585 | Selective recess of interconnects for probing hybrid bond devices | INTEL CORPORATION |
11189586 | Semiconductor device and fabrication method of the semiconductor device | ROHM CO., LTD. |
11189587 | Semiconductor device package with organic reinforcement structure | -- |
11189588 | Anisotropic conductive film with carbon-based conductive regions and related semiconductor assemblies, systems, and methods | MICRON TECHNOLOGY, INC. |
11189589 | Semiconductor structure with raised implanted region and manufacturing method thereof | -- |
11189590 | Processes for adjusting dimensions of dielectric bond line materials and related films, articles and assemblies | MICRON TECHNOLOGY, INC. |
11189591 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11189592 | Multi-clip structure for die bonding | INFINEON TECHNOLOGIES AUSTRIA AG |
11189593 | Integrated device package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11189594 | Bonding apparatus and bonding method | SHINKAWA LTD. |
11189595 | Package-on-package assembly with wire bond vias | INVENSAS CORPORATION |
11189596 | Methods of forming multi-chip wafer level packages | -- |
11189597 | Chip on film package | -- |
11189598 | Semiconductor device and method of forming embedded die substrate, and system-in-package modules with the same | STATS CHIPPAC PTE. LTD. |
11189599 | System formed through package-in-package formation | -- |
11189600 | Method of forming sacrificial self-aligned features for assisting die-to-die and die-to-wafer direct bonding | SAMSUNG ELECTRONICS CO., LTD. |
11189603 | Semiconductor packages and methods of forming same | -- |
11189604 | Device assembly structure and method of manufacturing the same | -- |
11189608 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11189609 | Methods for reducing heat transfer in semiconductor assemblies, and associated systems and devices | MICRON TECHNOLOGY, INC. |
11189610 | Substrate structure and manufacturing process | -- |
11189612 | Semiconductor device for downsizing and reducing resistance and inductance | ROHM CO., LTD. |
11189630 | Memory device and electronic device including insulating patterns with different thermal conductivities | SK HYNIX INC. |
11189631 | Three-dimensional flash memory device including channel structures having enlarged portions | SAMSUNG ELECTRONICS CO., LTD. |
11189632 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189634 | Non-volatile memory device including vertical pass transistors having a greater width in an area between a gate and a word line than a width of a channel structure in an area between a ground select line and the word line | SAMSUNG ELECTRONICS CO., LTD. |
11189636 | Vertical memory device with a channel layer in a stacked dielectric layer | SAMSUNG ELECTRONICS CO., LTD. |
11189637 | Three-dimensional memory array including self-aligned dielectric pillar structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11189638 | Semiconductor memory device including three-dimensionally stacked memory cells | KIOXIA CORPORATION |
11189640 | Integrated circuit including asymmetric ending cells and system-on-chip including the same | SAMSUNG ELECTRONICS CO., LTD. |
11189648 | Array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11189662 | Memory cell stack and via formation for a memory device | MICRON TECHNOLOGY, INC. |
11189686 | Integrated device coupled to a capacitor structure comprising a trench capacitor | QUALCOMM INCORPORATED |
11189755 | Light emitting diode having side reflection layer | SEOUL VIOSYS CO., LTD. |
11189770 | LS grid core LED connector system and manufacturing method | -- |
11189905 | Integrated antenna array packaging structures and methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189990 | Semiconductor laser component and method of producing a semiconductor laser component | OSRAM OLED GMBH |
11190145 | Power amplifier with integrated bias circuit having multi-point input | NXP USA, INC. |
11190182 | Control circuitry for silicon-on-insulator chip | SKYWORKS SOLUTIONS, INC. |
11190460 | System-in-package network processors | INTEL CORPORATION |
11191164 | Wiring structure and method of manufacturing the same, semiconductor device, multilayer wiring structure and method of manufacturing the same, semiconductor element mounting substrate, method of forming pattern structure, imprint mold and method of manufacturing the same, imprint mold set, and method of manufacturing multilayer wiring board | DAI NIPPON PRINTING CO., LTD. |
11191168 | Method of manufacturing composite circuit board and composite circuit board | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
11191169 | Method and apparatus for flexible circuit cable attachment | JABIL INC. |
11191187 | Electronic assembly with phase-change material for thermal performance | DEERE & COMPANY |
11191192 | Electric power conversion apparatus | DENSO CORPORATION |
11191198 | Shield package | TATSUTA ELECTRIC WIRE & CABLE CO., LTD. |
11191445 | Reflector markers and systems and methods for identifying and locating them | CIANNA MEDICAL, INC. |
11192209 | Laser pretreatment of metal substrates for electrical circuit boards | AB MIKROELEKTRONIK GMBH |
11192777 | MEMS sensor package systems and methods | INFINEON TECHNOLOGIES AG |
11193015 | Thermosetting resin composition for semiconductor package and prepreg using the same | LG CHEM, LTD. |
11193047 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11193953 | 3D chip testing through micro-C4 interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11193957 | Shunt resistor averaging techniques | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11194180 | Optical adjustable filter sub-assembly | IL-VI DELAWARE, INC. |
11194199 | Method of manufacturing array substrate, array substrate, and LCD panel | TCL CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD |
11194944 | False path timing exception handler circuit | TEXAS INSTRUMENTS INCORPORATED |
11194990 | Fingerprint sensor device and method | -- |
11195088 | Data processing device using neural network, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195269 | Exposed pad integrated circuit package | TEXAS INSTRUMENTS INCORPORATED |
11195470 | Display device | -- |
11195572 | Multi-die memory device | RAMBUS INC. |
11195587 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11195648 | Electronic appliance and power conversion apparatus | FUJI ELECTRIC CO., LTD. |
11195698 | RF impedance matching circuit and systems and methods incorporating same | RENO TECHNOLOGIES, INC. |
11195725 | Dry etch process landing on metal oxide etch stop layer over metal layer and structure formed thereby | TEXAS INSTRUMENTS INCORPORATED |
11195726 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11195727 | High density organic interconnect structures | INTEL CORPORATION |
11195728 | Temporary protective film for semiconductor sealing molding | SHOWA DENKO MATERIALS CO., LTD. |
11195740 | Methods and apparatus for wafer handling and processing | MICRON TECHNOLOGY, INC. |
11195741 | Micro device arrangement in donor substrate | VUEREAL INC. |
11195748 | Interconnect structures and methods for forming same | INVENSAS CORPORATION |
11195749 | Semiconductor device and manufacturing method | FUJI ELECTRIC CO., LTD. |
11195750 | Etch profile control of interconnect structures | -- |
11195751 | Bilayer barrier for interconnect and memory structures formed in the BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195752 | Semiconductor device and method of forming same | -- |
11195753 | Tiered-profile contact for semiconductor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195755 | Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195761 | IC structure with short channel gate structure having shorter gate height than long channel gate structure | GLOBALFOUNDRIES U.S. INC. |
11195768 | Through electrode substrate, manufacturing method thereof and mounting substrate | DAI NIPPON PRINTING CO., LTD. |
11195769 | Thermosetting composition for use as underfill material, and semiconductor device | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11195770 | Method of manufacturing semiconductor device, semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11195771 | Substrate structure of semiconductor device package and method of manufacturing the same | -- |
11195772 | CMOS based devices for harsh media | MELEXIS TECHNOLOGIES NV |
11195773 | Flip chip assembly of quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195774 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11195775 | Semiconductor module, semiconductor device, and manufacturing method of semiconductor module | FUJI ELECTRIC CO., LTD. |
11195776 | Power module substrate and power module | KYOCERA CORPORATION |
11195777 | Semiconductor module and method of evaluating semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
11195778 | Electronic power module | AUDI AG |
11195779 | Electronic module for motherboard | RAYTHEON COMPANY |
11195780 | Stacked silicon package assembly having thermal management using phase change material | XILINX, INC. |
11195781 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11195782 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
11195783 | Semiconductor device | ROHM CO., LTD. |
11195784 | Semiconductor device sub-assembly | DYNEX SEMICONDUCTOR LIMITED |
11195785 | Interposer with through electrode having a wiring protection layer | SAMSUNG ELECTRONICS CO., LTD. |
11195786 | Ball grid array substrate | WESTERN DIGITAL TECHNOLOGIES, INC. |
11195787 | Semiconductor device including an antenna | INFINEON TECHNOLOGIES AG |
11195788 | Hybrid dielectric scheme in packages | -- |
11195789 | Integrated circuit module with a structurally balanced package using a bottom side interposer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195790 | Fan-out semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11195791 | Method for forming semiconductor contact structure | -- |
11195792 | Top via stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195793 | Metal filling in a dielectric layer under metal layer one (M1)and above an active device layer in semiconductor devices | QUALCOMM INCORPORATED |
11195794 | Stacked integrated circuit devices including a routing wire | SAMSUNG ELECTRONICS CO., LTD. |
11195795 | Well-controlled edge-to-edge spacing between adjacent interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195796 | Semiconductor device structure and method for forming the same | -- |
11195797 | Applications of buried power rails | SAMSUNG ELECTRONICS CO., LTD. |
11195798 | Tungsten alloys in semiconductor devices | INTEL CORPORATION |
11195799 | Hybrid readout package for quantum multichip bonding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195800 | Electronic device module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11195801 | Embedded reference layers for semiconductor package substrates | INTEL CORPORATION |
11195802 | Semiconductor package including shielding plate in redistribution structure, semiconductor package including conductive via in redistribution structure, and manufacturing method thereof | -- |
11195803 | Semiconductor element, semiconductor device, power conversion device, and method of manufacturing semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11195804 | Semiconductor structure | -- |
11195805 | Capacitor die embedded in package substrate for providing capacitance to surface mounted die | INTEL CORPORATION |
11195806 | High frequency waveguide structure | INTEL CORPORATION |
11195807 | Semiconductor device, high-frequency power amplifier, and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11195808 | Electronic package having antenna function and fabrication method thereof | -- |
11195809 | Semiconductor package having a sidewall connection | STMICROELECTRONICS LTD |
11195810 | Bonding structure and method of forming same | -- |
11195811 | Dielectric and metallic nanowire bond layers | TEXAS INSTRUMENTS INCORPORATED |
11195812 | Method for fabricating an encapsulated electronic package using a supporting plate | -- |
11195813 | Anisotropic conductive film and production method of the same | DEXERIALS CORPORATION |
11195814 | Semiconductor device having second connector that overlaps a part of first connector | KABUSHIKI KAISHA TOSHIBA |
11195815 | Semiconductor device and manufacturing method thereof with Cu and Sn intermetallic compound | DENSO CORPORATION |
11195816 | Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same | -- |
11195817 | Semiconductor package and manufacturing method thereof | -- |
11195818 | Backside contact for thermal displacement in a multi-wafer stacked integrated circuit | -- |
11195819 | Semiconductor device | LONGITUDE LICENSING LIMITED |
11195820 | Semiconductor device including fractured semiconductor dies | SANDISK TECHNOLOGIES LLC |
11195823 | Semiconductor package and manufacturing method thereof | -- |
11195830 | Memory devices | MICRON TECHNOLOGY, INC. |
11195837 | Semiconductor devices including support patterns | SAMSUNG ELECTRONICS CO., LTD. |
11195846 | Staircase structures for three-dimensional memory device double-sided routing | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11195849 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11195851 | Semiconductor memory device | SK HYNIX INC. |
11195852 | Semiconductor memory device having wiring line structure | SK HYNIX INC. |
11195853 | Contact structures having conductive portions in substrate in three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11195855 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11195870 | Semiconductor apparatus and device | CANON KABUSHIKI KAISHA |
11195876 | Solid state transducer devices, including devices having integrated electrostatic discharge protection, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11195898 | Organic light emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
11195904 | High-frequency transistor | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11195920 | Semiconductor structure having porous semiconductor segment for RF devices and bulk semiconductor region for non-RF devices | NEWPORT FAB, LLC |
11195930 | Semiconductor devices with backside power rail and methods of fabrication thereof | -- |
11195932 | Ferroelectric gate dielectrics in integrated circuits | INTEL CORPORATION |
11195939 | Common-emitter and common-base heterojunction bipolar transistor | WAYTHON INTELLIGENT TECHNOLOGIES SUZHOU CO., LTD |
11195971 | Glass wiring substrate, method of producing the same, part-mounted glass wiring substrate, method of producing the same, and display apparatus substrate | SONY CORPORATION |
11195997 | Variable resistance memory devices including self-heating layer and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11196019 | Display device and method of fabricating the same | SAMSUNG DISPLAY CO., LTD. |
11196142 | Millimeter wave antenna and EMI shielding integrated with fan-out package | MICRON TECHNOLOGY, INC. |
11196165 | Low z-height, ultra-low dielectric constant air cavity based and multi-core/highly asymmetric antenna substrate architectures for electrical performance improvements in 5G mm-wave applications | INTEL CORPORATION |
11196243 | Pin-short detection circuits | TEXAS INSTRUMENTS INCORPORATED |
11196394 | Power amplifier module | MURATA MANUFACTURING CO., LTD. |
11197367 | Component carrier comprising a double layer structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11197377 | Flexible circuit board and method for producing same | STEMCO CO., LTD. |
11197398 | Installation structure and installation method of plug-in switch tube | SHENZHEN VMAX NEW ENERGY CO., LTD. |
11198807 | Thermal interface materials with radiative coupling heat transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11199776 | Resin composition | TORAY INDUSTRIES, INC. |
11199866 | Voltage regulator with power rail tracking | -- |
11200952 | Non-volatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11201007 | Modulated inductance module | -- |
11201049 | Thiourea organic compound for gallium arsenide based optoelectronics surface passivation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201057 | Techniques and apparatus for anisotropic stress compensation in substrates using ion implantation | APPLIED MATERIALS, INC. |
11201066 | Control of under-fill using a dam on a packaging substrate for a dual-sided ball grid array package | SKYWORKS SOLUTIONS, INC. |
11201069 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201095 | Chip package having a cover with window | XILINX, INC. |
11201096 | Packaged device with die wrapped by a substrate | TEXAS INSTRUMENTS INCORPORATED |
11201097 | Method of manufacture of a semiconductor device | -- |
11201098 | Semiconductor module having a base plate with a concave curvature | SIEMENS AKTIENGESELLSCHAFT |
11201099 | Semiconductor device and method of manufacturing the same | DENSO CORPORATION |
11201100 | Solid-state storage device | -- |
11201101 | Electronic component | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11201102 | Module lid with embedded two-phase cooling and insulating layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201103 | Vapor chamber on heat-generating component | MICROSOFT TECHNOLOGY LICENSING, LLC |
11201104 | Thermal management using variation of thermal resistance of thermal interface | ADVANCED MICRO DEVICES, INC. |
11201105 | Semiconductor package having a spacer with a junction cooling pipe | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11201106 | Semiconductor device with conductors embedded in a substrate | -- |
11201107 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11201108 | Semiconductor package mounted substrate | SAMSUNG ELECTRONICS CO., LTD. |
11201109 | Hermetic metallized via with improved reliability | CORNING INCORPORATED |
11201110 | Semiconductor device package with conductive pillars and method for manufacturing the same | -- |
11201111 | Three-dimensional memory device containing structures for enhancing gate-induced drain leakage current and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11201112 | Fully-aligned skip-vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201113 | Integrated passive coupler and method | NXP B.V. |
11201114 | Methods of forming thin film resistor structures utilizing interconnect liner materials | INTEL CORPORATION |
11201115 | Semiconductor device | -- |
11201116 | Semiconductor device having inter-metal dielectric patterns and method for fabricating the same | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11201118 | Chip package and method of forming the same | -- |
11201119 | RF functionality and electromagnetic radiation shielding in a component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11201120 | Display having an amorphous silicon light shield below a thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11201121 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11201122 | Method of fabricating semiconductor device with reduced warpage and better trench filling performance | -- |
11201123 | Substrate structure and manufacturing method thereof | -- |
11201124 | Semiconductor devices, semiconductor wafers, and methods of manufacturing the same | OMNIVISION TECHNOLOGIES, INC. |
11201125 | Semiconductor package and semiconductor process | -- |
11201126 | Method of producing a substrate and system for producing a substrate | DISCO CORPORATION |
11201127 | Device comprising contact to contact coupling of packages | QUALCOMM INCORPORATED |
11201128 | Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (BBUL) packages | INTEL CORPORATION |
11201129 | Designs and methods for conductive bumps | INTEL CORPORATION |
11201130 | Semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11201131 | Light emitting module | NICHIA CORPORATION |
11201132 | Method for setting conditions for heating semiconductor chip during bonding, method for measuring viscosity of non-conductive film, and bonding apparatus | SHINKAWA LTD. |
11201133 | Bonding apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11201134 | Method of manufacturing semiconductor device | -- |
11201135 | Three dimensional integrated circuits stacking approach | -- |
11201136 | High bandwidth module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201137 | Systems and methods for powering an integrated circuit having multiple interconnected die | CEREBRAS SYSTEMS INC. |
11201138 | Wafer level integration including design/co-design, structure process, equipment stress management and thermal management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201139 | Semiconductor structure containing reentrant shaped bonding pads and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11201140 | Semiconductor packages including stacked sub-packages with interposing bridges | SK HYNIX INC. |
11201142 | Semiconductor package, package on package structure and method of froming package on package structure | -- |
11201143 | Semiconductor device with a protruding base member | HITACHI ASTEMO, LTD. |
11201146 | Semiconductor device structures | -- |
11201148 | Architecture for monolithic 3D integration of semiconductor devices | TOKYO ELECTRON LIMITED |
11201149 | Semiconductor devices | SK HYNIX INC. |
11201150 | System on chip | SAMSUNG ELECTRONICS CO., LTD. |
11201159 | SRAM structure and connection | -- |
11201161 | Efuse memory cell, eFuse memory array and using method thereof, and eFuse system | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201165 | Memory having memory cell string and coupling components | MICRON TECHNOLOGY, INC. |
11201170 | Three-dimensional semiconductor memory device and manufacturing method of the three-dimensional semiconductor memory device | SK HYNIX INC. |
11201173 | Array substrate, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11201185 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11201190 | RRAM memory cell with multiple filaments | -- |
11201199 | Chip on film package including a protection layer and display device including the chip on film package | SAMSUNG DISPLAY CO., LTD. |
11201205 | Interconnect layout for semiconductor device | -- |
11201206 | Semiconductor device including metal insulator metal capacitor | -- |
11201212 | MOSFET with ultra low drain leakage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201219 | Integrated circuit device and method of manufacturing integrated circuit device | TOSHIBA MEMORY CORPORATION |
11201235 | Semiconductor device, method for producing semiconductor device, power supply device, and amplifier | FUJITSU LIMITED |
11201270 | Method for increasing the light output of microLED devices using quantum dots | NANOSYS, INC. |
11201281 | Method for forming a flat bottom electrode via (BEVA) top surface for memory | -- |
11201386 | Semiconductor device package and method for manufacturing the same | -- |
11201466 | Electrostatic discharge clamp structures | GLOBALFOUNDRIES U.S. INC. |
11201602 | Apparatus and methods for tunable filtering | ANALOG DEVICES, INC. |
11202390 | Heat dissipation unit connection reinforcement structure | -- |
11203524 | Microelectromechanical system cavity packaging | TEXAS INSTRUMENTS INCORPORATED |
11203525 | Method of controlling the placement of micro-objects | PALO ALTO RESEARCH CENTER INCORPORATED |
11204313 | Throughput-scalable analytical system using transmembrane pore sensors | GENESENSE TECHNOLOGY INC. |
11204530 | Array substrate and display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11204549 | Superstrate with an offset mesa and methods of using the same | CANON KABUSHIKI KAISHA |
11205033 | Method, apparatus and system for wide metal line for SADP routing | GLOBALFOUNDRIES INC. |
11205035 | Bump connection placement in quantum devices in a flip chip configuration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205485 | Three-dimensional NAND flash memory device having improved data reliability by varying program intervals, and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11205573 | Ge-containing Co-film forming material, Ge-containing Co film and film forming method thereof | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11205579 | Molding wafer chamber | -- |
11205586 | Integrated circuits with line breaks and line bridges within a single interconnect level | INTEL CORPORATION |
11205587 | Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205590 | Self-aligned contacts for MOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205591 | Top via interconnect with self-aligned barrier layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205592 | Self-aligned top via structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205600 | Integrated circuits protected by substrates with cavities, and methods of manufacture | INVENSAS CORPORATION |
11205601 | Semiconductor package and semiconductor apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11205602 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11205603 | Semiconductor package and method manufacturing the same | -- |
11205604 | Semiconductor package including a thermal conductive layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205605 | Semiconductor structure with back gate and method of fabricating the same | -- |
11205606 | Semiconductor device package | -- |
11205607 | Semiconductor structure and method of manufacturing thereof | -- |
11205608 | Semiconductor device, manufacturing method for semiconductor device, electronic component, circuit substrate, and electronic apparatus | ADVANCED INTERCONNECT SYSTEMS LIMITED |
11205609 | Semiconductor structure with an air gap | -- |
11205610 | Base body with soldered-on ground pin, method for its production and uses thereof | SCHOTT AG |
11205611 | Leadframe capacitors | TEXAS INSTRUMENTS INCORPORATED |
11205612 | Integrated circuit package and method | -- |
11205613 | Organic mold interconnects in shielded interconnects frames for integrated-circuit packages | INTEL CORPORATION |
11205614 | Stack packages | SAMSUNG ELECTRONICS CO., LTD. |
11205615 | Semiconductor device and method of manufacture | -- |
11205616 | Internal node jumper for memory bit cells | INTEL CORPORATION |
11205617 | Interconnect structure having a graphene layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205618 | Graphene barrier layer | -- |
11205619 | Hybrid bonding using dummy bonding contacts and dummy interconnects | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205620 | Method and apparatus for supplying power to VLSI silicon chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205621 | Device and method for alignment of vertically stacked wafers and die | STMICROELECTRONICS, INC. |
11205622 | Stiffener shield for device integration | INTEL CORPORATION |
11205623 | Microwave device and antenna for improving heat dissipation | MITSUBISHI ELECTRIC CORPORATION |
11205625 | Wafer-level bonding of obstructive elements | INVENSAS BONDING TECHNOLOGIES, INC. |
11205626 | Coreless organic packages with embedded die and magnetic inductor structures | INTEL CORPORATION |
11205627 | Semiconductor device package including emitting devices and method of manufacturing the same | -- |
11205628 | Semiconductor device package and method of manufacturing the same | -- |
11205629 | Package structure and method of fabricating the same | -- |
11205630 | Vias in composite IC chip structures | INTEL CORPORATION |
11205631 | Semiconductor package including multiple semiconductor chips | SAMSUNG ELECTRONICS CO., LTD. |
11205632 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11205633 | Methods of bonding of semiconductor elements to substrates, and related bonding systems | KULICKE AND SOFFA INDUSTRIES, INC. |
11205634 | Bonding apparatus with replaceable bonding tool | ASM TECHNOLOGY SINGAPORE PTE LTD |
11205635 | Low temperature hybrid bonding structures and manufacturing method thereof | -- |
11205636 | Semiconductor package and method of manufacturing the same | -- |
11205637 | Semiconductor packages having improved thermal discharge and electromagnetic shielding characteristics | SAMSUNG ELECTRONICS CO., LTD. |
11205638 | Stack packages including an interconnection structure | SK HYNIX INC. |
11205640 | Semiconductor packages having package-on-package (PoP) structures | SAMSUNG ELECTRONICS CO., LTD. |
11205642 | Twistable light emitting diode display module | -- |
11205643 | Optoelectronic circuit assembly and method for repairing an optoelectronic circuit assembly | OSRAM OLED GMBH |
11205644 | Method for fabricating electronic package | -- |
11205645 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11205652 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11205658 | Three-dimensional memory device with corrosion-resistant composite spacer | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205662 | Methods for reducing defects in semiconductor plug in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205663 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205670 | Alignment of multiple image dice in package | TELEDYNE DIGITAL IMAGING, INC. |
11205695 | Method of fabricating a thick oxide feature on a semiconductor wafer | TEXAS INSTRUMENTS INCORPORATED |
11205696 | High dielectric constant material at locations of high fields | SKYWORKS SOLUTIONS, INC. |
11205702 | Method for manufacturing a structure for forming a tridimensional monolithic integrated circuit | SOITEC |
11205704 | Semiconductor device and production method therefor | MITSUBISHI ELECTRIC CORPORATION |
11205724 | Self-aligned gate hard mask and method forming same | -- |
11205768 | Method for manufacturing of display device | SAMSUNG DISPLAY CO., LTD. |
11205867 | Grid array connector system | MOLEX, LLC |
11205873 | Connector cage and radiator | TYCO ELECTRONICS (SHANGHAI) CO. LTD. |
11205937 | Driving system having reduced vibration transmission | ASM TECHNOLOGY SINGAPORE PTE LTD |
11206005 | Compositions of influenza hemagglutinin with heterologous epitopes and/or altered maturation cleavage sites and methods of use thereof | CG DISCOVERY, INC. |
11206731 | Communication module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11206734 | Electronic device and wiring structure thereof | -- |
11206740 | High voltage power module | CREE FAYETTEVILLE, INC. |
11206749 | Tubular heat spreaders for memory modules and memory modules incorporating the same | MICRON TECHNOLOGY, INC. |
11207744 | Two-step solder-mask-defined design | MICRON TECHNOLOGY, INC. |
11208009 | Electrical apparatus | HONDA MOTOR CO., LTD. |
11208540 | Method of making cured parts | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11209214 | Heat dissipation device | -- |
11209216 | Ultra thin heat exchangers for thermal management | DANA CANADA CORPORATION |
11209220 | Fractal heat transfer device | FRACTAL HEATSINK TECHNOLOGIES LLC |
11209323 | Sensor package with reduced height cavity walls and sensor package module including the same | HAESUNG DS CO., LTD. |
11209739 | Method and apparatus for aligning two optical subsystems | EV GROUP E. THALLNER GMBH |
11209872 | Electronic device module, method of manufacturing the same and electronic apparatus | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11210447 | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices | -- |
11210601 | Circuit assembly, a system and a method for cooling quantum electric devices | IQM FINLAND OY |
11211105 | Memory device comprising programmable command-and-address and/or data interfaces | RAMBUS INC. |
11211108 | Ferroelectric memory device | IMEC VZW |
11211114 | Memories and memory components with interconnected and redundant data interfaces | RAMBUS INC. |
11211134 | Efuse circuit, method, layout, and structure | -- |
11211255 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211261 | Package structures and methods for forming the same | -- |
11211262 | Electronic apparatus having inter-chip stiffener | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211263 | Structure for arrayed partial molding of packages | QUALCOMM INCORPORATED |
11211273 | Carrier substrate and packaging method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11211279 | Method for processing a 3D integrated circuit and structure | MONOLITHIC 3D INC. |
11211287 | Semiconductor device and method for fabricating the same | -- |
11211288 | Semiconductor device | TOKYO ELECTRON LIMITED |
11211289 | Metal loss prevention using implantation | -- |
11211290 | MIM capacitor with adjustable capacitance via electronic fuses | QUALCOMM INCORPORATED |
11211291 | Via formation with robust hardmask removal | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211292 | Assemblies containing PMOS decks vertically-integrated with NMOS decks, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11211298 | System and method for a transducer in an EWLB package | INFINEON TECHNOLOGIES AG |
11211299 | Wiring structure having at least one sub-unit | -- |
11211300 | Electronic component and camera module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211301 | Semiconductor device and method of manufacture | -- |
11211302 | Semiconductor device package | -- |
11211303 | Semiconductor device including a passivation structure and manufacturing method | INFINEON TECHNOLOGIES AG |
11211304 | Assembly and method for mounting an electronic component to a substrate | INFINEON TECHNOLOGIES AUSTRIA AG |
11211305 | Apparatus and method to support thermal management of semiconductor-based components | TEXAS INSTRUMENTS INCORPORATED |
11211306 | Synthetic diamond plates | ELEMENT SIX TECHNOLOGIES LIMITED |
11211307 | Semiconductor substrate | INFINEON TECHNOLOGIES AG |
11211308 | Semiconductor device and manufacturing method thereof | -- |
11211310 | Package structures | -- |
11211311 | Electronic device and connection body | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11211312 | Semiconductor device | ROHM CO., LTD. |
11211313 | Lead frame array for carrying chips and LED package structure with multiple chips | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11211314 | Interposer for electrically connecting stacked integrated circuit device packages | INTEL CORPORATION |
11211315 | Semiconductor package with terminal pattern for increased channel density | TEXAS INSTRUMENTS INCORPORATED |
11211316 | Wiring structure and method for manufacturing the same | -- |
11211317 | Component carrier comprising a component having vertical through connection | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11211318 | Bump layout for coplanarity improvement | -- |
11211319 | Device structure | -- |
11211320 | Package with shifted lead neck | TEXAS INSTRUMENTS INCORPORATED |
11211321 | Package structure and manufacturing method thereof | -- |
11211322 | Printed circuit board and electronic equipment | CANON KABUSHIKI KAISHA |
11211323 | Method of fabricating field effect transistor having non-orthogonal gate electrode | -- |
11211324 | Via contact patterning method to increase edge placement error margin | INTEL CORPORATION |
11211325 | Semiconductor device package and method for manufacturing the same | -- |
11211326 | Wiring substrate and manufacturing method thereof | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11211327 | Via sizing for IR drop reduction | -- |
11211328 | Semiconductor memory device of three-dimensional structure | SK HYNIX INC. |
11211329 | Power island segmentation for selective bond-out | KIOXIA CORPORATION |
11211330 | Standard cell layout architectures and drawing styles for 5nm and beyond | ADVANCED MICRO DEVICES, INC. |
11211331 | Semiconductor structure having a via and methods of manufacturing the same | -- |
11211332 | Molded die last chip combination | ADVANCED MICRO DEVICES, INC. |
11211333 | Through silicon via optimization for three-dimensional integrated circuits | -- |
11211334 | Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip | -- |
11211335 | Semiconductor packages incorporating alternating conductive bumps | SAMSUNG ELECTRONICS CO., LTD. |
11211336 | Integrated fan-out package and method for fabricating the same | -- |
11211337 | Face-up fan-out electronic package with passive components using a support | INTEL CORPORATION |
11211338 | Apparatus for stacking substrates and method for the same | NIKON CORPORATION |
11211339 | Semiconductor device | -- |
11211340 | Semiconductor package with in-package compartmental shielding and active electro-magnetic compatibility shielding | -- |
11211341 | Package structure and method of fabrcating the same | -- |
11211342 | Multiplexer cell and semiconductor device having camouflage design, and method for forming multiplexer cell | -- |
11211343 | Fan-out antenna packaging structure and packaging method | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11211344 | Integrated tunable filter architecture | PSEMI CORPORATION |
11211345 | In-package RF waveguides as high bandwidth chip-to-chip interconnects and methods for using the same | INTEL CORPORATION |
11211346 | Semiconductor device and method of manufacture | -- |
11211347 | Integrated circuit structures and methods of forming an opening in a material | MICRON TECHNOLOGY, INC. |
11211348 | First wafer, fabricating method thereof and wafer stack | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11211349 | Semiconductor device including a plurality of bonding pads | RENESAS ELECTRONICS CORPORATION |
11211350 | Semiconductor package and manufacturing method thereof | -- |
11211351 | Apparatuses including redistribution layers and related microelectronic devices | MICRON TECHNOLOGY, INC. |
11211352 | Bump structure to prevent metal redeposit and to prevent bond pad consumption and corrosion | -- |
11211353 | Clips for semiconductor packages | INFINEON TECHNOLOGIES AG |
11211354 | Systems and methods for semi-flexible eutectic bonder piece arranegments | -- |
11211355 | Semiconductor device, method for manufacturing semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11211356 | Power semiconductor package and method for fabricating a power semiconductor package | INFINEON TECHNOLOGIES AG |
11211357 | Method for processing an ultra-high density space interconnect lead under light source guidance | GUANGDONG UNIVERSITY OF TECHNOLOGY |
11211358 | Packaged semiconductor devices and packaging methods | -- |
11211359 | Semiconductor device and method of forming modular 3D semiconductor package with horizontal and vertical oriented substrates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11211360 | Passive device module, semiconductor package including the same, and manufacturing method thereof | -- |
11211361 | Semiconductor device and method for manufacturing the same | KIOXIA CORPORATION |
11211362 | 3D trench capacitor for integrated passive devices | -- |
11211363 | Semiconductor device having through silicon vias and manufacturing method thereof | LONGITUDE LICENSING LIMITED |
11211364 | Semiconductor device assemblies and systems with improved thermal performance and methods for making the same | MICRON TECHNOLOGY, INC. |
11211367 | Display panel and method for fabricating the same | -- |
11211368 | Semiconductor device | ROHM CO., LTD. |
11211369 | Service module for SIP devices | OCTAVO SYSTEMS LLC |
11211370 | Bonded assembly with vertical power and control signal connection adjacent to sense amplifier regions and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11211371 | Integrated circuit package and method | -- |
11211372 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211373 | Double-sided chip stack assembly | UNITED SILICON CARBIDE, INC. |
11211376 | Three-dimensional integrated circuit having ESD protection circuit | -- |
11211377 | Resistive element | FUJI ELECTRIC CO., LTD. |
11211385 | Semiconductor device and manufacturing method thereof | -- |
11211386 | Semiconductor structure and manufacturing method thereof | -- |
11211387 | Fin-based strap cell structure for improving memory performance | -- |
11211388 | Array boundfary structure to reduce dishing | -- |
11211395 | 3D memory array having select lines | -- |
11211397 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211400 | Three-dimensional flash memory device with increased storage density | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211401 | Memory device and method for fabricating the same | -- |
11211403 | Nonvolatile memory device having a vertical structure and a memory system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11211408 | Wiring layer and manufacturing method therefor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211425 | Magnetic memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11211445 | Foldable display panel | -- |
11211448 | Capacitor structure with MIM layer over metal pillars | GLOBALFOUNDRIES U.S. INC. |
11211451 | Stretchable electronic structures and techniques for the formation thereof | NORTHEASTERN UNIVERSITY |
11211459 | Semiconductor device and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11211490 | FinFETs having step sided contact plugs and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11211496 | FinFET device and method of forming | -- |
11211497 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211542 | Cryogenic refrigeration for low temperature devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211551 | Current sensor package with continuous insulation | INFINEON TECHNOLOGIES AG |
11211687 | Method of fabricating a semiconductor structure with an antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11212908 | Semiconductor apparatus | MITSUBISHI ELECTRIC CORPORATION |
11212914 | Circuit board and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11212939 | Board level shield for electrical assembly | TE CONNECTIVITY SERVICES GMBH |
11212947 | Power module with capacitor configured for improved thermal management | EPCOS AG |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 15:18
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社