陈立新专利报告分享 http://blog.sciencenet.cn/u/feixiangfeixian 中美欧日韩五局及PCT专利数据统计分析报告 陈立新 Tel13592308169 QQ86065045

博文

2021年美国局半导体制造领域的专利竞争态势——台湾积体电路制造公司、国际商业机器公司、三星电子公司领先

已有 2453 次阅读 2022-10-7 12:40 |系统分类:博客资讯

陈立新 张琳 黄颖:中美欧日韩五局专利报告2644.doc

武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖

微信号:chenlixinip5

第二部分 2021年美国发明专利统计分析报告

17 不同技术领域下世界各国及机构的在美专利布局和竞争

17.38 半导体制造领域的专利竞争态势

38个技术领域是半导体制造,包括半导体及其部件的制造方法和设备。2021年,美国专利商标局在该领域共授权专利10212项(增长率为-16%),占总授权量的3.1%,是专利数量第36多的领域。

2021年,美国在该领域获得专利权3220项,占该领域专利授权总量的27%。中国在该领域做出专利发明569项,获得专利权613项,流失专利发明-44项。日本和韩国获得的专利权数量分别为2157884项。

 

17.38-1  2021年各国半导体制造领域的在美专利发明和专利权数量


国家

和地区

发明

数量

专利权

数量

净流失

数量

专利

流失率

发明

份额

专利权

份额

份额

流失量

1

美国

3198

3220

-22

-0.7%

31.3%

31.5%

-0.2%

2

日本

2164

2157

7

0.3%

21.2%

21.1%

0.1%

3

韩国

919

884

35

3.8%

9.0%

8.7%

0.3%

4

中国

569

613

-44

-7.7%

5.6%

6.0%

-0.4%

5

德国

248

255

-7

-2.8%

2.4%

2.5%

-0.1%

6

法国

122

121

1

0.8%

1.2%

1.2%

0.0%

7

加拿大

22

27

-5

-22.7%

0.2%

0.3%

0.0%

8

英国

39

40

-1

-2.6%

0.4%

0.4%

0.0%

9

瑞士

17

13

4

23.5%

0.2%

0.1%

0.0%

10

荷兰

45

122

-77

-171.1%

0.4%

1.2%

-0.8%

11

瑞典

7

8

-1

-14.3%

0.1%

0.1%

0.0%

12

以色列

59

23

36

61.0%

0.6%

0.2%

0.4%

13

意大利

34

24

10

29.4%

0.3%

0.2%

0.1%

14

印度

28

0

28

100.0%

0.3%

0.0%

0.3%

15

其他

2741

2705

36

1.3%

26.8%

26.5%

0.4%


小计

10212

10212

0

0%

100%

100%

0%

注:本表分别按照专利第一发明人和第一权利人进行统计(中国的数据暂未包含香港、澳门、台湾地区的专利)。

 

 

                                               

17.38-1  2021年各国半导体制造领域的在美专利发明和专利权数量对比

 

2021年,在半导体制造领域上获得美国专利授权最多的机构是台湾积体电路制造公司、国际商业机器公司、三星电子公司。中国专利最多的机构是台湾积体电路制造公司,获得1730项专利。

 

17.38-2  2021年半导体制造领域在美专利授权前10机构


机构名称

国家

机构英文名称

2021

2020

1

台湾积体电路制造公司

中国

TAIWAN SEMICONDUCTOR   MANUFACTURING COMPANY, LTD.

1730

1798

2

国际商业机器公司

美国

INTERNATIONAL BUSINESS MACHINES   CORPORATION

714

911

3

三星电子公司

韩国

SAMSUNG ELECTRONICS CO., LTD.

530

571

4

应用材料公司

美国

APPLIED MATERIALS, INC.

406

401

5

东京电子株式会社

日本

TOKYO ELECTRON LIMITED

361

363

6

英特尔公司

美国

INTEL CORPORATION

277

306

7

美光科技公司

美国

MICRON TECHNOLOGY, INC.

274

261

8

株式会社迪思科

日本

DISCO CORPORATION

123

109

9

英飞凌科技公司

德国

INFINEON TECHNOLOGIES AG

122

136

9

德州仪器公司

美国

TEXAS INSTRUMENTS INCORPORATED

121

172

注:本表数据按照第一权利人进行统计。

 


17.38-2  2021年半导体制造领域在美专利授权前10机构

 

 

 

 

 

致谢

感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。

如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。

微信号:chenlixinip5

 

 

 

附表  2021年该领域美国授权专利

 

PATENT NO.TITLEASSIGNEE
10882080Substrate processing   apparatus and method of processing substrateSCREEN HOLDINGS CO.,   LTD.
10882082Freeze cleaning   apparatusTOSHIBA MEMORY   CORPORATION
10882130Ceramic-aluminum   assembly with bonding trenchesWATLOW ELECTRIC   MANUFACTURING COMPANY
10882141Substrate suction   stage, substrate treatment apparatus, and substrate treatment methodMITSUBISHI ELECTRIC   CORPORATION
10882194Robot linear drive   heat transferPERSIMMON   TECHNOLOGIES CORPORATION
10882221Peeling method of   flexible substrateBOE TECHNOLOGY GROUP   CO., LTD.
10882228Mold for insert   moldingDENSO CORPORATION
10882258Microchip affixing   probe and method of usePHARMASEQ, INC.
10882318Method of   manufacturing semiconductor substrate and method of manufacturing substrate   for liquid ejection headCANON KABUSHIKI   KAISHA
10882697Storage apparatus and   storage methodMURATA MACHINERY,   LTD.
10882736Semiconductor   structures provided within a cavity and related design structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10882737Through silicon   interposer wafer and method of manufacturing the sameAGENCY FOR SCIENCE,   TECHNOLOGY AND RESEARCH
10882739Formation of   antireflective surfacesBROOKHAVEN SCIENCE   ASSOCIATES, LLC.
10882740Wafer-level package   with enhanced performance and manufacturing method thereofQORVO US, INC.
10882757Anhydrous nickel   chloride and method for producing the sameJX NIPPON MINING   & METALS CORPORATION
10882778Glass substrate,   laminated substrate, laminate, and method for producing semiconductor packageAGC INC.
10882874Vanadium compoundADEKA CORPORATION
10883168Processing system for   small substratesMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
10883172Method of   manufacturing lithography templateKOKUSAI ELECTRIC   CORPORATION
10883173Gas storage cylinder,   deposition system, and method of manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10883176Method for directly   synthesizing graphene on surface of target object and device including   graphene prepared using the methodKOREA INSTITUTE OF   SCIENCE AND TECHNOLOGY
10883185Copper   electrodeposition solution and process for high aspect ratio patternsAVENI
10883191Method for producing   III-N templates and the reprocessing thereof and III-N templateFREIBERGER COMPOUND   MATERIALS GMBH
10883194Diamond components   for quantum imaging, sensing and information processing devicesELEMENT SIX   TECHNOLOGIES LIMITED
10883700Lens, light emitting   device and method of manufacturing the lens and the light emitting deviceNICHIA CORPORATION
10883770Loop type heat pipeSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10883820Apparatus and method   for metrology--
10883824Pattern light   emitting device capable of having plurality of different pattern light   sources installed thereon and inspection deviceKOH YOUNG TECHNOLOGY   INC.
10883932Advanced in-situ   particle detection system for semiconductor substrate processing systemsAPPLIED MATERIALS,   INC.
10883950Multi-parallel sensor   array systemWATLOW ELECTRIC   MANUFACTURING COMPANY
10883953Semiconductor device   for sensing impedance changes in a mediumTEXAS INSTRUMENTS   INCORPORATED
10884051Line detecting tool   and line detecting method for light emitting diode substrateBEIJING BOE   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10884078Ferromagnetic   multilayer film, magnetoresistance effect element, and method for   manufacturing ferromagnetic multilayer filmTDK CORPORATION
10884336Method for   fabricating semiconductor device, pattern writing apparatus, recording medium   recording program, and pattern transfer apparatusNUFLARE TECHNOLOGY,   INC.
10884337Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
10884338Chemical liquid,   chemical liquid storage body, manufacturing method of chemical liquid, and   manufacturing method of chemical liquid storage bodyFUJIFILM CORPORATION
10884400Matching process   controllers for improved matching of processAPPLIED MATERIALS,   INC.
10885244Test pattern, test   method for semiconductor device, and computer-implemented method for   designing integrated circuit layoutSAMSUNG ELECTRONICS   CO., LTD.
10885820Pixel inspection   method, pixel inspection device, and display deviceSHARP KABUSHIKI   KAISHA
10885978Nonvolatile nanotube   switches with reduced switching voltages and currentsNANTERO, INC.
10886097Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
10886106Plasma processing   apparatus and method for venting a processing chamber to atmosphereHITACHI HIGH-TECH   CORPORATION
10886109Stage and plasma   processing apparatusTOKYO ELECTRON   LIMITED
10886113Process kit and   method for processing a substrateAPPLIED MATERIALS,   INC.
10886119Aromatic underlayerROHM AND HAAS   ELECTRONIC MATERIALS LLC
10886120Hydrogen ventilation   of CMOS wafersTEXAS INSTRUMENTS   INCORPORATED
10886121Methods of reducing   silicon consumption, methods of forming a semiconductor structure, and   methods of forming isolation structuresMICRON TECHNOLOGY,   INC.
10886122Methods for conformal   treatment of dielectric films with low thermal budgetAPPLIED MATERIALS,   INC.
10886123Methods for forming   low temperature semiconductor layers and related semiconductor device   structuresASM IP HOLDING B.V.
10886124Multi-state device   based on ion trappingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886125Method for   manufacturing a nano-wire array and a device that comprises a nano-wire arrayTECHNION RESEARCH AND   DEVELOPMENT FOUNDATION LTD.
10886126Uniform multilayer   graphene by chemical vapor depositionTHE REGENTS OF THE   UNIVERSITY OF MICHIGAN
10886127Method of producing   wafer and apparatus for producing waferDISCO CORPORATION
10886128Method and apparatus   for manufacturing vapor deposition mask--
10886129Method for   manufacturing semiconductor device and method for evaluating semiconductor   deviceSHIN-ETSU HANDOTAI   CO., LTD.
10886130Methods of forming   crystalline semiconductor material, and methods of forming transistorsMICRON TECHNOLOGY,   INC.
10886131Display device   manufacturing method and display device manufacturing apparatusWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10886132Manufacturing method   of high-dielectric-constant gate insulating film of semiconductor deviceSCREEN HOLDINGS CO.,   LTD.
10886133Substrate processing   method and substrate processing deviceSCREEN HOLDINGS CO.,   LTD.
10886134Polishing methodSHIN-ETSU HANDOTAI   CO., LTD.
10886135Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
10886136Method for processing   substratesTOKYO ELECTRON   LIMITED
10886137Selective nitride   removalAPPLIED MATERIALS,   INC.
10886138Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
10886139Method of etching one   or more of mixed metal and dielectric layers of a semiconductor deviceTESCAN BRNO, S.R.O.
108861403D NAND etchAPPLIED MATERIALS,   INC.
10886141Method of depositing   tungstenWONIK IPS CO., LTD.
10886142Annealing method,   process chamber and annealing apparatusBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10886143Semiconductor device,   manufacturing method thereof, display device, and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10886144Method for doping   layer, thin film transistor and method for fabricating the sameORDOS YUANSHENG   OPTOELECTRONICS CO., LTD.
10886145Production of a   multi-chip componentOSRAM OLED GMBH
10886146Copper foil with   carrier, production method for same, production method for coreless support   with wiring layer, and production method for printed circuit boardMITSUI MINING &   SMELTING CO., LTD.
10886147Package structure and   method for forming the same--
10886149Semiconductor device   package and method of manufacturing the same--
10886150Positioning apparatusWEBER MACHINENBAU   GMBH BREIDENBACH
10886151Heating apparatus and   substrate processing apparatusTOKYO ELECTRON   LIMITED
10886152Method and system for   dual stretching of wafers for isolated segmented chip scale packagesLUMILEDS LLC
10886153Display including an   LED element having a pressure sensitive adhesive (PSA) for micro pick and   bond assembly of the displayINTEL CORPORATION
10886154Electrostatic chuck   and substrate fixing deviceSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10886155Optical stack   deposition and on-board metrologyAPPLIED MATERIALS,   INC.
10886156Accomodating device   for retaining wafersEV GROUP E. THALLNER   GMBH
10886157Wafer holding unitSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10886158Method for   transferring structuresCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10886159Method of processing   waferDISCO CORPORATION
10886160Sinker to buried   layer connection region for narrow deep trenchesTEXAS INSTRUMENTS   INCORPORATED
10886161Semiconductor device   using inter-diffusion and method for manufacturing the sameKOREA INSTITUTE OF   SCIENCE AND TECHNOLOGY
10886162Semiconductor-on-insulator   substrate for RF applicationsSOITEC
10886163Method for   manufacturing bonded waferSHIN-ETSU HANDOTAI   CO., LTD.
10886164Isolated   semiconductor layer over buried isolation layerTEXAS INSTRUMENTS   INCORPORATED
10886165Method of forming   negatively sloped isolation structures--
10886166Dielectric surface   modification in sub-40nm pitch interconnect patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886167Semiconductor device   for improving device characteristicsSAMSUNG ELECTRONICS   CO., LTD.
10886168Surface modified   dielectric refill structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886169Airgap formation in   BEOL interconnect structure using sidewall image transferINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886170Method of forming   tungsten filmTOKYO ELECTRON   LIMITED
10886171Rlink-on-die   interconnect features to enable signalingINTEL CORPORATION
10886172Methods for wordline   separation in 3D-NAND devicesAPPLIED MATERIALS,   INC.
10886173Platform and method   of operating for integrated end-to-end fully self-aligned interconnect   processTOKYO ELECTRON   LIMITED
10886174Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10886175Differentiated   molecular domains for selective hardmask fabrication and structures resulting   therefromINTEL CORPORATION
10886176Self-aligned   interconnect patterning for back-end-of-line (BEOL) structures including   self-aligned via through the underlying interlevel metal layerTOKYO ELECTRON   LIMITED
108861773D chip with shared   clock distribution networkXCELSIS CORPORATION
10886178Device with highly   active acceptor doping and method of production thereofGLOBALFOUNDRIES INC.
10886179Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10886180Semiconductor device   with fin end spacer and method of manufacturing the same--
10886181Semiconductor deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10886182Method of   manufacturing a semiconductor device and a semiconductor device--
10886183Method and structure   for forming a vertical field-effect transistor using a replacement metal gate   processINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886184Test condition   determining apparatus and test condition determining methodMITSUBISHI ELECTRIC   CORPORATION
10886185Electrical component   testing in stacked semiconductor arrangement--
10886189Semiconductor die   with improved ruggednessCREE, INC.
10886193Thermal interface   materialPARKER-HANNIFIN   CORPORATION
10886195Systems and methods   for improved through-silicon-viasINTEL CORPORATION
10886196Semiconductor devices   having conductive vias and methods of forming the sameMICRON TECHNOLOGY,   INC.
10886197Controlling via   critical dimension with a titanium nitride hard maskINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886199Molded semiconductor   package with double-sided coolingINFINEON TECHNOLOGIES   AG
10886200Power module and   manufacturing method thereofDELTA ELECTRONICS   (SHANGHAI) CO., LTD.
10886201Power device having a   substrate with metal layers exposed at surfaces of an insulation layer and   manufacturing method thereof--
10886204Semiconductor device   with lead terminals having portions thereof extending obliquelyROHM CO., LTD.
10886205Terminal structure   and semiconductor moduleFUJI ELECTRIC CO.,   LTD.
10886208Semiconductor device   package, electronic assembly and method for manufacturing the same--
10886209Multiple-layer,   self-equalizing interconnects in package substratesINTEL CORPORATION
10886210Cover for an   electronic device and method of fabricationSTMICROELECTRONICS   (GRENOBLE 2) SAS
10886214Semiconductor device   and method for manufacturing sameMICRON TECHNOLOGY,   INC.
10886215Interconnect   structure and related methodsIMEC VZW
10886216Electric fuse   structure for optimizing programming current window of the electric fuse   structure and manufacturing methodSHANGHAI HUALI   INTEGRATED CIRCUIT MFG. CO., LTD.
10886217Integrated circuit   device with back-side interconnection to deep source/drain semiconductorINTEL CORPORATION
10886222Via contact, memory   device, and method of forming semiconductor structure--
10886223Semiconductor package--
10886225BEOL alternative   metal interconnects: integration and processINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886226Conductive contact   having staircase barrier layers--
10886227Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10886228Improving size and   efficiency of diesINTEL CORPORATION
10886231Method of forming   RDLS and structure formed thereof--
10886232Package structure and   fabrication methodsAPPLIED MATERIALS,   INC.
10886233Semiconductor device   package and method of manufacturing the same--
10886234Semiconductor device   and semiconductor package comprising the sameSAMSUNG ELECTRONICS   CO., LTD.
10886235Integrated shield   package and methodAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10886238Supporting InFO   packages to reduce warpage--
10886239Customisation of an   integrated circuit during the realisation thereofCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10886241Semiconductor package   structure--
10886242Antenna moduleSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10886243Fan-out antenna   packaging structure and preparation thereofSJ SEMICONDUCTOR   (JIANGYIN) CORPORATION
10886244Collars for   under-bump metal structures and associated systems and methodsMICRON TECHNOLOGY,   INC.
10886245Semiconductor   structure, 3DIC structure and method of fabricating the same--
10886248Laser bonding   apparatus, method of bonding semiconductor devices, and method of   manufacturing semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10886249Hybrid wafer-to-wafer   bonding and methods of surface preparation for wafers comprising an aluminum   metalizationAMS INTERNATIONAL AG
10886252Method of bonding   semiconductor substratesIMEC VZW
10886253Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10886254Flip-chip electronic   device with carrier having heat dissipation elements free of solder maskINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886255Die stack structure,   semiconductor package having the same and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10886256Methods and systems   for wafer bonding alignment compensationYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10886263Stacked semiconductor   package assemblies including double sided redistribution layers--
10886265Integrated circuit   device with a two-dimensional semiconductor material and a dielectric   material that includes fixed chargesINTEL CORPORATION
10886266Integration of   vertical GaN varactor with HEMTQUALCOMM INCORPORATED
10886267Reference voltage   generation deviceABLIC INC.
10886268Method of   manufacturing a semiconductor device with separated merged source/drain   structure--
10886269Semiconductor device   and manufacturing method thereof--
10886270Manufacturing method   of semiconductor device--
10886271Fabrication of fin   field effect transistors for complementary metal oxide semiconductor devices   including separate n-type and p-type source/drains using a single spacer   depositionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886272Techniques for   forming dual-strain fins for co-integrated n-MOS and p-MOS devicesINTEL CORPORATION
10886273Gated bipolar   junction transistors, memory arrays, and methods of forming gated bipolar   junction transistorsMICRON TECHNOLOGY,   INC.
10886275Nanosheet one   transistor dynamic random access device with silicon/silicon germanium   channel and common gate structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886277Methods of   manufacturing devices including a buried gate cell and a bit line structure   including a thermal oxide buffer patternSAMSUNG ELECTRONICS   CO., LTD.
10886278Method of forming an   array of capacitors, a method of forming DRAM circuitry, and a method of   forming an elevationally-elongated conductive structure of integrated   circuitryMICRON TECHNOLOGY,   INC.
10886280Semiconductor device   having a gate and method of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
10886284Anti-fuse with   reduced programming voltageINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886285Memory circuitry and   methods of forming memory circuitryMICRON TECHNOLOGY,   INC.
10886287Multiple-time   programmable (MTP) memory device with a wrap-around control gateGLOBALFOUNDRIES INC.
10886288Vertical   semiconductor memory device structures including vertical channel structures   and vertical dummy structuresSAMSUNG ELECTRONICS   CO., LTD.
10886291Joint opening   structures of three-dimensional memory devices and methods for forming the   sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10886292Semiconductor device,   semiconductor wafer, memory device, and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10886293Semiconductor device   and method of fabricating the sameTOSHIBA MEMORY   CORPORATION
10886294Three-dimensional   memory devices and fabrication methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10886298Method of forming a   memory device--
10886301Test circuit, array   substrate, display panel, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
10886306Display device with   overlapping lines spaced apart from an insulating layerSAMSUNG DISPLAY CO.,   LTD.
10886311Photo-detecting   apparatusARTILUX, INC.
10886315Photosensitive   assembly and formation methods thereof, lens module, and electronic deviceNINGBO SEMICONDUCTOR   INTERNATIONAL CORPORATION
10886341Display panel and   method for preparing the same, display panel motherboard and display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10886355Liquid crystal   display deviceSAMSUNG DISPLAY CO.,   LTD.
10886361Semiconductor devices   including resistor structuresSAMSUNG ELECTRONICS   CO., LTD.
10886362Multilayer dielectric   for metal-insulator-metal capacitor (MIMCAP) capacitance and leakage   improvementINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886364Vertical memory cell   with mechanical structural reinforcementINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886366Semiconductor   structures for peripheral circuitry having hydrogen diffusion barriers and   method of making the sameSANDISK TECHNOLOGIES   LLC
10886367Forming FinFET with   reduced variabilityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886368I/O device scheme for   gate-all-around transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886369Formation of   self-limited inner spacer for gate-all-around nanosheet FETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886370Semiconductor device   including silicon carbide body and method of manufacturingINFINEON TECHNOLOGIES   AG
10886371Silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10886372Silicon carbide   semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10886373Composite oxide   semiconductor and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10886375Semiconductor device   having buried gate structure and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10886376Formation of   wrap-around-contact to reduce contact resistivityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886378Method of forming   air-gap spacers and gate contact over active region and the resulting deviceGLOBALFOUNDRIES INC.
10886379Semiconductor device   and method of manufacturing sameRENESAS ELECTRONICS   CORPORATION
10886380Semiconductor storage   device and method for forming a profile of a capacitor thereofCHANGXIN MEMORY   TECHNOLOGIES, INC.
10886381Epitaxial structure   of N-face group III nitride, active device, and method for fabricating the   same with integration and polarity inversion--
10886382Cascode amplifier   optimizationSKYWORKS SOLUTIONS,   INC.
10886383Replacement gate   structures for advanced integrated circuit structure fabricationINTEL CORPORATION
10886384Fabrication of a   vertical fin field effect transistor (vertical finFET) with a self-aligned   gate and fin edgesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886385Semiconductor   structures having increased channel strain using fin release in gate regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886386Dual width FinFETSTMICROELECTRONICS,   INC.
10886389Semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10886390Method of   manufacturing semiconductor device and semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10886393High electron   mobility transistor with tunable threshold voltageMITSUBISHI ELECTRIC   RESEARCH LABORATORIES, INC.
10886395Method for   fabricating tunneling field effect transistor having interfacial layer   containing nitrogen--
10886397Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10886398Silicon carbide   semiconductor device and method of manufacturing silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10886401Semiconductor device   with well region and protection region electrically connected by connection   regionNISSAN MOTOR CO.,   LTD.
10886403Close proximity and   lateral resistance reduction for bottom source/drain epitaxy in vertical   transistor devicesELPIS TECHNOLOGIES   INC.
10886404Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10886407Semiconductor device,   manufacturing method for semiconductor device, and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10886408Group III-V material   transistors employing nitride-based dopant diffusion barrier layerINTEL CORPORATION
10886412Semiconductor device   and manufacturing method thereofSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10886413Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10886414Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10886420Thin optoelectronic   modules with apertures and their manufactureAMS SENSORS SINGAPORE   PTE. LTD.
10886421Semiconductor film,   method of producing semiconductor film, solar cell, light-emitting diode,   thin film transistor, and electronic deviceFUJIFILM CORPORATION
10886445Vertical solid-state   transducers having backside terminals and associated systems and methodsMICRON TECHNOLOGY,   INC.
10886448Method for producing   lead frame, method for producing package and method for producing light   emitting deviceNICHIA CORPORATION
10886451Thermoelectric   material, method of fabricating the same, and thermoelectric deviceUNIVERSITY-INDUSTRY   FOUNDATION (UIF), YONSEI UNIVERSITY
10886462Encapsulated memory   pillarsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10886468Manufacturing method   and manufacturing apparatus for organic EL display deviceSAKAI DISPLAY   PRODUCTS CORPORATION
10886594Packaging structure   and packaging method for antennaSJ SEMICONDUCTOR   (JIANGYIN) CORPORATION
10886704Semiconductor laser   and method for producing a semiconductor laserOSRAM OLED GMBH
10886909Electric assembly   including an insulated gate bipolar transistor device and a wide-bandgap   transistor deviceINFINEON TECHNOLOGIES   AG
10887977Hybrid component   carrier and method for manufacturing the sameAT&S AUSTRIA   TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT
10887985Wiring substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10887995Method for   manufacturing a printed circuit board including an embedded electronic   componentSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10888040Double-sided module   with electromagnetic shieldingQORVO US, INC.
10888855Silicon-titanium   dioxide-polypyrrole three-dimensional bionic composite material based on   hierarchical assembly and use thereofJIANGNAN UNIVERSITY
10888929Sheet and composite   sheetNITTO DENKO   CORPORATION
10889052Imprint apparatus,   method for manufacturing article, and exposure apparatusCANON KABUSHIKI   KAISHA
10889082Laminated structure   and method for producing the sameSONY CORPORATION
10889097Wafer debonding   system and method--
10889102Workpiece referencing   system for and method of referencing workpieces supported by a workpiece   carrierASM ASSEMBLY SYSTEMS   SINGAPORE PTE. LTD
10889438StockerMURATA MACHINERY,   LTD.
10889492Methods for producing   thin-film layers and microsystems having thin-film layersINFINEON TECHNOLOGIES   AG
10889757Etching compositionsFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
10889888Sputtering target,   method for manufacturing sputtering target, and method for forming thin filmSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10889891Apparatus for gaseous   byproduct abatement and foreline cleaningAPPLIED MATERIALS,   INC.
10889893Atomic layer   deposition apparatus and atomic layer deposition methodTHE JAPAN STEEL   WORKS, LTD.
10889894Faceplate with   embedded heaterAPPLIED MATERIALS,   INC.
10889908Superconformal   filling composition and superconformally filling a recessed feature of an   articleGOVERNMENT OF THE   UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE
10889911Plating apparatus and   plating methodEBARA CORPORATION
10889914Location-specific   growth and transfer of single crystalline TMD monolayer arraysTHE TRUSTEES OF THE   STEVENS INSTITUTE OF TECHNOLOGY
10890433Interferometric   thickness measuring apparatus using multiple light sources coupled with a   selecting meansDISCO CORPORATION
10890491Optical detector for   an optical detectionTRINAMIX GMBH
10890554Sensors with a   non-planar sensing structureGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
10890614Method for   determining a junction temperature of a device under test and method for   controlling a junction temperature of a device under test--
10890813Electronic device   having a first electronic component and a second electronic component   connected by wiring, and manufacturing method thereforOMRON CORPORATION
10890843Fast imprint   lithographyTOKYO ELECTRON   LIMITED
10890846Photosensitive resin   composition and cured film prepared therefromROHM AND HAAS   ELECTRONIC MATERIALS KOREA LTD
10891459Fingerprint sensor   module and method for manufacturing a fingerprint sensor moduleFINGERPRINT CARDS AB
10892011Logic drive using   standard commodity programmable logic IC chips comprising non-volatile random   access memory cells--
10892140Nanosecond pulser   bias compensationEAGLE HARBOR   TECHNOLOGIES, INC.
10892141Nanosecond pulser   pulse generationEAGLE HARBOR   TECHNOLOGIES, INC.
10892142System for   fabricating a semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10892145Substrate processing   apparatus, substrate processing method, and method of fabricating   semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
10892146Endpoint detecting   method and endpoint detecting apparatusTOKYO ELECTRON   LIMITED
10892155Semiconductor cleaner   systems and methodsBROOKS AUTOMATION   (GERMANY) GMBH
10892156Methods for forming a   silicon nitride film on a substrate and related semiconductor device   structuresASM IP HOLDING B.V.
10892157Methods for   depositing blocking layers on conductive surfacesAPPLIED MATERIALS,   INC.
10892158Manufacturing method   of a semiconductor device and a plasma processing apparatusHITACHI HIGH-TECH   CORPORATION
10892159Semipolar or nonpolar   group III-nitride substratesSAPHLUX, INC.
10892160Method for producing   electrotechnical thin layers at room temperature, and electrotechnical thin   layerDYNAMIC SOLAR SYSTEMS   AG
10892161Enhanced selective   deposition processAPPLIED MATERIALS,   INC.
10892162Silicon film forming   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
10892163Semiconductor device   with side wall protection film for bond pad and wiringABLIC INC.
10892164Dual hard mask   replacement gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892165Semiconductor   manufacturing device and method of polishing semiconductor substrateLAPIS SEMICONDUCTOR   CO., LTD.
10892166System and method for   light field correction of colored surfaces in an imageL'OREAL
10892167Gas permeable   superstrate and methods of using the sameCANON KABUSHIKI   KAISHA
10892168Semiconductor device   and method for forming a semiconductor deviceINFINEON TECHNOLOGIES   AG
108921693D semiconductor   device and structureMONOLITHIC 3D INC.
10892170Fabricating an   integrated circuit chip module with stiffening frame and orthogonal heat   spreaderINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892171Removal apparatus for   removing residual gas and substrate treating facility including the sameSAMSUNG ELECTRONICS   CO., LTD.
10892172Removal of process   effluentsPLANAR SEMICONDUCTOR,   INC.
10892173Substrate cleaning   roll, substrate cleaning apparatus, and substrate cleaning methodEBARA CORPORATION
10892174Substrate cleaning   brush and substrate cleaning apparatusSCREEN HOLDINGS CO.,   LTD.
10892175Stable heater rebuild   inspection and maintenance platformSAMSUNG ELECTRONICS   CO., LTD.
10892176Substrate processing   apparatus having top plate with through hole and substrate processing methodTOKYO ELECTRON   LIMITED
10892177Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
10892178Substrate processing   system, method of controlling substrate processing system, computer-readable   storage medium, and method of manufacturing articleCANON KABUSHIKI   KAISHA
10892179Electrostatic chuck   including clamp electrode assembly forming portion of Faraday cage for RF   delivery and associated methodsLAM RESEARCH   CORPORATION
10892180Lift pin assemblyAPPLIED MATERIALS,   INC.
10892181Semiconductor device   with mitigated local layout effectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892182Relating to   semiconductor devicesX-FAB SARAWAK SDN.   BHD.
10892183Methods for removing   metal oxidesMICROMATERIALS LLC
10892184Photobucket floor   colors with selective graftingINTEL CORPORATION
10892185Semiconductor device   including a blocking pattern in an interconnection lineSAMSUNG ELECTRONICS   CO., LTD.
10892186Integration of ALD   copper with high temperature PVD copper deposition for BEOL interconnectAPPLIED MATERIALS,   INC.
10892187Method for creating a   fully self-aligned viaMICROMATERIALS LLC
10892188Self-aligned trench   MOSFET contacts having widths less than minimum lithography limitsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10892189Method for   manufacturing a semiconductor device having a dummy sectionLAPIS SEMICONDUCTOR   CO., LTD.
10892190Manufacturing process   of element chipPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10892191Method of   manufacturing a semiconductor deviceTOSHIBA MEMORY   CORPORATION
10892192Non-planar I/O and   logic semiconductor devices having different workfunction on common substrateINTEL CORPORATION
10892193Controlling active   fin height of FinFET deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892194Semiconductor device   and method for fabricating the same--
10892195Method and structure   for forming a vertical field-effect transistor using a replacement metal gate   processINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892196Magnetic property   measuring system, a method for measuring magnetic properties, and a method   for manufacturing a magnetic memory device using the sameSAMSUNG ELECTRONICS   CO., LTD.
10892197Edge seal   configurations for a lower electrode assemblyLAM RESEARCH   CORPORATION
10892198Systems and methods   for improved performance in semiconductor processingAPPLIED MATERIALS,   INC.
10892199Semiconductor package   structure, product and method for manufacturing the same--
10892201Electronic device   comprising a support substrate and an encapsulating cover for an electronic   componentSTMICROELECTRONICS   (GRENOBLE 2) SAS
10892202External gettering   method and deviceMICRON TECHNOLOGY,   INC.
10892207Power moduleROBERT BOSCH GMBH
10892209Semiconductor device   with metal die attach to substrate with multi-size cavityTEXAS INSTRUMENTS   INCORPORATED
10892211Side-solderable   leadless packageSEMTECH CORPORATION
10892213Wiring structure and   method for manufacturing the same--
10892216Wiring substrate and   semiconductor deviceSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10892217Wiring substrate and   semiconductor deviceSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10892219Molded embedded   bridge for enhanced EMIB applicationsINTEL CORPORATION
10892224Apparatuses   comprising protective material along surfaces of tungsten-containing   structuresMICRON TECHNOLOGY,   INC.
10892228Method of   manufacturing conductive feature and method of manufacturing package--
10892229Media shield with EMI   capability for pressure sensorNXP USA, INC.
10892231Electronics package   including integrated electromagnetic interference shield and method of   manufacturing thereofGENERAL ELECTRIC   COMPANY
10892232Semiconductor deviceTOSHIBA MEMORY   CORPORATION
10892233Mitigating   moisture-driven degradation of features designed to prevent structural   failure of semiconductor wafersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892235Die seal ring and   manufacturing method thereof--
10892237Methods of   fabricating high voltage semiconductor devices having improved electric field   suppressionGENERAL ELECTRIC   COMPANY
10892248Multi-stacked die   package with flexible interconnectINTEL CORPORATION
10892249Carrier and   integrated memoryINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892250Stacked package   structure with encapsulation and redistribution layer and fabricating method   thereof--
10892252Face-to-face mounted   IC dies with orthogonal top interconnect layersXCELSIS CORPORATION
10892254Defect-tolerant   layout and packaging for GaN power devices--
10892261Metal resistor and   self-aligned gate edge (SAGE) architecture having a metal resistorINTEL CORPORATION
10892263Methods of   fabricating semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10892265Word line structure   and method of manufacturing the same--
10892267Three-dimensional   memory device containing through-memory-level contact via structures and   method of making the sameSANDISK TECHNOLOGIES   LLC
10892268Integrated structures   containing vertically-stacked memory cellsMICRON TECHNOLOGY,   INC.
10892269Semiconductor memory   device having a bonded circuit chip including a solid state drive controller   connected to a control circuitTOSHIBA MEMORY   CORPORATION
10892270Semiconductor memory   device having an array chip bonded to a circuit chip by a bonding metalTOSHIBA MEMORY   CORPORATION
10892275Stacked connections   in 3D memory and methods of making the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10892276Three-dimensional   memory devices and fabrication methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10892277High-κ   dielectric layer in three-dimensional memory devices and methods for forming   the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10892278Three-dimensional   semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10892281Method for   manufacturing a transistor having a sharp junction by forming raised   source-drain regions before forming gate regions and corresponding transistor   produced by said methodSTMICROELECTRONICS,   INC.
10892282Metal oxide film and   method for forming metal oxide filmSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10892284Display substrate,   manufacturing method thereof, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
10892290Interconnect layer   contact and method for improved packaged integrated circuit reliabilityOMNIVISION   TECHNOLOGIES, INC.
10892291Bonding pad   architecture using capacitive deep trench isolation (CDTI) structures for   electrical connectionSTMICROELECTRONICS   (CROLLES 2) SAS
10892310Display device   including a passivation layer having an uneven surfaceSAMSUNG DISPLAY CO.,   LTD.
10892317Power trench   capacitor compatible with deep trench isolation processGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
10892318Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10892320Semiconductor devices   having stacked trench gate electrodes overlapping a well region--
10892321MOS transistors in   parallelSTMICROELECTRONICS   (ROUSSET) SAS
10892322Circuits employing a   double diffusion break (DDB) and single diffusion break (SDB) in different   type diffusion region(s), and related fabrication methodsQUALCOMM INCORPORATED
10892323Semiconductor   structure and manufacturing method thereof--
10892326Removal of a   bottom-most nanowire from a nanowire device stackINTEL CORPORATION
10892328Source/drain   extension regions and air spacers for nanosheet field-effect transistor   structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892329Method for   manufacturing semiconductor device, and power conversion deviceMITSUBISHI ELECTRIC   CORPORATION
10892331Channel orientation   of CMOS gate-all-around field-effect transistor devices for enhanced carrier   mobilityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892332Gate insulating layer   having a plurality of silicon oxide layer with varying thicknessKABUSHIKI KAISHA   TOSHIBA
10892333Method of making a   gallium nitride deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892334n-Type SiC single   crystal substrate, method for producing same and SiC epitaxial waferSHOWA DENKO K.K.
10892335Device isolation by   fixed chargeINTEL CORPORATION
10892336Wrap-around-contact   structure for top source/drain in vertical FETSINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892337Backside source/drain   replacement for semiconductor devices with metallization on both sidesINTEL CORPORATION
10892338Scaled gate contact   and source/drain capGLOBALFOUNDRIES INC.
10892340Memory cell   structuresMICRON TECHNOLOGY,   INC.
10892341Flash memory with   assistant gate and method of fabricating the same--
10892342Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10892344Atomic layer   deposition of selected molecular clustersSTMICROELECTRONICS,   INC.
10892345Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10892348Method of rounding   fin-shaped structure--
10892349FinFETs with   deposited fin bodiesMICRON TECHNOLOGY,   INC.
10892355Lateral fin static   induction transistorHRL LABORATORIES, LLC
10892357Double-channel HEMT   device and manufacturing method thereofSTMICROELECTRONICS   S.R.L.
10892358Insulating structure   of high electron mobility transistor and manufacturing method thereof--
10892361Lateral   insulated-gate bipolar transistor and method thereforNXP USA, INC.
10892362Devices for LDMOS and   other MOS transistors with hybrid contactSILICET, LLC
10892364Dielectric isolated   fin with improved fin profileINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892365Fin field effect   transistor having crystalline titanium germanosilicide stressor layer--
10892367Metal oxide film,   semiconductor device, and manufacturing method of semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10892372High performance   solar cells, arrays and manufacturing processes thereforMPOWER TECHNOLOGY,   INC.
10892376Method and device for   producing a photovoltaic element with stabilised efficiencyUNIVERSITÄT   KONSTANZ
10892384Etched trenches in   bond materials for die singulation, and associated systems and methodsMICRON TECHNOLOGY,   INC.
10892404Sacrificial buffer   layer for metal removal at a bevel edge of a substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10892434Light-emitting   electrochemical cellLUNALEC AB
10892439Display panel having   filler layer and heat dissipation layer and packaging method thereof, and   display apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10892712Stacked-die bulk   acoustic wave oscillator packageTEXAS INSTRUMENTS   INCORPORATED
10893605Textured test pads   for printed circuit board testingSEAGATE TECHNOLOGY   LLC
10894744Oxide sintered   material and method for manufacturing the same, sputtering target, and method   for manufacturing semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10894799Composition for   depositing silicon-containing thin film including disilylamine compound and   method for manufacturing silicon-containing thin film using the sameDNF CO., LTD.
10894887Composition for   forming film protecting against aqueous hydrogen peroxide solutionNISSAN CHEMICAL   CORPORATION
10894901Method for producing   polishing composition and polishing methodFUJIMI INCORPORATED
10894906Composite particles,   method of refining and use thereofVERSUM MATERIALS US,   LLC
10894935Composition for   removing silicone resins and method of thinning substrate by using the sameSAMSUNG ELECTRONICS   CO., LTD.
10895329Fluid control system,   base block used for same, and method for manufacturing fluid control systemFUJIKIN INCORPORATED
10895538Method of preparing   sample surface, method of analyzing sample surface, field-enhanced oxidation   probe, and scanning probe microscope including field-enhanced oxidation probeSUMCO CORPORATION
10895539In-situ chamber clean   end point detection systems and methods using computer vision systemsLAM RESEARCH   CORPORATION
10895594Electrical connector   assembly having fan support thereonFOXCONN (KUNSHAN)   COMPUTER CONNECTOR CO., LTD.
10895808Substrate holder, a   lithographic apparatus and method of manufacturing devicesASML NETHERLANDS B.V.
10895814Shifting-in/out   mechanism, and shifting-in/out device used for workpiece table of   photoetching machineSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10896780Resonant LC tank   package and method of manufactureINTEL IP CORPORATION
10896801Multiple electron   beam image acquisition apparatus, and alignment method of multiple electron   beam optical systemNUFLARE TECHNOLOGY,   INC.
10896803Ion beam mill etch   depth monitoring with nanometer-scale resolutionTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
10896809High voltage switch   with isolated powerEAGLE HARBOR   TECHNOLOGIES, INC.
10896815Semiconductor   substrate singulation systems and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10896816Silicon residue   removal in nanosheet transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896817Laser irradiation   apparatus, thin film transistor, and method of manufacturing thin film   transistorV TECHNOLOGY CO. LTD.
10896818Stacking fault-free   semipolar and nonpolar GaN grown on foreign substrates by eliminating the   nitrogen polar facets during the growthYALE UNIVERSITY
10896819Backside metal   photolithographic patterning die singulation systems and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10896820Method for depositing   a ruthenium-containing film on a substrate by a cyclical deposition processASM IP HOLDING B.V.
10896821Asymmetric wafer bow   compensation by physical vapor depositionLAM RESEARCH   CORPORATION
10896822Grinding apparatusDISCO CORPORATION
10896823Limited dose atomic   layer processes for localizing coatings on non-planar surfaces--
10896824Roughness reduction   methods for materials using illuminated etch solutionsTOKYO ELECTRON   LIMITED
10896825MoldTOSHIBA MEMORY   CORPORATION
10896826Method for   fabricating semiconductor device and lead frameRENESAS ELECTRONICS   CORPORATION
10896827Support for   manufacturing semiconductor packages, use of support for manufacturing   semiconductor packages, and method for manufacturing semiconductor packagesZEON CORPORATION
10896828Wafer manufacturing   cleaning apparatus, process and method of useINTERNATIONAL TEST   SOLUTIONS, INC.
10896829Excimer laser   annealing apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10896830Separating apparatusDISCO CORPORATION
10896831Film forming   apparatusNUFLARE TECHNOLOGY,   INC.
10896832Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
10896833Methods and apparatus   for detecting an endpoint of a seasoning processAPPLIED MATERIALS,   INC.
10896834Wafer shipper with   stacked support ringsENTEGRIS, INC.
10896835Processing systemTOKYO ELECTRON   LIMITED
10896836Electrostatic chuckDISCO CORPORATION
10896837Ceramic foam for   helium light-up suppressionLAM RESEARCH   CORPORATION
10896838Electrostatic chucks   and substrate processing apparatus including the sameSAMSUNG ELECTRONICS   CO., LTD.
10896840Tape heating methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10896841Film formation   apparatusSHIBAURA MECHATRONICS   CORPORATION
10896842Manufacturing method   of sample tableTOKYO ELECTRON   LIMITED
10896843Wafer holding device   and wafer chucking and dechucking methodSUMITOMO HEAVY   INDUSTRIES ION TECHNOLOGY CO., LTD.
10896844Staircase formation   in three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10896845Airgap vertical   transistor without structural collapseINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896846Controlling   performance and reliability of conductive regions in a metallization networkINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896847Techniques for   revealing a backside of an integrated circuit device, and associated   configurationsINTEL CORPORATION
10896848Method of   manufacturing a semiconductor device--
10896849Method of dicing a   semiconductor wafer using a protective film formed by coating a mixture of   water-soluble resin and organic solventPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10896850Wafer processing   methodDISCO CORPORATION
10896851Vertically stacked   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896852Methods for doping a   sub-fin region of a semiconductor fin structure and devices containing the   sameINTEL CORPORATION
10896853Mask-free methods of   forming structures in a semiconductor deviceGLOBALFOUNDRIES INC.
10896854Forming fins   utilizing alternating pattern of spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896855Asymmetric gate   spacer formation using multiple ion implantsAPPLIED MATERIALS,   INC.
10896856Semiconductor   structures, static random access memories, and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10896857Vertical field effect   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896858Processing apparatus   and processing methodTOKYO ELECTRON   LIMITED
10896859Display substrate,   method for forming display substrate and method for detecting the sameCHENGDU BOE   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10896860Method and curable   compound for casting electronic components or component groupsROBERT BOSCH GMBH
10896861Heterogeneous   multi-layer MMIC assemblyRAYTHEON COMPANY
10896862Thermal interface   material on packageINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896863Semiconductor device   and method for manufacturing the sameMITSUBISHI ELECTRIC   CORPORATION
10896866Power module   semiconductor device and inverter equipment, and fabrication method of the   power module semiconductor device, and metallic moldROHM CO., LTD.
10896868Electronic device and   connectorSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
10896869Method of   manufacturing a semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10896870Semiconductor device   with air gaps in interlayer insulating layer and method of manufacturing the   sameSAMSUNG ELECTRONICS   CO., LTD.
10896874Interconnects   separated by a dielectric region formed using removable sacrificial plugsGLOBALFOUNDRIES INC.
10896875Forming conductive   plugs for memory deviceMICRON TECHNOLOGY,   INC.
10896877System in package   with double side mounted boardFLEX LTD.
10896878Integrated circuit   saw bow break pointNXP B.V.
10896881Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10896882Electronic package   having heat dissipating element and method for fabricating the samePHOENIX &   CORPORATION
10896883Integrated circuit   securityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896886Semiconductor devices   having discretely located passivation material, and associated systems and   methodsMICRON TECHNOLOGY,   INC.
10896888Integrated circuit   (IC) device including a force mitigation system for reducing under-pad damage   caused by wire bondMICROCHIP TECHNOLOGY   INCORPORATED
10896889Multilayer clip   structure attached to a chipJMJ KOREA CO., LTD.
10896894Wafer-level methods   of fabricating semiconductor device packages and related packagesMICRON TECHNOLOGY,   INC.
10896897LED display module   and method of making thereofSCT LTD.
10896900Methods and systems   for packaging an integrated circuitMARVELL ASIA PTE,   LTD.
10896901Method of   manufacturing semiconductor device, and mounting deviceSHINKAWA LTD.
10896902Systems and methods   for efficient transfer of semiconductor elementsINVENSAS BONDING   TECHNOLOGIES, INC.
10896907Retrograde transistor   doping by heterojunction materialsINTEL CORPORATION
10896909Integrated   assemblies, and methods of forming integrated assembliesMICRON TECHNOLOGY,   INC.
10896910Memory structure and   manufacturing method thereof--
10896911Method for forming   memory device involving ion implantation of the control gate spacer and wet   etching process to expose sidewall of control gate--
10896912Stacked vertical   transistor erasable programmable read-only memory and programmable inverter   devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896913Semiconductor memory   device including memory pillars and transistor and manufacturing method   thereofTOSHIBA MEMORY   CORPORATION
10896918Semiconductor memory   device and manufacturing method thereofSK HYNIX INC.
10896920Thin film transistor   array substrate and manufacturing method thereofSAMSUNG DISPLAY CO.,   LTD.
10896922Imaging apparatus,   imaging system, moving object, and method for manufacturing imaging apparatusCANON KABUSHIKI   KAISHA
10896929Integrated circuit   components incorporating energy harvesting components/devices, and methods   for fabrication, manufacture and production of integrated circuit components   incorporating energy harvesting components/devicesFACE INTERNATIONAL   CORPORATION
108969313D semiconductor   device and structureMONOLITHIC 3D INC.
10896948Flexible display   device with bridged wire tracesLG DISPLAY CO., LTD.
10896950Method and apparatus   for a thin film dielectric stackNXP USA, INC.
10896952SiC device and   methods of manufacturing thereofINFINEON TECHNOLOGIES   AG
10896954Electronic device   including a drift regionSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10896955Semiconductor device   including a functional layer and a method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10896956Field effect   transistor with reduced contact resistanceCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10896957Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10896958Silicon-on-insulator   backside contactsQUALCOMM INCORPORATED
10896960Silicon carbide   MOSFET inverter circuitFUJI ELECTRIC CO.,   LTD.
10896962Asymmetric threshold   voltages in semiconductor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896963Semiconductor device   contacts with increased contact areaINTEL CORPORATION
10896964Semiconductor devices   having variously-shaped source/drain patternsSAMSUNG ELECTRONICS   CO., LTD.
10896965Formation of   wrap-around-contact to reduce contact resistivityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896966Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10896969Manufacturing method   of an HEMT transistor of the normally off type with reduced resistance in the   on state and HEMT transistorSTMICROELECTRONICS   S.R.L.
10896970Process of forming   high electron mobility transistor (HEMT) and HEMT formed by the sameSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
10896971Vertical transistor   with body contact fabricationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896973Oxide-nitride-oxide   stack having multiple oxynitride layersLONGITUDE FLASH   MEMORY SOLUTIONS LTD.
10896974Method of fabricating   semiconductor device--
10896976Embedded source/drain   structure for tall FinFet and method of formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896978Oxide semiconductor   device and method for manufacturing sameV TECHNOLOGY CO.,   LTD.
10896979Compact vertical   injection punch through floating gate analog memory and a manufacture thereofINTERNATIONAL   BUSINESS MACHINES CORPORATION
10896991Photovoltaic devices   and method of manufacturingFIRST SOLAR, INC.
10897028Multilayer diamond   display system and method--
10897120Externally-strain-engineered   semiconductor photonic and electronic devices and assemblies and methods of   making sameUNIVERSITY OF HOUSTON   SYSTEM
10897121Lateral current   injection electro-optical device with well-separated doped III-V layers   structured as photonic crystalsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10897808Filter device and   plasma processing apparatusTOKYO ELECTRON   LIMITED
10897814Characterization   vehicles for printed circuit board and system designPDF SOLUTIONS, INC.
10898725Integrated   optogenetic device with light-emitting diodes and glass-like carbon   electrodesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10898897Metal assisted   chemical etching for fabricating high aspect ratio and straight silicon   nanopillar arrays for sorting applicationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10898987Table for holding   workpiece and processing apparatus with the tableEBARA CORPORATION
10899067Multi-layer stampX DISPLAY COMPANY   TECHNOLOGY LIMITED
10899606MicroneedlesSPTS TECHNOLOGIES   LIMITED
10899607III-V nitride   resonate based photoacoustic sensorUNIVERSITY OF SOUTH   CAROLINA
10899615Feeding process of   chlorine fluorideKANTO DENKA KOGYO   CO., LTD.
10899670Manufacturing method   of ceramic electrostatic chuck--
10899945Use of a chemical   mechanical polishing (CMP) composition for polishing of cobalt comprising   substratesBASF SE
10900118Magnetically enhanced   low temperature-high density plasma-chemical vapor deposition plasma source   for depositing diamond and diamond like filmsIONQUEST CORP.
10900120Passivation against   vapor depositionASM IP HOLDING B.V.
10900124Substrate processing   chamber with showerhead having cooled faceplateLAM RESEARCH   CORPORATION
10900126Substrate treating   method and apparatus used thereforSCREEN HOLDINGS CO.,   LTD.
10900127Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
10900142Apparatus for   manufacturing a second substrate on a first substrate including removal of   the first substrateSAMSUNG ELECTRONICS   CO., LTD.
10900719Heat dissipation unit--
10900883Mold test apparatus   and methodSAMSUNG ELECTRONICS   CO., LTD.
10900952Dual surface charge   sensing biosensorINTERNATIONAL   BUSINESS MACHINES CORPORATION
10900953DNA sequencing using   MOSFET transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10900995Tri-axial MEMS   accelerometerCHINESE ACADEMY OF   SCIENCES INSTITUTE OF GEOLOGY AND GEOPHYSICS
10901021Method for detecting   wafer processing parameters with micro resonator array sensorsAPPLIED MATERIALS,   INC.
10901282Thin film transistor   substrate and manufacturing method thereofWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10901316Iodine-containing   polymers for chemically amplified resist compositionsROHM AND HAAS   ELECTRONIC MATERIALS LLC
10901317Extreme ultraviolet   (EUV) lithography patterning methods utilizing EUV resist hardeningINTERNATIONAL   BUSINESS MACHINES CORPORATION
10901318Substrate processing   apparatus, method of adjusting parameters of coating module, and storage   mediumTOKYO ELECTRON   LIMITED
10901320Developing method,   developing apparatus, and computer-readable recording mediumTOKYO ELECTRON   LIMITED
10901321Strip process for   high aspect ratio structureMATTSON TECHNOLOGY,   INC.
10901322Methods for   evaluating resist developmentASML NETHERLANDS B.V.
10901324Imprint method,   imprint apparatus, and article manufacturing method using the sameCANON KABUSHIKI   KAISHA
10901402Closed-loop automatic   defect inspection and classificationAPPLIED MATERIALS   ISRAEL, LTD.
10901463Display device,   method for manufacturing the device and laser processing apparatus for   manufacturing the display deviceSAMSUNG DISPLAY CO.,   LTD.
10902804Display deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10902917Three-dimensional   vertical NOR flash thin-film transistor stringsSUNRISE MEMORY   CORPORATION
10902919Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
10903002Method for   manufacturing a magnetic memory element using Ru and diamond like carbon hard   masksSPIN MEMORY, INC.
10903013Dielectric powder and   multilayer capacitor using the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10903049Plasma processing   apparatus and measurement circuitTOKYO ELECTRON   LIMITED
10903050Endpoint sensor based   control including adjustment of an edge ring parameter for each substrate   processed to maintain etch rate uniformityLAM RESEARCH   CORPORATION
10903052Systems and methods   for radial and azimuthal control of plasma uniformityAPPLIED MATERIALS,   INC.
10903053Plasma processing   apparatusSAMSUNG ELECTRONICS   CO., LTD.
10903054Multi-zone gas   distribution systems and methodsAPPLIED MATERIALS,   INC.
10903055Edge ring for bevel   polymer reductionAPPLIED MATERIALS,   INC.
10903056Plasma source for   rotating susceptorAPPLIED MATERIALS,   INC.
10903057Temperature   adjustment device and substrate processing apparatusTOKYO ELECTRON   LIMITED
10903058Apparatus for   treating objects with plasma, use of this apparatus and method of using this   apparatusCOATING PLASMA   INDUSTRIE
10903059Film formation   apparatusSHIBAURA MECHATRONICS   CORPORATION
10903065Halogen removal   module and associated systems and methodsLAM RESEARCH   CORPORATION
10903066Heater support kit   for bevel etch chamberAPPLIED MATERIALS,   INC.
10903067Cooled reflective   adapter plate for a deposition chamberAPPLIED MATERIALS,   INC.
10903068Oxide-nitride-oxide   stack having multiple oxynitride layersLONGITUDE FLASH   MEMORY SOLUTIONS LTD.
10903069Method of fabricating   layered structureJIANGSU ADVANCED   MEMORY TECHNOLOGY CO., LTD.
10903070Asymmetric wafer bow   compensation by chemical vapor depositionLAM RESEARCH   CORPORATION
10903071Selective deposition   of silicon oxideLAM RESEARCH   CORPORATION
10903072Conductive C-plane   GaN substrateMITSUBISHI CHEMICAL   CORPORATION
10903073Systems and methods   of dislocation filtering for layer transferMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
10903074GaN laminate and   method of manufacturing the sameSCIOCS COMPANY   LIMITED
10903075Ordered nanoscale   electric field concentrators for embedded thin film devicesUNIVERSITY OF   MASSACHUSETTS
10903076Material selective   regrowth structure and methodTHE TEXAS STATE   UNIVERSITY—SAN MARCOS
10903077Methods to protect   nitride layers during formation of silicon germanium nano-wires in   microelectronic workpiecesTOKYO ELECTRON   LIMITED
10903078Methods for   processing a silicon carbide wafer, and a silicon carbide semiconductor   deviceINFINEON TECHNOLOGIES   AG
10903079Method for forming   complementary doped semiconductor regions in a semiconductor bodyINFINEON TECHNOLOGIES   DRESDEN GMBH & CO. KG
10903080Transistor device and   method for preparing the same--
10903081Substrate processing   methodTOKYO ELECTRON   LIMITED
10903082Spacer sculpting for   forming semiconductor devicesVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
10903083Substrate processing   method, substrate processing apparatus and substrate processing systemTOKYO ELECTRON   LIMITED
10903084Method of etching   silicon containing films selectively against each otherTOKYO ELECTRON   LIMITED
10903085Method for etching   organic regionTOKYO ELECTRON   LIMITED
10903086Titanium silicide   region forming methodTOKYO ELECTRON   LIMITED
10903087Laser processing   methodDISCO CORPORATION
10903088Electronic package   and method for fabricating the same--
109030893D semiconductor   device and structureMONOLITHIC 3D INC.
10903090Method of singulate a   package structure using a light transmitting film on a polymer layer--
10903091Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10903092Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10903093Heating deviceKELK LTD.
10903094Electrostatic puck   assembly with metal bonded backing plate for high temperature processesAPPLIED MATERIALS,   INC.
10903095Heat treatment   apparatus of light irradiation typeSCREEN HOLDINGS CO.,   LTD.
10903096System and apparatus   for process chamber window coolingVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
10903097In-situ wafer   temperature measurement and controlAXCELIS TECHNOLOGIES,   INC.
10903098Substrate processing   system and substrate processing apparatusKOKUSAI ELECTRIC   CORPORATION
10903099Semiconductor wafer   placement position determination method and semiconductor epitaxial wafer   production methodSUMCO CORPORATION
10903100Method of obtaining   amount of deviation of a measuring device, and method of calibrating transfer   position data in a processing systemTOKYO ELECTRON   LIMITED
10903101Substrate processing   apparatus and method for detecting abnormality of substrateEBARA CORPORATION
10903102Methods for purging a   substrate carrier at a factory interfaceAPPLIED MATERIALS,   INC.
10903103Front opening unified   pod--
10903104Dual scara armBROOKS AUTOMATION,   INC.
10903105Flip chip bonding   device and bonding methodSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10903106Layered body of   temporary adhesiveNISSAN CHEMICAL   INDUSTRIES, LTD.
10903107Semiconductor process   transport apparatus comprising an adapter pendantBROOKS AUTOMATION,   INC.
10903108Semiconductor devices   and methods of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10903109Methods of forming   high aspect ratio openings and methods of forming high aspect ratio featuresMICRON TECHNOLOGY,   INC.
10903110Method of forming   fine interconnection for a semiconductor device--
10903111Semiconductor device   with linerless contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903112Methods and apparatus   for smoothing dynamic random access memory bit line metalAPPLIED MATERIALS,   INC.
10903113Selective deposition   of aluminum and nitrogen containing materialASM IP HOLDING B.V.
10903114Decoupled via fillINTEL CORPORATION
10903115Controlling grain   boundaries in high aspect-ratio conductive regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903116Void-free metallic   interconnect structures with self-formed diffusion barrier layersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903117Fabricating vias with   lower resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903118Chamferless via   structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903119Semiconductor chip,   method of producing a semiconductor chip and apparatus having a plurality of   semiconductor chipsOSRAM OLED GMBH
10903120Semiconductor wafer   dicing crack prevention using chip peripheral trenchesINFINEON TECHNOLOGIES   AUSTRIA AG
10903121Hybrid wafer dicing   approach using a uniform rotating beam laser scribing process and plasma etch   processAPPLIED MATERIALS,   INC.
10903122Methods of forming an   array comprising pairs of vertically opposed capacitors and arrays comprising   pairs of vertically opposed capacitorsMICRON TECHNOLOGY,   INC.
10903123High threshold   voltage FET with the same fin height as regular threshold voltage vertical   FETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903124Transistor structure   with n/p boundary bufferINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903125Transistor with   strained channel and fabrication method thereof--
10903126Light irradiation   type heat treatment method and heat treatment apparatusSCREEN HOLDING CO.,   LTD.
10903127Film for a package   substrateSAMSUNG ELECTRONICS   CO., LTD.
10903129Electronic deviceROHM CO., LTD.
10903130Semiconductor   apparatus and manufacturing method of semiconductor apparatusFUJI ELECTRIC CO.,   LTD.
10903131Semiconductor   packages including bridge die spaced apart from semiconductor dieSK HYNIX INC.
10903133Method of producing   an SMD package with top side coolingINFINEON TECHNOLOGIES   AUSTRIA AG
10903134Silicon   heat-dissipation package for compact electronic devices--
10903135Chip package   structure and manufacturing method thereofHUAWEI TECHNOLOGIES   CO., LTD.
10903136Package structure   having a plurality of insulating layers--
10903137Electrical   interconnections with improved compliance due to stress relaxation and method   of makingINTEL CORPORATION
10903138Semiconductor device   and method of manufacturing the sameDENSO CORPORATION
10903141Thermal management of   RF devices using embedded microjet arraysMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
10903142Micro through-silicon   via for transistor density scalingINTEL CORPORATION
10903143Semiconductor device--
10903148High performance   multi-component electronics power moduleMICROCHIP TECHNOLOGY   INCORPORATED
10903150Lead frameOHKUCHI MATERIALS   CO., LTD.
10903151Semiconductor device   package and method of manufacturing the same--
10903153Thinned die stackINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903154Semiconductor device   and method of forming cantilevered protrusion on a semiconductor dieSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10903156Electronic device   with stud bumpsTDK CORPORATION
10903157Semiconductor device   having a glass substrate core layerSKC CO., LTD.
10903162Fuse element   resistance enhancement by laser anneal and ion implantationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903164Bonded assembly   including a semiconductor-on-insulator die and methods for making the sameSANDISK TECHNOLOGIES   LLC
10903165Power distribution   networks for monolithic three-dimensional semiconductor integrated circuit   devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903166Integrated circuit   packagesINTEL IP CORPORATION
10903167Electronic package,   packaging substrate, and methods for fabricating the same--
10903168Multi-RDL structure   packages and methods of fabricating the sameADVANCED MICRO   DEVICES, INC.
10903169Conductive structure   and wiring structure including the same--
10903172Package with   interlocking leads and manufacturing the sameSTMICROELECTRONICS,   INC.
10903177Method of   manufacturing a semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10903181Wafer level fan out   semiconductor device and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10903183Semiconductor device   and method of using leadframe bodies to form openings through encapsulant for   vertical interconnect of semiconductor dieJCET SEMICONDUCTOR   (SHAOXING) CO., LTD.
10903187Selective area   heating for 3D chip stackINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903190Semiconductor package   using a coreless signal distribution structureAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10903195High-resolution   micro-LED display device and manufacturing method of the sameLG DISPLAY CO., LTD.
10903198Semiconductor package   assembly and method for forming the same--
10903199Method for designing   vehicle controller-only semiconductor based on die and vehicle   controller-only semiconductor by the sameHYUNDAI AUTRON CO.,   LTD.
10903200Semiconductor device   manufacturing methodTOSHIBA MEMORY   CORPORATION
10903201Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10903202Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
10903206Semiconductor device   and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10903207Method of forming an   integrated circuit (IC) with shallow trench isolation (STI) regions and the   resulting IC structureGLOBALFOUNDRIES INC.
10903208Distributed   decoupling capacitorINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903209MOS transistors in   parallelSTMICROELECTRONICS   (ROUSSET) SAS
10903210Sub-fin doped bulk   fin field effect transistor (FinFET), Integrated Circuit (IC) and method of   manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903212Fin field effect   transistor devices with modified spacer and gate dielectric thicknessesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903213Integrated circuit   devicesSAMSUNG ELECTRONICS   CO., LTD.
10903221Memory cells and   memory arraysMICRON TECHNOLOGY,   INC.
10903222Three-dimensional   memory device containing a carbon-doped source contact layer and methods for   making the sameSANDISK TECHNOLOGIES   LLC
10903223Driver placement in   memories having stacked memory arraysMICRON TECHNOLOGY,   INC.
10903224Semiconductor device   and method for fabricating the same--
10903228Semiconductor storage   deviceTOSHIBA MEMORY   CORPORATION
10903230Three-dimensional   memory device containing through-memory-level contact via structures and   method of making the sameSANDISK TECHNOLOGIES   LLC
10903231Three-dimensional   semiconductor memory devices and methods of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10903232Three-dimensional   memory devices containing memory stack structures with laterally separated   charge storage elements and method of making thereofSANDISK TECHNOLOGIES   LLC
10903233Semiconductor memory   device and manufacturing method of semiconductor memory deviceTOSHIBA MEMORY   CORPORATION
10903235Non-volatile memory   device and operation method thereofSAMSUNG ELECTRONICS   CO., LTD.
10903236Three-dimensional   semiconductor memory deviceSAMSUNG ELECTRONICS   CO., LTD.
10903238Semiconductor device   and manufacturing method thereofTOSHIBA MEMORY   CORPORATION
10903240Integrated circuits   (ICs) on a glass substrateQUALCOMM INCORPORATED
10903249Array substrate and   manufacturing method thereof, display deviceORDOS YUANSHENG   OPTOELECTRONICS CO., LTD.
10903263Front-side type image   sensor and method for manufacturing such a sensorSOITEC
10903269Magnetic memory   device and fabrication method thereof--
10903295Display apparatus and   method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
10903302Display device having   an organic layer between a plurality of signal layersSAMSUNG DISPLAY CO.,   LTD.
10903303Display panel with a   separation pillar having slanting side surfaces disposed on a pixel   definition layer--
10903306Integrated trench   capacitorTEXAS INSTRUMENTS   INCORPORATED
10903307Fabrication of a MIM   capacitor structure with via etch control with integrated maskless etch   tuning layersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903314Semiconductor device   and method for manufacturing the same--
10903315Formation of   dielectric layer as etch-stop for source and drain epitaxy disconnectionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903316Radio frequency   switches with air gap structuresGLOBALFOUNDRIES INC.
10903318External resistance   reduction with embedded bottom source/drain for vertical transport FETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903319Patterning graphene   with a hard mask coatingNANOMEDICAL   DIAGNOSTICS, INC.
10903321Semiconductor device   and method of manufacturing a semiconductor device using an alignment layerINFINEON TECHNOLOGIES   AUSTRIA AG
10903323Semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
10903324Semiconductor device   including fin-FET and etch stop layersSAMSUNG ELECTRONICS   CO., LTD.
10903325Memory transistor   with multiple charge storing layers and a high work function gate electrodeLONGITUDE FLASH   MEMORY SOLUTIONS LTD.
10903327Three-dimensional   semiconductor memory devices and methods of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10903328Method for   fabricating semiconductor device--
10903329Contact   photolithography-based nanopatterning using photoresist features having   re-entrant profilesWISCONSIN ALUMNI   RESEARCH FOUNDATION
10903330Tapered gate   electrode for semiconductor devicesGENERAL ELECTRIC   COMPANY
10903332Fully depleted SOI   transistor with a buried ferroelectric layer in back-gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903333Doped gate dielectric   materialsHRL LABORATORIES, LLC
10903335Self-aligned internal   spacer with EUVIMEC VZW
10903336Semiconductor device   and method of manufacturing the same--
10903337Air gap spacer with   wrap-around etch stop layer under gate spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903339Vertical transport   FET devices having a sacrificial doped layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903341Methods for   manufacturing a MOSFETINFINEON TECHNOLOGIES   AUSTRIA AG
10903342Oxide-nitride-oxide   stack having multiple oxynitride layersLONGITUDE FLASH   MEMORY SOLUTIONS LTD.
10903343Semiconductor deviceMURATA MANUFACTURING   CO., LTD.
10903345Power MOSFET with   metal filled deep sinker contact for CSPTEXAS INSTRUMENTS   INCORPORATED
10903352Manufacturing method   of vertical GaN-based semiconductor device and vertical GaN-based   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10903354Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
10903356LDMOS device with   body diffusion self-aligned to gateTEXAS INSTRUMENTS   INCORPORATED
10903357Laterally diffused   metal oxide semiconductor (LDMOS) transistor on a semiconductor on insulator   (SOI) layer with a backside deviceQUALCOMM INCORPORATED
10903358Vertical fin field   effect transistor with reduced gate length variationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903360Vertically integrated   memory cells with complementary pass transistor selectorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903362Semiconductor device   with asymmetric strained source/drain structure and fabrication method--
10903363Ferroelectric   semiconductor deviceSK HYNIX INC.
10903365Transistors with   uniform source/drain epitaxyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903366Forming fin-FET   semiconductor structures--
10903368Semiconductor device   and display device including semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10903369Transistor channel   having vertically stacked nanosheets coupled by fin-shaped bridge regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10903370Semiconductor   integrated circuit deviceSOCIONEXT INC.
10903390Automated assembly   and mounting of solar cells on space panelsSOLAERO TECHNOLOGIES   CORP.
10903392Method of producing   optoelectronic semiconductor components and optoelectronic semiconductor   componentOSRAM OLED GMBH
10903393Transfer template,   display substrate, display panel, and method for manufacturing the sameBOE TECHNOLOGY GROUP   CO., LTD.
10903398Dielectric film   coating for full conversion ceramic plateletsOSRAM OPTO   SEMICONDUCTORS GMBH
10903406Housing comprising a   semiconductor body and a method for producing a housing with a semiconductor   bodyOSRAM OLED GMBH
10903415Large structure   monitoring with a substrate-free flexible sensor systemUNITED TECHNOLOGIES   CORPORATION
10903444OLED package   substrate having a conductor attached to electrode on an array substrateBOE TECHNOLOGY GROUP   CO., LTD.
10903548Antenna moduleSAMSUNG ELECTRONICS   CO., LTD.
10903561Semiconductor device   package and method of manufacturing the same--
10903623Method and structure   for manufacturable large area gallium and nitrogen containing substrateSORAA LASER DIODE,   INC.
10903803Semiconductor device   and power amplifier moduleMURATA MANUFACTURING   CO., LTD.
10903817Bulk acoustic wave   resonator and method of manufacturing the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10904996Substrate support   with electrically floating power supplyAPPLIED MATERIALS,   INC.
10906061Coating method,   coating apparatus and method for manufacturing componentPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10906283Wafer bonding   apparatus for directly bonding wafers and a wafer bonding system having the   sameSAMSUNG ELECTRONICS   CO., LTD.
10906288Method for   manufacturing display device--
10906305Liquid ejection headCANON KABUSHIKI   KAISHA
10906756Substrate processing   deviceTOKYO ELECTRON   LIMITED
10907073Polishing compositionFUJIMI INCORPORATED
10907074Polishing   compositions for reduced defectivity and methods of using the sameFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
10907097Method and apparatus   for preparing quantum dotsBOE TECHNOLOGY GROUP   CO., LTD.
10907247Apparatus and method   for processing sputtered IC unitsROKKO SYSTEMS PTE LTD
10907251Liner assemblies for   substrate processing systems--
10907253Method of   manufacturing semiconductor device, substrate processing apparatus and   recording mediumKOKUSAI ELECTRIC   CORPORATION
10907864Cooling systemTOKYO ELECTRON   LIMITED
10907952Optical measurement   device and method with improved measurement precisionSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10908113Liquid-sensing   apparatus and method of manufacturing the same--
10908121Membrane device,   measurement device, and method for producing membrane deviceHITACHI, LTD.
10908195System and method for   controlling power to a heaterWATLOW ELECTRIC   MANUFACTURING COMPANY
10908196System and method for   controlling power to a heaterWATLOW ELECTRIC   MANUFACTURING COMPANY
10908497Mask boxSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10908506Method of   manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10908518Lithographic   apparatus and methodASML HOLDING N.V.
10908519Alignment mark,   imprinting method, manufacturing method of semiconductor device, and   alignment deviceTOSHIBA MEMORY   CORPORATION
10908713Manufacturing method   of touch panelFUJIFILM CORPORATION
10910039Semiconductor device   with a plurality of surrounding gate transistorsUNISANTIS ELECTRONICS   SINGAPORE PTE. LTD.
10910158Capacitor and method   for fabricating the sameSHENZHEN WEITONGBO   TECHNOLOGY CO., LTD.
10910195Substrate support   with improved process uniformityLAM RESEARCH   CORPORATION
10910196Mode-switching plasma   systems and methods of operating thereofTOKYO ELECTRON   LIMITED
10910201Synthetic wavelengths   for endpoint detection in plasma etchingTOKYO ELECTRON   LIMITED
10910208Systems and   approaches for semiconductor metrology and surface analysis using secondary   ion mass spectrometryNOVA MEASURING   INSTRUMENTS, INC.
10910213Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10910214Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
10910215Method of forming   later insulating films for MTJTOKYO ELECTRON   LIMITED
10910216Low-k dielectric and   processes for forming same--
10910217Method for   manufacturing semiconductor device, non-transitory computer-readable   recording medium, and substrate processing apparatusKOKUSAI ELECTRIC   CORPORATION
10910219Laser irradiation   method and laser irradiation device and method of manufacturing semiconductor   deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10910220Planarization method   for a semiconductor substrate using a silicon-containing compositionNISSAN CHEMICAL   CORPORATION
10910221Semiconductor device   structure with a fine pattern and method for forming the same--
10910222Method for   manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10910223Doping through   diffusion and epitaxy profile shaping--
10910224Semiconductor device   having buried gate structure and method for fabricating the sameSK HYNIX INC.
10910225Film forming methodTOKYO ELECTRON   LIMITED
10910226Method of producing a   semiconductor laser and semiconductor laserOSRAM OLED GMBH
10910227Bottom and side   plasma tuning having closed loop controlAPPLIED MATERIALS,   INC.
10910228Surface treatment of   carbon containing films using organic radicalsMATTSON TECHNOLGOY,   INC.
10910229Substrate treatment   methodTOKYO ELECTRON   LIMITED
10910230Semiconductor   manufacturing apparatus and method for manufacturing semiconductor deviceHITACHI HIGH-TECH   CORPORATION
10910231Method of fabricating   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10910232Copper plasma etching   method and manufacturing method of display panelSAMSUNG DISPLAY CO.,   LTD.
10910233Semiconductor device   package and method of manufacturing the same--
10910234Substrate processing   apparatusSCREEN HOLDINGS CO.,   LTD.
10910235Substrate treating   apparatus and substrate treating methodSCREEN HOLDINGS CO.,   LTD.
10910236Semiconductor   manufacturing apparatus and method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
10910237Operating method for   wet etching system and related systemSAMSUNG ELECTRONICS   CO., LTD.
10910238Heater pedestal   assembly for wide range temperature controlAPPLIED MATERIALS,   INC.
10910239Method of   transferring micro devices and device transfer systemMIKRO MESA TECHNOLOGY   CO., LTD.
10910240Substrate processing   deviceSCREEN HOLDINGS CO.,   LTD.
10910241Wafer producing   apparatus and carrying trayDISCO CORPORATION
10910242Temperature   controller for manufacturing semiconductor--
10910243Thermal management   systemAPPLIED MATERIALS,   INC.
10910244Methods and system   for cleaning semiconductor wafersACM RESEARCH, INC.
10910245Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
10910246Hold checking method   and unhold checking method for waferDISCO CORPORATION
10910247Substrate container,   load port apparatus, and substrate treating apparatusSCREEN HOLDINGS CO.,   LTD.
10910248Electronic component   mounting apparatusSHINKAWA LTD.
10910249Systems and methods   for automated wafer handling--
10910250Method of mechanical   separation for a double layer transferSOITEC
10910251Pin control method   and substrate processing apparatusTOKYO ELECTRON   LIMITED
10910252Plasma processing   apparatusTOKYO ELECTRON   LIMITED
10910253Magnetically   levitated and rotated chuck for processing microelectronic substrates in a   process chamberTEL MANUFACTURING AND   ENGINEERING OF AMERICA, INC.
10910254Transfer device--
10910255Arrays of cross-point   memory structuresMICRON TECHNOLOGY,   INC.
10910256Pseudo-substrate with   improved efficiency of usage of single crystal materialSOITEC
10910257High resistivity SOI   wafers and a method of manufacturing thereof--
10910258Engineered substrate   structure and method of manufactureQROMIS, INC.
10910259Semiconductor device   manufacturing methodTOKYO ELECTRON   LIMITED
10910260Method for   manufacturing semiconductor device--
10910261Semiconductor devices   and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10910262Method of selectively   depositing a capping layer structure on a semiconductor device structureASM IP HOLDING B.V.
10910263Doping control of   metal nitride filmsAPPLIED MATERIALS,   INC.
10910265Gate aligned contact   and method to fabricate sameINTEL CORPORATION
10910266Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10910267Alignment marks in   substrate having through-substrate via (TSV)--
10910268Method of   manufacturing a chip package--
10910269Wafer processing   methodDISCO CORPORATION
10910270Method of forming and   packaging semiconductor dieMAGNACHIP   SEMICONDUCTOR, LTD.
10910271Wafer dicing using   femtosecond-based laser and plasma etchAPPLIED MATERIALS,   INC.
10910272Reusable support   substrate for formation and transfer of semiconductor devices and methods of   using the sameSANDISK TECHNOLOGIES   LLC
10910273Forming shallow   trench isolation regions for nanosheet field-effect transistor devices using   sacrificial epitaxial layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910274Backside processed   semiconductor deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10910275Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10910276STI structure with   liner along lower portion of longitudinal sides of active region, and related   FET and methodGLOBALFOUNDRIES INC.
10910277Semiconductor device   and method for fabricating the same--
10910278Semiconductor device,   method of manufacturing the same and electronic device including the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
10910279Variable resistance   memory devicesSAMSUNG ELECTRONICS   CO., LTD.
10910280Methods for   separating bonded wafer structures--
10910281Integrated circuit   metallic ion diffusion defect validationMICROSOFT TECHNOLOGY   LICENSING, LLC
10910282Prevention of   charging damage in full-depletion devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910283Pressure sensors on   flexible substrates for stress decouplingINFINEON TECHNOLOGIES   AG
10910284Semiconductor device   and method of manufacturing thereofINFINEON TECHNOLOGIES   AUSTRIA AG
10910286Wafer-level   system-in-package packaging method and package structure thereofNINGBO SEMICONDUCTOR   INTERNATIONAL CORPORATION
10910287Semiconductor package   with protected sidewall and method of forming the sameSTMICROELECTRONICS   PTE LTD
10910288Integrated circuit   package structure and package methodBOE TECHNOLOGY GROUP   CO., LTD.
10910289Electronic substrate   and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10910290Structures and   methods for heat dissipation of semiconductor devices--
10910294Semiconductor device   and method of manufacturing semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10910295QFN pre-molded   leadframe having a solder wettable sidewall on each leadSTMICROELECTRONICS,   INC.
10910297Package including   multiple semiconductor devicesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10910298Method of forming a   molded substrate electronic package and structureAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10910299Method of   manufacturing semiconductor package substrate and semiconductor package   substrate manufactured using the method, and method of manufacturing   semiconductor package and semiconductor package manufactured using the methodHAESUNG DS CO., LTD.
10910303Package structure and   manufacturing method thereofDELTA ELECTRONICS   INT'L (SINGAPORE) PTE LTD
10910306Devices including   vias extending through alternating dielectric materials and conductive   materials, and related electronic devicesMICRON TECHNOLOGY,   INC.
10910308Dual thickness fuse   structuresGLOBALFOUNDRIES U.S.   INC.
10910309Nanotube structure   based metal damascene processINFINEON TECHNOLOGIES   AG
10910310Methods of forming   semiconductor devicesMICRON TECHNOLOGY,   INC.
10910312Power distribution   networks for monolithic three-dimensional semiconductor integrated circuit   devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910313Integrated circuit   including field effect transistors having a contact on active gate compatible   with a small cell area having a small contacted poly pitchSAMSUNG ELECTRONICS   CO., LTD.
10910314Conductive coating   for a microelectronics packageINTEL CORPORATION
10910317Semiconductor package   having wafer-level active die and external die mountINTEL CORPORATION
10910318Optically detectable   reference feature for die separationINFINEON TECHNOLOGIES   AUSTRIA AG
10910321Semiconductor device   and method of making the same--
10910322Shielded   semiconductor package with open terminal and methods of makingSTATS CHIPPAC PTE.   LTD.
10910324Semiconductor device   having a resin case with a notch grooveFUJI ELECTRIC CO.,   LTD.
10910326Semiconductor packageMITSUBISHI ELECTRIC   CORPORATION
10910327Electronic device   package with reduced thickness variationINTEL CORPORATION
10910328Silicon wafer   manufacturing methodSUMCO CORPORATION
10910331Semiconductor device   bonding area including fused solder film and manufacturing methodLAPIS SEMICONDUCTOR   CO., LTD.
10910334Device for inspecting   a bump height surrounded by resist, device for processing a substrate, method   for inspecting a bump height, and storage mediumEBARA CORPORATION
10910337Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
10910338Electronic device   having an under-fill element, a mounting method of the same, and a method of   manufacturing a display apparatus having the electronic deviceSAMSUNG DISPLAY CO.,   LTD.
10910339Flip chip bonding   methodSAMSUNG ELECTRONICS   CO., LTD.
10910342Method for   transferring and placing a semiconductor device on a substrateIMEC VZW
10910343Package structure   with improvement layer and fabrication method thereofTONGFU   MICROELECTRONICS CO., LTD.
10910346Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10910349Stacked semiconductor   chips having transistor in a boundary regionSAMSUNG ELECTRONICS   CO., LTD.
10910354Apparatus for direct   transfer of semiconductor device dieROHINNI, LLC
10910355Bezel-free displaysX DISPLAY COMPANY   TECHNOLOGY LIMITED
10910357Semiconductor package   including hybrid bonding structure and method for preparing the same--
10910363Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
109103643D semiconductor   deviceMONOLITAIC 3D INC.
109103663D stacked integrated   circuits having functional blocks configured to accelerate artificial neural   network (ANN) computationMICRON TECHNOLOGY,   INC.
10910367Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10910369On-chip security   circuitINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910370Integrated circuit   devices including a vertical field-effect transistor (VFET) and a fin   field-effect transistor (FinFET) and methods of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
10910371Self-heating test   structureSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10910372Fin field effect   transistor devices with modified spacer and gate dielectric thicknessesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910373Semiconductor device   having asymmetric fin-shaped patternSAMSUNG ELECTRONICS   CO., LTD.
10910374Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10910375Semiconductor device   and method of fabrication thereof--
10910376Semiconductor devices   including diffusion break regionsSAMSUNG ELECTRONICS   CO., LTD.
10910377LDMOS devices,   integrated circuits including LDMSO devices, and methods for fabricating the   sameGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
10910380Method of   manufacturing dynamic random access memory--
10910381Multicolor approach   to DRAM STI active cut patterningAPPLIED MATERIALS,   INC.
10910382Method for   fabricating semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10910383Semiconductor device   and method for fabricating the sameSK HYNIX INC.
10910384Memory devices and   methods of fabricating the same--
10910385Vertical   gate-all-around TFETSTMICROELECTRONICS,   INC.
10910386Semiconductor device   and method for fabricating the same--
10910387Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10910388Semiconductor storage   deviceTOSHIBA MEMORY   CORPORATION
10910389Methods and   apparatuses with vertical strings of memory cells and support circuitryMICRON TECHNOLOGY,   INC.
10910394Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
10910395Methods of forming   semiconductor device structuresMICRON TECHNOLOGY,   INC.
10910399Three dimensional   memory device and method for fabricating the same--
10910402Three-dimensional and   flash memory and manufacturing method thereof--
10910403Memory cells   comprising a programmable field effect transistor having a reversibly   programmable gate insulatorMICRON TECHNOLOGY,   INC.
10910405Backside fin recess   control with multi-HSI optionINTEL CORPORATION
10910412Etchant composition,   and method for manufacturing metal pattern and array substrate using the sameSAMSUNG DISPLAY CO.,   LTD.
10910414Photodetector in a   silicon carbide integrated circuitCOOLCAD ELECTRONICS,   LLC
10910416Semiconductor device,   image pickup device, and method for manufacturing semiconductor deviceSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10910438Memory array with   graded memory stack resistancesMICRON TECHNOLOGY,   INC.
109104653D printed   semiconductor packageTEXAS INSTRUMENTS   INCORPORATED
10910466Process for tuning   via profile in dielectric material--
10910467Capacitor including   first electrode, dielectric layer, and second electrode, image sensor, method   for manufacturing capacitor, and method for manufacturing image sensorPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10910469Semiconductor device   with conducting structure for reducing parasitic capacitance and improving RC   delay--
10910471Device with large EPI   in FinFETs and method of manufacturingGLOBALFOUNDRIES INC.
10910472Integrated circuit,   LDMOS with bottom gate and ballast driftTEXAS INSTRUMENTS   INCORPORATED
10910473Apparatuses with   atomically-thin ohmic edge contacts between two-dimensional materials,   methods of making same, and devices comprising sameCORNELL UNIVERSITY
10910474Group III nitride   semiconductor substrate and method for manufacturing group III nitride   semiconductor substrateFURUKAWA CO., LTD.
10910476Integrated structures   having gallium-containing regionsMICRON TECHNOLOGY,   INC.
10910477Display device and   method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
10910479Gate feature in   FinFET device--
10910480Transistor with   multi-metal gateMITSUBISHI ELECTRIC   RESEARCH LABORATORIES, INC.
10910482Nanosheet with   changing SiGe percentage for SiGe lateral recessINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910483Fin diode structure   and methods thereof--
10910484Bipolar transistor   semiconductor deviceMURATA MANUFACTURING   CO., LTD.
10910489Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
10910492Semiconductor device   and method for manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10910494Method and structure   for forming vertical transistors with various gate lengthsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910495Thin film transistors   with epitaxial source/drain and drain field reliefINTERNATIONAL   BUSINESS MACHINES CORPORATION
10910496FinFET device with   asymmetrical drain/source feature--
10910497Thin film transistor   having light shielding layerBOE TECHNOLOGY GROUP   CO., LTD.
10910498Array substrate,   method for fabricating the same and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
10910501Stucture and method   for SIC based protection deviceMONOLITH   SEMICONDUCTOR, INC.
10910510Encapsulated flexible   electronic device, and corresponding manufacturing methodSTMICROELECTRONICS   S.R.L.
10910514Molded etch masksFACEBOOK   TECHNOLOGIES, LLC
10910522High efficiency LEDs   and LED lampsCOOLEDGE LIGHTING   INC.
10910523Light emitting device--
10910537Light emitting   device, resin-attached lead frame, and methods of manufacturing the sameNICHIA CORPORATION
10910540Solid state light   emitter die having a heat spreader between a plurality lead frameSIGNIFY HOLDING B.V.
10910552Magnetic memory   device, method for manufacturing the same, and substrate treating apparatusSAMSUNG ELECTRONICS   CO., LTD.
10910595Flexible display   panel and flexible display apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10910705Antenna in package   device having substrate stackTEXAS INSTRUMENTS   INCORPORATED
10910782Treatment, before the   bonding of a mixed Cu-oxide surface, by a plasma containing nitrogen and   hydrogenCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10912192Flexible circuit   board, COF module and electronic device comprising the sameLG INNOTEK CO., LTD.
10913137Method for polishing   silicon waferSHIN-ETSU HANDOTAI   CO., LTD.
10913183Process for producing   package for mounting a semiconductor element and mold release filmAGC INC.
10913248Method for   manufacturing electronic component, resin composition for temporary fixing,   resin film for temporary fixing, and resin film sheet for temporary fixingSHOWA DENKO MATERIALS   CO., LTD.
10913254Method for debonding   temporarily adhesive-bonded carrier-workpiece pair by using chemical and   mechanical meansDIDREW TECHNOLOGY   (BVI) LIMITED
10913601Temporary storage   systemMURATA MACHINERY,   LTD.
10913754Lanthanum compound   and methods of forming thin film and integrated circuit device using the   lanthanum compoundSAMSUNG ELECTRONICS   CO., LTD.
10913893Additive to   phosphoric acid etchantYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10913998Magnetically enhanced   high density plasma-chemical vapor deposition plasma source for depositing   diamond and diamond-like filmsIONQUESTCORP.
10914004Thin-film deposition   method and manufacturing method of semiconductor deviceASM IP HOLDING B.V.
10914005Substrate processing   apparatus having gas guide capable of suppressing gas diffusionKOKUSAI ELECTRIC   CORPORATION
10914007Method and apparatus   for substrate processingNPS CORPORATION
10914008Method and solution   for forming interconnectsIMEC VZW
10914018Porous Cu on Cu   surface for semiconductor packagesINFINEON TECHNOLOGIES   AG
10914539Two piece aluminum   heat sinkOSRAM SYLVANIA INC.
10914655Optical time-domain   reflectometer device including multiple and bi-directional optical testing   for fiber analysisVIAVI SOLUTIONS INC.
10914783Test circuit and   semiconductor deviceABLIC INC.
10914998Array substrate of   thin-film transistor liquid crystal display device and method for   manufacturing the sameSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10915015EUV mask blank,   photomask manufactured by using the EUV mask blank, lithography apparatus   using the photomask and method of fabricating semiconductor device using the   photomaskSAMSUNG ELECTRONICS   CO., LTD.
10915016Mask blank, method   for manufacturing phase shift mask, and method for manufacturing   semiconductor deviceHOYA CORPORATION
10915024Method for   manufacturing pattern for electronic devices, and fiber-type electronic   device comprising the pattern for electronic devicesKOREA INSTITUTE OF   SCIENCE AND TECHNOLOGY
10915025Substrate treating   methodSCREEN HOLDINGS CO.,   LTD.
10915026Substrate treating   apparatus and substrate treating methodSEMES CO., LTD.
10915029Particle irradiation   apparatus, beam modifier device, and semiconductor device including a   junction termination extension zoneINFINEON TECHNOLOGIES   AG
10915394Schemes for   protecting data in NVM device using small storage footprintAPPLE INC.
10916192Display with   redundant light emitting devicesFACEBOOK   TECHNOLOGIES, LLC
10916286Assisted write method   for MRAM testing and field applications--
10916408Apparatus and method   of forming plasma using a pulsed waveformAPPLIED MATERIALS,   INC.
10916409Active control of   radial etch uniformityLAM RESEARCH   CORPORATION
10916410Plasma processing   apparatusTOKYO ELECTRON   LIMITED
10916416Semiconductor wafer   with modified surface and fabrication method thereof--
10916417Pre-processing   method, method for forming metal silicide and semiconductor processing   apparatusNEXCHIP SEMICONDUCTOR   CORPORATION
10916418Using sacrificial   polymer materials in semiconductor processingMICRON TECHNOLOGY,   INC.
10916419Insulator, capacitor   with the same and fabrication method thereof, and method for fabricating   semiconductor deviceKEY FOUNDRY CO., LTD.
10916420Processing method and   plasma processing apparatusTOKYO ELECTRON   LIMITED
10916421Method of   manufacturing epitaxial silicon wafersGLOBALWAFERS JAPAN   CO., LTD.
10916422Buffer layers having   composite structuresXIAMEN CHANGELIGHT   CO., LTD.
10916423Paste composition and   method for forming silicon germanium layerTOYO ALUMINIUM   KABUSHIKI KAISHA
10916424Methods for forming   graded wurtzite III-nitride alloy layersKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
10916425Method for   manufacturing silicon single crystal, flow straightening member, and single   crystal pulling deviceSUMCO CORPORATION
10916426Formation of   crystalline, layered transition metal dichalcogenidesAPPLIED MATERIALS,   INC.
10916427Forming contact holes   using litho-etch-litho-etch approach--
10916428Method to transfer   patterns to a layerTOKYO ELECTRON   LIMITED
10916429Semiconductor device   packages and stacked package assemblies including high density   interconnections--
10916430Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10916431Robust gate cap for   protecting a gate from downstream metallization etch operationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916432Formation of pure   silicon oxide interfacial layer on silicon-germanium channel field effect   transistor deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916433Methods of forming   metal silicide layers and metal silicide layers formed therefromAPPLIED MATERIALS,   INC.
10916434Feature fill with   multi-stage nucleation inhibitionLAM RESEARCH   CORPORATION
10916435Surface treatment   composition, method of producing surface treatment composition, method of   treating surface, and method of producing semiconductor substrateFUJIMI INCORPORATED
10916436Plasma dicing methodSAMSUNG ELECTRONICS   CO., LTD.
10916437Methods of forming   micropatterns and substrate processing apparatusSAMSUNG ELECTRONICS   CO., LTD.
10916438Method of multiple   gate oxide forming with hard maskALLEGRO MICROSYSTEMS,   LLC
10916439Mask-integrated   surface protective filmFURUKAWA ELECTRIC   CO., LTD.
10916440Process and apparatus   for processing a nitride structure without silica depositionTOKYO ELECTRON   LIMITED
10916441Method for producing   semiconductor chipsFURUKAWA ELECTRIC   CO., LTD.
10916442Etching methodTOKYO ELECTRON   LIMITED
10916443Spacer-damage-free   etching--
10916445Method for preparing   a p-type semiconductor layer, enhanced device and method for manufacturing   the sameENKRIS SEMICONDUCTOR,   INC.
10916446Use of wafer   brightness to monitor laser anneal process and laser anneal toolX-FAB TEXAS, INC.
10916447Semiconductor device   and method for manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10916448Method for creating a   wettable surface for improved reliability in QFN packagesTEXAS INSTRUMENTS   INCORPORATED
10916449Semiconductor package   and method for fabricating base for semiconductor package--
10916450Package of integrated   circuits having a light-to-heat-conversion coating material--
10916451Systems and methods   of gap calibration via direct component contact in electronic device   manufacturing systemsAPPLIED MATERIALS,   INC.
10916452Wafer drying   equipment and method thereof--
10916453Lithographic   apparatus, method of transferring a substrate and device manufacturing methodASML NETHERLANDS B.V.
10916454Method of stripping a   photoresist, and method of manufacturing a semiconductor deviceABLIC INC.
10916455Flattening method and   flattening apparatusEBARA CORPORATION
10916456Substrate liquid   processing apparatus and substrate liquid processing methodTOKYO ELECTRON   LIMITED
10916457Heating device and   semiconductor manufacturing apparatusNISSIN ION EQUIPMENT   CO., LTD.
10916458Transfer head for   transferring micro element and transferring method of micro elementXIAMEN SANAN   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10916459Protective member   forming apparatusDISCO CORPORATION
10916460Wafer producing   apparatusDISCO CORPORATION
10916461Method of laser   scribing of semiconductor workpiece using divided laser beamsEVANA TECHNOLOGIES,   UAB
10916462Laser marking focus   feedback system having an intensity indication of reflected radiation passed   through an objective lens, a beam splitter and a pinholeKLA-TENCOR   CORPORATION
10916463Substrate processing   apparatus, substrate processing method and recording mediumTOKYO ELECTRON   LIMITED
10916464Method of pre   aligning carrier, wafer and carrier-wafer combination for throughput   efficiencyAPPLIED MATERIALS,   INC.
10916465Inorganic light   emitting diode (ILED) assembly via direct bondingFACEBOOK   TECHNOLOGIES, LLC
10916466Wafer uniting methodDISCO CORPORATION
10916467Apparatus having   on-chip fail safe logic for I/O signal in high integrity functional safety   applicationsTEXAS INSTRUMENTS   INCORPORATED
10916468Semiconductor device   with buried local interconnectsELPIS TECHNOLOGIES   INC.
10916469Systems and methods   for a semiconductor structure having multiple semiconductor-device layers--
10916470Modified dielectric   fill between the contacts of field-effect transistorsGLOBALFOUNDRIES INC.
10916471Dual silicide liner   flow for enabling low contact resistanceELPIS TECHNOLOGIES   INC.
10916472Self-aware and   correcting heterogenous platform incorporating integrated semiconductor   processing modules and method for using sameTOKYO ELECTRON   LIMITED
10916473Method of cleaning   wafer after CMP--
10916474Method of reducing   residual contamination in singulated semiconductor dieSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10916475Semiconductor device   and a method for fabricating the same--
10916476Semiconductor devices   with various line widths and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10916477Fin field-effect   transistor devices and methods of forming the same--
10916478Methods of performing   fin cut etch processes for FinFET semiconductor devicesGLOBALFOUNDRIES U.S.   INC.
10916479Fabrication method   for fin-based semiconductor deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10916480Magnetic wall   utilization type analog memory device, magnetic wall utilization type analog   memory, nonvolatile logic circuit, and magnetic neuro deviceTDK CORPORATION
10916481Thickness sensor for   conductive features--
10916482Semiconductor device   and method of forming reconstituted wafer with larger carrier to achieve more   EWLB packages per wafer with encapsulant deposited under temperature and   pressureJCET SEMICONDUCTOR   (SHAOXING) CO., LTD.
10916484Electronic device   including redistribution layer pad having a voidINFINEON TECHNOLOGIES   AG
10916485Molded wafer level   packagingSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10916486Semiconductor device   including silane based adhesion promoter and method of makingINTEL CORPORATION
10916488Semiconductor package   having thermal conductive pattern surrounding the semiconductor die--
10916492Semiconductor   substrate and method of manufacturing the same--
10916494Device comprising   first solder interconnects aligned in a first direction and second solder   interconnects aligned in a second directionQUALCOMM INCORPORATED
10916495Fan-out semiconductor   packageSAMSUNG ELECTRONICS   CO., LTD.
10916498Interconnect   structure for logic circuit--
10916499Vias and gaps in   semiconductor interconnectsINTEL CORPORATION
10916500Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10916501Back end of line   electrical fuse structure and method of fabricationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916502Semiconductor device   and manufacturing method thereof--
10916503Back end of line   metallization structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916504Three-dimensional   memory device including electrically conductive layers with   molybdenum-containing linersSANDISK TECHNOLOGIES   LLC
10916505Graphene diffusion   barrierAPPLIED MATERIALS,   INC.
10916506Semiconductor deviceDENSO CORPORATION
10916507Multiple chip carrier   for bridge assemblyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916508Semiconductor device   package with radiation shieldTOSHIBA MEMORY   CORPORATION
10916509Substrate, method of   sawing substrate, and semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10916514Metal-free frame   design for silicon bridges for semiconductor packagesINTEL CORPORATION
10916515Systems and methods   using an RF circuit on isolating materialINFINEON TECHNOLOGIES   AG
10916517Redistribution layer   metallic structure and method--
10916519Method for   manufacturing semiconductor package with connection structures including via   groups--
10916520Semiconductor device,   and method of manufacturing the sameMITSUBISHI ELECTRIC   CORPORATION
10916521Electrical connector   with an elastic body having carbon nanotubes and method for manufacturing   sameSHIN-ETSU POLYMER   CO., LTD.
10916523Microdevice transfer   setup and integration of micro-devices into system substrateVUEREAL INC.
10916529Electronics card   including multi-chip module--
10916533Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10916534Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10916535Semiconductor device   including a field effect transistorSAMSUNG ELECTRONICS   CO., LTD.
10916538Semiconductor device   and manufacturing method therefor, solid-state imaging element, and   electronic equipmentSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10916540Device including PCM   RF switch integrated with group III-V semiconductorsNEWPORT FAB, LLC
10916542Recessed STI as the   gate dielectric of HV device--
10916543Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10916544Gate-all-around   quantum well complementary inverter and method of making the sameSIEN (QINGDAO)   INTEGRATED CIRCUITS CO., LTD
10916546Enhanced channel   strain to reduce contact resistance in NMOS FET devices--
10916547Floating body memory   cell having gates favoring different conductivity type regionsINTEL CORPORATION
10916549Semiconductor devices   including enlarged contact hole and methods of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
10916555Structure of memory   cell and method for fabricating the same--
10916558NOR flash memory and   method of fabricating the same--
10916559Nonvolatile   semiconductor memory device and manufacturing method thereofKIOXIA CORPORATION
10916560Crenellated charge   storage structures for 3D NAND--
10916561Method of fabricating   semiconductor deviceTOKYO ELECTRON   LIMITED
10916564Assemblies having   vertically-extending structures, and methods of forming assemblies having   vertically-extending channel material pillarsMICRON TECHNOLOGY,   INC.
10916565LTPS substrate and   fabricating method thereof, thin film transistor thereof, array substrate   thereof and display device thereofBOE TECHNOLOGY GROUP   CO., LTD.
10916566Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10916577Semiconductor device   and method of manufacturing the same, and electronic apparatusSONY CORPORATION
10916582Vertically-strained   silicon device for use with a perpendicular magnetic tunnel junction (PMTJ)SPIN MEMORY, INC.
10916590Light emitting   element and display deviceSONY CORPORATION
10916598OLED array substrate,   method for fabricating the same, OLED pixel circuit, and display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10916605Display substrate,   method of manufacturing the same, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
10916611Organic light   emitting display device and fabricating method thereofLG DISPLAY CO., LTD.
10916621Capacitor including   first electrode, second electrode, and dielectric layer, image sensor, and   method for producing capacitorPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10916624Semiconductor   integrated circuit and method of manufacturing the sameFUJI ELECTRIC CO.,   LTD.
10916627Nanosheet transistor   with fully isolated source and drain regions and spacer pinch offINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916629Nanosheet-CMOS EPROM   device with epitaxial oxide charge storage regionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916630Nanosheet devices   with improved electrostatic integrityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916633Silicon germanium   FinFET with low gate induced drain leakage currentINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916634Method of fabricating   a flash memory--
10916636Method of forming   gate--
10916637Method of forming   gate spacer for nanowire FET deviceTOKYO ELECTRON   LIMITED
10916638Vertical fin field   effect transistor devices with reduced top source/drain variability and lower   resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916640Approach to high-k   dielectric feature uniformityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916641Thin film transistor,   method of manufacturing thin film transistor, and manufacturing system--
10916645Compound   semiconductor device including protective layer and ohmic electrodeFUJITSU LIMITED
10916646Semiconductor device   and method for manufacturing the sameKABUSHIKI KAISHA   TOSHIBA
10916647FET transistor on a   III-V material structure with substrate transferHRL LABORATORIES, LLC
10916649Vertical field effect   transistor with reduced external resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916650Uniform bottom spacer   for VFET devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916651Body contact in fin   field effect transistor designINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916652Asymmetric   transistors and related devices and methodsINTEL CORPORATION
10916655Ferroelectric   semiconductor device including a ferroelectric and manufacturing method   thereofSAMSUNG ELECTRONICS   CO., LTD.
10916656MOS devices having   epitaxy regions with reduced facets--
10916657Tensile strain in   NFET channelINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916659Asymmetric threshold   voltage FinFET device by partial channel doping variationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916660Vertical transistor   with a body contact for back-biasingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916661Thin film transistor   substrate provided with protective film and method for producing sameMERCK PATENT GMBH
10916664Non-volatile memory   and manufacturing method for the sameNEXCHIP SEMICONDUCTOR   CO., LTD.
10916675High efficiency   multijunction photovoltaic cellsARRAY PHOTONICS, INC.
10916681Semiconductor   stacking structure, and method and apparatus for separating nitride   semiconductor layer using sameSAMSUNG ELECTRONICS   CO., LTD.
10916688Eutectic electrode   structure of flip-chip LED chip and flip-chip LED chipXIAMEN SANAN   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10916694Magnetoresistive   random access memory (MRAM) device--
10916696Method for   manufacturing magnetic memory element with post pillar formation annealingSPIN MEMORY, INC.
10916699Resistive memory   crossbar array employing selective barrier layer growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
10916878Unitary molded USB   deviceWESTERN DIGITAL   TECHNOLOGIES, INC.
10917052Dual device   semiconductor structures with shared drainCIRRUS LOGIC, INC.
10917069Electronic componentMURATA MANUFACTURING   CO., LTD.
10917953Electrically parallel   fused LEDsX DISPLAY COMPANY   TECHNOLOGY LIMITED
10917965Display panel and   display device including the sameSAMSUNG DISPLAY CO.,   LTD.
10917966Articles including   metallized viasCORNING INCORPORATED
10917994Wickless capillary   driven constrained vapor bubble heat pipes for application in rack serversINTEL CORPORATION
10918298High-speed,   high-resolution electrophysiology in-vivo using conformal electronicsTHE BOARD OF TRUSTEES   OF THE UNIVERSITY OF ILLINOIS
10919071Rotary plate for   holding a substrate for a coating deviceOBDUCAT AB
10919123Piezo-electric   end-pointing for 3D printed CMP padsAPPLIED MATERIALS,   INC.
10919195Imprint apparatus and   method of manufacturing articleCANON KABUSHIKI   KAISHA
10919280Two-dimensional   material printer and transfer system and method for atomically layered   materialsTHE GEORGE WASHINGTON   UNIVERSITY
10919710Transport unit,   transfer apparatus, and transfer methodHIRATA CORPORATION
10919713System for loading   and unloading a substrate, method for loading a substrate, and method for   unloading a substrateBOE TECHNOLOGY GROUP   CO., LTD.
10919811Aluminum-silicon-carbide   composite and method of manufacturing sameDENKA COMPANY LIMITED
10920104Abrasive, polishing   composition, and polishing methodFUJIMI INCORPORATED
10920105Materials and methods   for chemical mechanical polishing of ruthenium-containing materials--
10920106Metal chemical   mechanical planarization (CMP) composition and methods thereforeVERSUM MATERIALS US,   LLC
10920107Self-stopping   polishing composition and method for bulk oxide planarizationCMC MATERIALS, INC.
10920120Ceria composite   particle dispersion, method for producing same, and polishing abrasive grain   dispersion comprising ceria composite particle dispersionJGC CATALYSTS AND   CHEMICALS LTD.
10920141Compositions and   methods for selectively etching titanium nitrideENTEGRIS, INC.
10920142Polysiloxane-based   compound, silicon nitride layer etching composition including the sameENF TECHNOLOGY CO.,   LTD.
10920143Etching liquid   composition and etching methodADEKA CORPORATION
10920144Etching compositionsFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
10920179Cleaning solution and   method for cleaning substrateTOKYO OHKA KOGYO CO.,   LTD.
10920317Shower head, vapor   phase growth apparatus and vapor phase growth methodNUFLARE TECHNOLOGY,   INC.
10920318Shower plate,   semiconductor manufacturing apparatus, and method for manufacturing shower   plateKYOCERA CORPORATION
10920319Ceramic showerheads   with conductive electrodesAPPLIED MATERIALS,   INC.
10920320Plasma health   determination in semiconductor substrate processing reactorsAPPLIED MATERIALS,   INC.
10920326Etchant composition   for etching titanium layer or titanium-containing layer, and etching methodKANTO KAGAKU   KABUSHIKI KAISHA
10920335Electroplating   apparatus for tailored uniformity profileNOVELLUS SYSTEMS,   INC.
10920764Pumping apparatus,   treatment solution supplying device, substrate treating apparatus, liquid   draining method, and liquid replacing methodSCREEN HOLDINGS CO.,   LTD.
10921057Substrate drying   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
10921261Strontium tetraborate   as optical coating materialKLA CORPORATION
10921348Modular device   architectureROHDE & SCHWARZ   GMBH & CO. KG
10921616Optoelectronic deviceROCKLEY PHOTONICS   LIMITED
10921619Optical modulator   with region epitaxially re-grown over polycrystalline siliconCISCO TECHNOLOGY,   INC.
10921627Methods of   manufacturing display panels and display panels--
10921713Developing method,   computer-readable storage medium and developing apparatusTOKYO ELECTRON   LIMITED
10921773Temperature control   methodTOKYO ELECTRON   LIMITED
10921793Substrate routing and   throughput modelingAPPLIED MATERIALS,   INC.
10922464RC tool accuracy time   reduction--
10922472Method of   manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10922524Optical path   modulator and manufacturing method thereof, fingerprint identification   apparatus and terminal deviceSHENZHEN WEITONGBO   TECHNOLOGY CO., LTD.
10922808File selection for   test image to design alignmentKLA—TENCOR   CORP.
10923286Method and apparatus   for compensating for high thermal expansion coefficient mismatch of a stacked   deviceNXP USA, INC.
10923320System for tunable   workpiece biasing in a plasma reactorAPPLIED MATERIALS,   INC.
10923321Apparatus and method   of generating a pulsed waveformAPPLIED MATERIALS,   INC.
10923324Microwave plasma   sourceVERITY INSTRUMENTS,   INC.
10923325Method of controlling   an implanter operating in plasma immersionION BEAM SERVICES
10923326Gas spraying   apparatus for substrate processing apparatus and substrate processing   apparatusJUSUNG ENGINEERING   CO., LTD.
10923328Plasma processing   method and plasma processing apparatusTOKYO ELECTRON   LIMITED
10923329Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
10923333Substrate processing   apparatus and substrate processing control methodTOKYO ELECTRON   LIMITED
10923334Selective deposition   of hardmaskAPPLIED MATERIALS,   INC.
10923340Apparatus and method   for electrodeposition of metals with the use of an ionically resistive   ionically permeable element having spatially tailored resistivityLAM RESEARCH   CORPORATION
10923341Method of forming   oxide layer and method of fabricating semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10923342Selective   modification method of a base material surfaceJSR CORPORATION
10923343High-k dielectric   layer, fabricating method thereof and multi-function equipment implementing   such fabricating method--
10923344Methods for forming a   semiconductor structure and related semiconductor structuresASM IP HOLDING B.V.
10923345Epitaxial metal oxide   as buffer for epitaxial III-V layersIQE PLC
10923346Group III nitride   semiconductor and method for producing samePANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10923347Metal oxide film and   manufacturing method thereof, thin film transistor and array substrateHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10923348Gate-all-around field   effect transistor using template-assisted-slective-epitaxyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10923349Semiconductor element   and method for manufacturing the sameKABUSHIKI KAISHA   TOSHIBA
10923350Manufacturing method   of semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10923351Coating methodSCREEN HOLDINGS CO.,   LTD.
10923352Method for forming a   functionalised guide pattern for a graphoepitaxy methodCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10923353Fin field effect   transistor (FinFET) device with controlled end-to-end critical dimension and   method for forming the same--
10923354Etching methodNATIONAL UNIVERSITY   CORPORATION SAITAMA UNIVERSITY
10923355Methods and systems   for dopant activation using microwave radiation--
10923356Gas phase etch with   controllable etch selectivity of silicon-germanium alloysTOKYO ELECTRON   LIMITED
10923357Element chip and   manufacturing process thereofPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10923358Substrate processing   methodTOKYO ELECTRON   LIMITED
10923359Limited dose and   angle directed beam assisted ALE and ALD processes for localized coatings on   non-planar surfaces--
10923360Method of etching   film and plasma processing apparatusTOKYO ELECTRON   LIMITED
10923361Deposition of organic   filmsASM IP HOLDING B.V.
10923362Manufacturing process   of element chipPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10923363Method for increasing   pattern density on a waferTOKYO ELECTRON   LIMITED
10923364Methods for producing   packaged semiconductor devicesINFINEON TECHNOLOGIES   AG
10923365Connection structure   and method for forming the same--
10923366Substrate processing   apparatus and method of manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
10923367Process chamber for   etching low K and other dielectric filmsAPPLIED MATERIALS,   INC.
10923368Substrate processing   apparatus, substrate processing method, and storage mediumTOKYO ELECTRON   LIMITED
10923369Temperature   controlling apparatus, temperature controlling method, and placing tableTOKYO ELECTRON   LIMITED
10923370Transport system and   transport methodMURATA MACHINERY,   LTD.
10923371Metrology system for   substrate deformation measurementAPPLIED MATERIALS,   INC.
10923372Gas injection deviceSINFONIA TECHNOLOGY   CO., LTD.
10923373Substrate storage   container and gas replacement unitSHIN-ETSU POLYMER   CO., LTD.
10923374Walking beam chamberAPPLIED MATERIALS,   INC.
10923375Load port moduleBROOKS AUTOMATION,   INC.
10923376Rotary stocker and   transfer system provided with rotary stockerKAWASAKI JUKOGYO   KABUSHIKI KAISHA
10923377Load port and method   of detecting abnormality in FOUP lid of load portSINFONIA TECHNOLOGY   CO., LTD.
10923378Micro-component batch   transfer systems, methods, and devicesSEAGATE TECHNOLOGY   LLC
10923379Methods for   controlling clamping of insulator-type substrate on electrostatic-type   substrate support structureLAM RESEARCH   CORPORATION
10923380Electrostatically   clamped edge ringLAM RESEARCH   CORPORATION
10923381Electrostatic chuck   deviceSUMITOMO OSAKA CEMENT   CO., LTD.
10923382Electrostatic chuckTOTO LTD.
10923383Electrostatic chuckTOTO LTD.
10923384Transfer robot and   apparatus for treating substrate with the robotSEMES CO., LTD.
10923385Carrier plate for use   in plasma processing systemsLAM RESEARCH   CORPORATION
10923386Processing chamberAPPLIED MATERIALS,   INC.
10923387Array of gated   devices and methods of forming an array of gated devicesMICRON TECHNOLOGY,   INC.
10923388Gap fill void and   connection structuresGLOBALFOUNDRIES U.S.   INC.
10923389Air-gap spacers for   field-effect transistorsGLOBALFOUNDRIES U.S.   INC.
10923390Semiconductor device   with air gap and method for fabricating the sameSK HYNIX INC.
10923391Semiconductor device   with contact pad--
10923392Interconnect   structure and method of forming the sameTOKYO ELECTRON   LIMITED
10923393Contacts and   interconnect structures in field-effect transistors--
10923394Platform and method   of operating for integrated end-to-end fully self-aligned interconnect   processTOKYO ELECTRON   LIMITED
10923395Semiconductor device   and manufacturing method of semiconductor deviceDENSO CORPORATION
10923396Method of forming   self-aligned viaAPPLIED MATERIALS,   INC.
10923397Through-substrate via   structures in semiconductor devicesGLOBALFOUNDRIES INC.
10923398Wafer processing   methodDISCO CORPORATION
10923399Hybrid integrated   semiconductor tri-gate and split dual-gate FinFET devices and method for   manufacturingSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10923400Method for producing   a plurality of componentsOSRAM OLED GMBH
10923401Gate cut critical   dimension shrink and active gate defect healing using selective depositionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10923402Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10923403Co-integration of   high carrier mobility PFET and NFET devices on the same substrate using low   temperature condensationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10923404Inspection method,   inspection device, and marking forming methodHAMAMATSU PHOTONICS   K.K.
10923405Wafer processing   equipment having capacitive micro sensorsAPPLIED MATERIALS,   INC.
10923406Spectral   reflectometry window heaterTEXAS INSTRUMENTS   INCORPORATED
10923407Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10923411Method for   manufacturing an ultrathin heat dissipation structureAVARY HOLDING   (SHENZHEN) CO., LIMITED.
10923412Apparatuses and   methods for implementing a sliding thermal interface between substrates with   varying coefficients of thermal expansionCEREBRAS SYSTEMS INC.
10923414Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10923415Semiconductor package   having integrated stiffener regionINTEL CORPORATION
10923416Interconnect   structure with insulation layer and method of forming the same--
10923417Integrated fan-out   package with 3D magnetic core inductor--
10923420Semiconductor device   including dummy contactSAMSUNG ELECTRONICS   CO., LTD.
10923421Package structure and   method of manufacturing the same--
10923423Interconnect   structure for semiconductor devices--
10923424Interconnect   structure with air-gaps--
10923425Power distributionARM LIMITED
10923426Standard-cell layout   structure with horn power and smart metal cut--
10923427SOI wafers with   buried dielectric layers to prevent CU diffusionGLOBALFOUNDRIES U.S.   INC.
10923429Multi-chip package   and method of providing die-to-die interconnects in sameINTEL CORPORATION
10923430High density cross   link die with polymer routing layerADVANCED MICRO   DEVICES, INC.
10923431Method for forming a   3D IC architecture including forming a first die on a first side of a first   interconnect structure and a second die in an opening formed in a second side--
10923432Method of   manufacturing a semiconductor device with epitaxial layers and an alignment   markINFINEON TECHNOLOGIES   AUSTRIA AG
10923437Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10923438Package structure and   method for forming the same--
10923439Core substrate,   multi-layer wiring substrate, semiconductor package, semiconductor module,   copper-clad substrate, and method for manufacturing core substrateTOPPAN PRINTING CO.,   LTD.
10923440Method of securing an   integrated circuit during manufacturingCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10923443Electronic device   package including a capacitorINTEL CORPORATION
10923445Monolithic decoupling   capacitor between solder bumpsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10923446Indium bump liftoff   process on micro-machined silicon substratesUNITED STATES OF   AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA
10923448Bond pad with   micro-protrusions for direct metallic bondingMICRON TECHNOLOGY,   INC.
10923450Memory arrays with   bonded and shared logic circuitryINTEL CORPORATION
10923451Semiconductor dies   having ultra-thin wafer backmetal systems, microelectronic devices containing   the same, and associated fabrication methodsNXP USA, INC.
10923452Substrate bonding   apparatusSAMSUNG ELECTRONICS   CO., LTD.
10923455Semiconductor   apparatus and method for preparing the same--
10923456Systems and methods   for hierarchical exposure of an integrated circuit having multiple   interconnected dieCEREBRAS SYSTEMS INC.
10923457Multi-die module with   contactless coupler and a coupling loss reduction structureTEXAS INSTRUMENTS   INCORPORATED
10923459Three dimensional   integrated circuitSILICON GENESIS   CORPORATION
10923460Device and methods   for the transfer of chips from a source substrate onto a destination   substrateCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10923462Bifurcated memory die   module semiconductor deviceWESTERN DIGITAL   TECHNOLOGIES, INC.
10923465Semiconductor device   having stacked semiconductor chips and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10923467Series resistor over   drain region in high voltage device--
10923469Vertical resistor   adjacent inactive gate over trench isolationGLOBALFOUNDRIES U.S.   INC.
10923471Minimizing shorting   between FinFET epitaxial regionsTESSERA, INC.
10923472Semiconductor device   including a multigate transistor formed with fin structureSAMSUNG ELECTRONICS   CO., LTD.
10923475Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10923476Semiconductor devices   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10923477Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10923478Reduction of   roughness on a sidewall of an openingMICRON TECHNOLOGY,   INC.
10923479Method for   fabricating a memory device--
10923480Capacitance reduction   in a semiconductor deviceMICRON TECHNOLOGY,   INC.
10923481Semiconductor   integrated circuit structure--
10923488Semiconductor deviceTOSHIBA MEMORY   CORPORATION
10923489Three-dimensional   semiconductor devices including vertical structuresSAMSUNG ELECTRONICS   CO., LTD.
10923491Hybrid bonding   contact structure of three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10923493Microelectronic   devices, electronic systems, and related methodsMICRON TECHNOLOGY,   INC.
10923494Electronic devices   comprising a source below memory cells and related systemsMICRON TECHNOLOGY,   INC.
10923496Three-dimensional   memory device containing a replacement buried source line and methods of   making the sameSANDISK TECHNOLOGIES   LLC
10923497Electronic device   having stacked structures and method for manufacturing the sameSK HYNIX INC.
10923499Semiconductor device   and manufacturing method of the sameSK HYNIX INC.
10923502Three-dimensional   ferroelectric memory devices including a backside gate electrode and methods   of making sameSANDISK TECHNOLOGIES   LLC
10923503Semiconductor-on-insulator   (SOI) substrate comprising a trap-rich layer with small grain sizes--
10923512Array substrate,   preparation method thereof, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
10923514Etch chemistries for   metallization in electronic devicesH.C. STARCK INC.
10923521Fan-out sensor   package and camera moduleSAMSUNG ELECTRONICS   CO., LTD.
10923534Rectifying element   and switching element having the rectifying elementNEC CORPORATION
10923558Display device and   method of manufacturing display deviceJAPAN DISPLAY INC.
10923560Capacitor including   electrode and dielectric layer each containing silicon, and method for   manufacturing capacitorPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10923561Semiconductor deviceDENSO CORPORATION
10923562Semiconductor device,   and method for manufacturing semicondcutor deviceROHM CO., LTD.
10923564Super-junction   structure and method for manufacturing sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
10923565Self-aligned contact   air gap formation--
10923566Semiconductor   structures and methods of forming the same--
10923567Graphene FET with   graphitic interface layer at contactsTEXAS INSTRUMENTS   INCORPORATED
10923570Manufacturing method   for controlling carrier lifetimes in semiconductor substrates that includes   injection and annealingFUJI ELECTRIC CO.,   LTD.
10923571Semiconductor device   suppressing electric field concentration and method for manufacturingROHM CO., LTD.
10923573Forming metal   contacts on metal gates--
10923574Transistor with   inner-gate spacerINTEL CORPORATION
10923575Low resistance   contact for transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10923576Atomic layer   deposition methods and structures thereof--
10923577Cavity structures   under shallow trench isolation regionsGLOBALFOUNDRIES U.S.   INC.
10923579Semiconductor device   with interconnect to source/drainGLOBALFOUNDRIES U.S.   INC.
10923580Semiconductor device   and method for fabricating the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10923581Method for forming   semiconductor structure--
10923584Graded channels for   high frequency III-N transistorsINTEL CORPORATION
10923585High electron   mobility transistors having improved contact spacing and/or improved contact   viasCREE, INC.
10923588SGT MOSFET with   adjustable CRSS and CISSHUNTECK SEMICONDUCTOR   (SHANGHAI) CO. LTD
10923589High voltage device   and manufacturing method thereof--
10923590Wrap-around contact   for vertical field effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10923591Method for producing   a semiconductor deviceUNISANTIS ELECTRONICS   SINGAPORE PTE. LTD.
10923592High voltage   switching devicePSEMI CORPORATION
10923593Transistor and   methods of forming transistorsMICRON TECHNOLOGY,   INC.
10923594Methods to reduce or   prevent strain relaxation on PFET devices and corresponding novel IC productsGLOBALFOUNDRIES U.S.   INC.
10923596Camouflaged FinFET   and method for producing sameRAMBUS INC.
10923598Gate-all-around   structure and methods of forming the same--
10923602Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10923603Semiconductor device   comprising Schottky barrier diodesKEY FOUNDRY CO., LTD.
10923620Method of   manufacturing of a GaN light emitting diodeCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10923621Method for reduction   of interfacial stress accumulation between double side copper-plated layers   and aluminum nitride substrate--
10923652Top buffer layer for   magnetic tunnel junction applicationAPPLIED MATERIALS,   INC.
10923659Wafers for use in   aligning nanotubes and methods of making and using the same--
10923687Manufacturing method   of display panel and display panelWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10923790Low-loss silicon on   insulator based dielectric microstrip lineCITY UNIVERSITY OF   HONG KONG
10926288Coating method,   coating apparatus and recording mediumTOKYO ELECTRON   LIMITED
10926301Liquid supplying   device and liquid supplying methodEBARA CORPORATION
10926357Method and functional   architecture for inline repair of defective imaging arraysDPIX, LLC
10926521Method and system for   mass assembly of thin film materialsPALO ALTO RESEARCH   CENTER INCORPORATED
10926524Removal method of   carrier plateDISCO CORPORATION
10926960Overhead conveyance   vehicleDAIFUKU CO., LTD.
10927004Method for bonding   wafers eutectically, and a wafer compositeROBERT BOSCH GMBH
10927249Resin compositions   for underfill film for three dimensional through silica via (TSV) packages   and compositions useful for the preparation thereofHENKEL IP &   HOLDING GMBH
10927327Treatment liquid,   method for washing substrate, and method for manufacturing semiconductor   deviceFUJIFILM CORPORATION
10927329Cleaning formulation   for removing residues on surfacesFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
10927443Vapor deposition   mask, method for manufacturing vapor deposition mask, vapor deposition   method, and method for manufacturing organic el display device--
10927449Extension of PVD   chamber with multiple reaction gases, high bias power, and high power impulse   source for deposition, implantation, and treatmentAPPLIED MATERIALS,   INC.
10927450Methods and apparatus   for patterning substrates using asymmetric physical vapor depositionAPPLIED MATERIALS,   INC.
10927451Methods and apparatus   for patterning substrates using asymmetric physical vapor depositionAPPLIED MATERIALS,   INC.
10927453TiN-based film and   TiN-based film forming methodTOKYO ELECTRON   LIMITED
10927454Method of forming   nitride filmTOSHIBA   MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION
10927455Assembly of chamber   lid and ceiling for semiconductor processes and film deposition--
10927459Systems and methods   for atomic layer depositionASM IP HOLDING B.V.
10927462Gas control system   and film formation apparatus provided with gas control systemHORIBA STEC, CO.,   LTD.
10927468Copper electroplating   compositions and methods of electroplating copper on substratesROHM AND HAAS   ELECTRONIC MATERIALS LLC
10927475Controlling plating   electrolyte concentration on an electrochemical plating apparatusLAM RESEARCH   CORPORATION
10928437Method of inspecting   a specimen and system thereofAPPLIED MATERIALS   ISRAEL LTD.
10928590Methods of forming   photonic devices--
10928689Display device and   manufacturing method thereofSAMSUNG DISPLAY CO.,   LTD.
10928693Array substrate,   repair method of array substrate, display panel and display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10928725Method for the   directed self-assembly of a block copolymer by graphoepitaxyCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10928732Substrate liquid   processing apparatus, substrate liquid processing method, and storage mediumTOKYO ELECTRON   LIMITED
10928739Method of measuring   misregistration of semiconductor devicesKLA-TENCOR   CORPORATION
10928740Three-dimensional   calibration structures and methods for measuring buried defects on a   three-dimensional semiconductor waferKLA CORPORATION
10928798Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
10928806Device for   controlling substrate processing apparatus and method for displaying   substrate processingTOKYO ELECTRON   LIMITED
10930333Embedded   ferroelectric memory cell--
10930470Pulsed, bidirectional   radio frequency source/loadMKS INSTRUMENTS, INC.
10930471Methods and apparatus   for etching semiconductor structuresAPPLIED MATERIALS,   INC.
10930472Methods for forming a   metal silicide interconnection nanowire structureAPPLIED MATERIALS,   INC.
10930475Graded in-situ charge   trapping layers to enable electrostatic chucking and excellent particle   performance for boron-doped carbon filmsAPPLIED MATERIALS,   INC.
10930478Apparatus with   optical cavity for determining process rateLAM RESEARCH   CORPORATION
10930490Arrays of   high-aspect-ratio germanium nanostructures with nanoscale pitch and methods   for the fabrication thereofWISCONSIN ALUMNI   RESEARCH FOUNDATION
10930491Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
10930492Method for producing   SiC epitaxial wafer and apparatus for producing SiC epitaxial waferSHOWA DENKO K.K.
10930493Linerless continuous   amorphous metal filmsAPPLIED MATERIALS,   INC.
10930494Vapor phase transport   system and method for depositing perovskite semiconductorsSWIFT SOLAR INC.
10930495Integrated circuits   with doped gate dielectrics--
10930496Method for   fabricating heteroepitaxial semiconductor material on a mica sheet--
10930497Semiconductor   substrate and method for producing a semiconductor substrateX-FAB SEMICONDUCTOR   FOUNDRIES GMBH
10930498Methods for producing   nanowire stack GAA device with inner spacer--
10930499Semiconductor   structure formationMICRON TECHNOLOGY,   INC.
10930500Wurtzite   heteroepitaxial structures with inclined sidewall facets for defect   propagation control in silicon CMOS-compatible semiconductor devicesINTEL CORPORATION
10930502Blocking structures   on isolation structures--
10930503Geometric control of   bottom-up pillars for patterning applicationsAPPLIED MATERIALS,   INC.
10930504Selective gas etching   for self-aligned pattern transferTESSERA, INC.
10930505Methods for   integrated circuit design and fabrication--
10930506Gallidation assisted   impurity dopingLAWRENCE LIVERMORE   NATIONAL SECURITY, LLC
10930507Reduce well dopant   loss in FinFETs through co-implantation--
10930508Replacement metal   gate formation of PMOS ultra-low voltage devices using a thermal implantAPPLIED MATERIALS,   INC.
10930509Semiconductor devices   and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10930510Semiconductor device   with improved contact resistance and via connectivityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930511Copper   electrodeposition sequence for the filling of cobalt lined featuresLAM RESEARCH   CORPORATION
10930512Method of processing   workpieceDISCO CORPORATION
10930513Method of producing   silicon elements and integrated circuitsUNITOOL CONSULTING   CO.
10930514Method and apparatus   for the planarization of surfacesFEI COMPANY
10930515Method for selective   etching of nanostructuresALIXLABS AB
10930516Semiconductor device   and semiconductor device manufacturing methodSONY CORPORATION
10930517Method of forming   fin-shaped structure--
10930518OLED substrate and   manufacturing method thereof, display device and manufacturing method thereofBOE TECHNOLOGY GROUP   CO., LTD.
10930519Wet etching of   samarium selenium for piezoelectric processingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930520Self-formed liner for   interconnect structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930521Light irradiation   type heat treatment methodSCREEN HOLDINGS CO.,   LTD.
10930522Semiconductor layer,   oscillation element, and semiconductor layer manufacturing methodUACJ CORPORATION
10930523Method for   manufacturing resin-sealed power semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10930524Semiconductor   component and method of manufactureSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10930525Carrier substrate and   method of manufacturing semiconductor package using the carrier substrateSAMSUNG ELECTRONICS   CO., LTD.
10930526Rare-earth oxide   based coatings based on ion assisted depositionAPPLIED MATERIALS,   INC.
10930527Method for   controlling temperature of furnace in semiconductor fabrication process--
10930528Method for   transferring micro deviceMIKRO MESA TECHNOLOGY   CO., LTD.
10930529Formation of   elastomeric layer on selective regions of light emitting deviceFACEBOOK   TECHNOLOGIES, LLC
10930530Methods and apparatus   for wafer temperature measurementAPPLIED MATERIALS,   INC.
10930531Adaptive control of   wafer-to-wafer variability in device performance in advanced semiconductor   processesAPPLIED MATERIALS,   INC.
10930532Object detection   systemBPM MICROSYSTEMS
10930533Substrate processing   apparatus, substrate processing system and method of manufacturing   semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
10930534Method and device for   manufacturing a display apparatus, and computer-readable storage mediumBOE TECHNOLOGY GROUP   CO., LTD.
10930535RFID part   authentication and tracking of processing componentsAPPLIED MATERIALS,   INC.
10930536Workpiece stocker   with circular configurationBROOKS AUTOMATION   (GERMANY) GMBH
10930537Door opening/closing   system, and load port equipped with door opening/closing systemSINFONIA TECHNOLOGY   CO., LTD.
10930538Substrate alignment   apparatus, substrate processing apparatus, and substrate processing methodSEMES CO., LTD.
10930539Electrostatic chuck   heaterNGK INSULATORS, LTD.
10930540Electrostatic chuck   assembly having a dielectric fillerAPPLIED MATERIALS,   INC.
10930541Method of forming a   chip arrangement, chip arrangement, method of forming a chip package, and   chip packageINFINEON TECHNOLOGIES   AG
10930542Apparatus for   handling various sized substratesAPPLIED MATERIALS,   INC.
10930543Thermal processing   susceptorAPPLIED MATERIALS,   INC.
10930544Method of   manufacturing semiconductor device having buried gate electrodesSAMSUNG ELECTRONICS   CO., LTD.
10930545Method for forming   semiconductor structureNEXCHIP SEMICONDUCTOR   CORPORATION
10930546TFT substrate and   method for manufacturing the sameWUHAN CHINA STAR   OPTOELECTRONICS SEMICONDUCTOR
10930547Semiconductor   structure and manufacturing method thereof--
10930548Methods of forming an   apparatus for making semiconductor dievesMICRON TECHNOLOGY,   INC.
10930549Cap structureGLOBALFOUNDRIES U.S.   INC.
10930550Barrier for copper   metallization and methods of formingAPPLIED MATERIALS,   INC.
10930551Methods for   fabricating a low-resistance interconnect--
10930552Method of   semiconductor integrated circuit fabrication--
10930553Forming self-aligned   vias and air-gaps in semiconductor fabricationTESSERA, INC.
10930554Semiconductor device   and method for manufacturing the same--
10930555Contact over active   gate structureAPPLIED MATERIALS,   INC.
10930556Contact over active   gate structureAPPLIED MATERIALS,   INC.
10930557Self-aligned contactsINTEL CORPORATION
10930558Processing apparatusDISCO CORPORATION
10930559Method for   manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10930560Laser-based   separation methodSILTECTRA GMBH
10930561SiC substrate   processing methodDISCO CORPORATION
10930562Internal via with   improved contact for upper semi-conductor layer of a 3D circuitCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10930563Formation of stacked   nanosheet semiconductor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930564Metal gate structure   cutting process--
10930565III-V CMOS   co-integrationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930566Complementary metal   oxide semiconductor replacement gate high-k metal gate devices with work   function adjustmentsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930567Maskless epitaxial   growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced   source/drain contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930568Method and structure   to improve overlay margin of non-self-aligned contact in metallization layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930569Dual crystal   orientation for semiconductor devices--
10930570Methods for   manufacturing a display device--
10930571Test structure and   evaluation method for semiconductor photo overlaySAMSUNG ELECTRONICS   CO., LTD.
10930573Circuit module and   manufacturing method thereforMURATA MANUFACTURING   CO., LTD.
10930574Semiconductor device   and method for manufacturing the sameROHM CO., LTD.
10930576Gallium-nitride based   devices implementing an engineered substrate structureQROMIS, INC.
10930580Semiconductor device   and method of manufacture--
10930581Semiconductor package   with wettable flankSTMICROELECTRONICS   S.R.L.
10930582Semiconductor device   having terminals directly attachable to circuit boardTEXAS INSTRUMENTS   INCORPORATED
10930583Capacitor embedded   with nanocrystals--
10930585Memory devices,   semiconductor devices and related methodsMICRON TECHNOLOGY,   INC.
10930586Integrated fan-out   package and method of fabricating the same--
10930589Advanced   interconnects containing an IMT linerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930590Interconnect device   and method--
10930592Wafer level fan-out   application specific integrated circuit bridge memory stackGOOGLE LLC
10930595Standard cells having   via rail and deep via structures--
10930596Embedded die on   interposer packagesINTEL CORPORATION
10930597Die screening using   inline defect informationKLA-TENCOR   CORPORATION
10930600Display substrate,   method of manufacturing display substrate, and display deviceBEIJING BOE   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10930601Flexible fan-out   wafer level process and structureTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
10930602Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10930605Contact pad for   semiconductor device--
109306083D semiconductor   device and structureMONOLITHIC 3D INC.
10930613Semiconductor package   having recessed adhesive layer between stacked chipsSAMSUNG ELECTRONICS   CO., LTD.
10930615Semiconductor device   and method of manufacturing semiconductor deviceROHM CO., LTD.
10930617Packaging method and   package structure of wafer-level system-in-packageNINGBO SEMICONDUCTOR   INTERNATIONAL CORPORATION
10930619Multi-wafer bonding   structure and bonding methodWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
10930621Die stacking for   multi-tier 3D integrationADVANCED MICRO   DEVICES, INC.
10930622Prepackaged   stair-stacked memory module in a chip scale system in package, and methods of   making sameINTEL CORPORATION
10930625Semiconductor package   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10930628Photonic   semiconductor device and method--
10930633Buffer design for   package integration--
10930634Integrated circuit   system and packaging method thereforSHENZHEN XIUYUAN   ELECTRONIC TECHNOLOGY CO., LTD
10930635Semiconductor deviceSEIKO EPSON   CORPORATION
10930646Circuit and method of   forming the sameZERO-ERROR SYSTEMS   PTE LTD
10930647Semiconductor device   including trenches formed in transistor or diode portionsFUJI ELECTRIC CO.,   LTD.
10930648Semiconductor devices   having multi-level metallization structuresSAMSUNG ELECTRONICS   CO., LTD.
10930649Integrated circuit   (IC) deviceSAMSUNG ELECTRONICS   CO., LTD.
10930651Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10930652Apparatuses including   buried digit linesMICRON TECHNOLOGY,   INC.
10930655Semiconductor device   with air gap and method for fabricating the sameSK HYNIX INC.
10930658Memory arrays and   methods used in forming a memory arrayMICRON TECHNOLOGY,   INC.
10930659Methods of improving   adhesion of photoresist in a staircase structure and methods of forming a   staircase structureMICRON TECHNOLOGY,   INC.
10930660Semiconductor memory   device and method for manufacturing the sameTOSHIBA MEMORY   CORPORATION
10930662Method for forming   staircase structure of three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10930663Interconnect   structure of three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10930666Semiconductor device   and method of manufacturing the sameSK HYNIX INC.
10930668Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10930669Three dimensional   memory device and method for fabricating the same--
10930670Semiconductor device   and manufacturing method of the semiconductor deviceSK HYNIX INC.
10930673Semiconductor storage   device and method for manufacturing semiconductor storage deviceTOSHIBA MEMORY   CORPORATION
10930674Three-dimensional   flat NAND memory device having curved memory elements and methods of making   the sameSANDISK TECHNOLOGIES   LLC
10930676Metal oxide thin film   semiconductor device monolithically integrated with dissimilar device on the   same waferUNITED STATES OF   AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE
10930678Curved array   substrate and method of manufacturing thereofWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10930705Crystallized silicon   vertical diode on BEOL for access device for confined PCM arraysINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930720Display device and   manufacturing method thereofJAPAN DISPLAY INC.
10930721Organic light   emitting display device and method of manufacturing organic light emitting   display deviceSAMSUNG DISPLAY CO.,   LTD.
10930729Fin-based thin film   resistorINTEL CORPORATION
10930732Semiconductor device   including a silicon carbide layerKABUSHIKI KAISHA   TOSHIBA
10930733Semiconductor device   and method for producing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10930734Nanosheet FET bottom   isolationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930735Gate all around   device and method of formation using angled ionsAPPLIED MATERIALS,   INC.
10930740Multi-direction   channel transistor and semiconductor device including the multi-direction   channel transistorSAMSUNG ELECTRONICS   CO., LTD.
10930741Silicon carbide   semiconductor device and method of manufacturing a silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10930743Layered structure,   semiconductor device including layered structure, and semiconductor system   including semiconductor deviceFLOSFIA INC.
10930746Differential type   sensing circuit with differential input and output terminal pair--
10930749Semiconductor devices   having a gate isolation layer and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10930750Method for forming a   qubit deviceIMEC VZW
10930751Ferroelectric   assembliesMICRON TECHNOLOGY,   INC.
10930752Contact plugs and   methods forming same--
10930753Trench isolation for   advanced integrated circuit structure fabricationINTEL CORPORATION
10930754Replacement metal   gate structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930755Self-aligned inner   spacer on gate-all-around structure and methods of forming the same--
10930757Method of   manufacturing MOS transistor spacersSTMICROELECTRONICS   (ROUSSET) SAS
10930758Space deposition   between source/drain and sacrificial layersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930759Fabrication of   vertical field effect transistor structure with strained channelsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930760Fabrication of   vertical field effect transistor structure with strained channelsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930761Pillar-shaped   semiconductor device and method for producing the sameUNISANTIS ELECTRONICS   SINGAPORE PTE. LTD.
10930762Multiple work   function nanosheet field effect transistor using sacrificial silicon   germanium growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930763Method and device for   forming metal gate electrodes for transistors--
10930764Extension region for   a semiconductor deviceTOKYO ELECTRON   LIMITED
10930765Method of   manufacturing FinFET device with non-recessed STISEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10930766Ge NANO wire   transistor with GAAS as the sacrificial layerINTEL CORPORATION
10930767Fin-like field effect   transistor patterning methods for achieving fin width uniformity--
10930768Low current leakage   finFET and methods of making the sameSAMSUNG ELECTRONICS   CO., LTD.
10930769Semiconductor device   and manufacturing method thereof--
10930771Semiconductor device   having an insulated gate bipolar transistor and method of manufacturing the   sameRENESAS ELECTRONICS   CORPORATION
10930775Silicon carbide   semiconductor device and method of manufacturing silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10930778Vertical transistor   devices with composite high-K and low-K spacers with a controlled top   junctionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930779Method of forming a   vertical transistor pass gate deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930780Low parasitic   capacitance and resistance finFET deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930781P-type strained   channel in a fin field effect transistor (FinFET) device--
10930782Method for forming a   semiconductor device including a stacked wire structure--
10930785Semiconductor deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10930788Display panel and   manufacturing method thereof, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
10930793Bottom channel   isolation in nanosheet transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10930794Self-aligned spacers   for multi-gate devices and method of fabrication thereof--
10930797Schottky barrier   diode and method of manufacturing the sameHYUNDAI MOTOR COMPANY
10930799Semiconductor die   with buried capacitor, and method of manufacturing the semiconductor dieSTMICROELECTRONICS   S.R.L.
10930802Semiconductor device   package and method of manufacturing the same--
10930827Fluororesin   interfacial agent for LED packaging, and methods for preparing and using same--
10930832Method for   manufacturing semiconductor light emitting deviceSEMICON LIGHT CO.,   LTD.
10930846Methods of forming   silicon-containing dielectric materials and methods of forming a   semiconductor device comprising nitrogen radicals and oxygen-containing,   silicon-containing, or carbon-containing precursorsMICRON TECHNOLOGY,   INC.
10930848Variable resistance   memory device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10930987Microfabricated air   bridges for planar microwave resonator circuitsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10931009Methods for   selectively shielding radio frequency modulesSKYWORKS SOLUTIONS,   INC.
10931081Method of producing   an optoelectronic lighting device and optoelectronic lighting deviceOSRAM OLED GMBH
10931143Rechargeable wafer   carrier systemsGLOBALFOUNDRIES U.S.   INC.
10931276Combined IGBT and   superjunction MOSFET device with tuned switching speedALPHA AND OMEGA   SEMICONDUCTOR (CAYMAN) LTD.
10932368Substrate-embedded   electronic componentSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10932371Bottom-up   electrolytic via plating methodCORNING INCORPORATED
109323743-D flex circuit   formingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10933448Substrate treatment   apparatus and substrate treatment methodSCREEN HOLDINGS CO.,   LTD.
10933500Blade mounting and   dismounting jig, blade mounting and dismounting method, blade extracting   method, and cutting apparatusDISCO CORPORATION
10933503Workpiece grinding   methodDISCO CORPORATION
10933507Polishing apparatusEBARA CORPORATION
10933532Substrate conveying   robot and operation method thereforKAWASAKI JUKOGYO   KABUSHIKI KAISHA
10933618Carrier plate   removing methodDISCO CORPORATION
10933624Photomask pellicle   glue residue removalAPPLIED MATERIALS,   INC.
10934163Classic-quantum   injection interface deviceEQUAL1.LABS INC.
10934216Rare-earth oxide   based chamber materialAPPLIED MATERIALS,   INC.
10934426Method for producing   a polymer film by using a polymer compositionLG CHEM, LTD.
10934457Altering shear   thickening in fumed silica suspensions using nanoparticlesTHE UNIVERSITY OF   TOLEDO
10934484Etching solution for   selectively removing silicon-germanium alloy from a silicon-germanium/   germanium stack during manufacture of a semiconductor deviceVERSUM MATERIALS US,   LLC
10934485Etching solution for   selectively removing silicon over silicon-germanium alloy from a   silicon-germanium/ silicon stack during manufacture of a semiconductor deviceVERSUM MATERIALS US,   LLC
10934507Compositions   comprising trans-1,2-dichloroethylene and an organic compound, and methods of   using the sameSHELLEF HOLDINGS INC.
10934618Substrate processing   apparatus, substrate loading method, and substrate processing methodTOKYO ELECTRON   LIMITED
10934619Gas supply unit and   substrate processing apparatus including the gas supply unitASM IP HOLDING B.V.
10934621Gas injection module,   substrate processing apparatus, and method of fabricating semiconductor   device using the sameSAMSUNG ELECTRONICS   CO., LTD.
10934622Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
10934630Method for   determining location of power feeding point in electroplating apparatus and   electroplating apparatus for plating rectangular substrateEBARA CORPORATION
10935501Sub-resolution defect   detectionONTO INNOVATION INC.
10935515Stacked nanofluidics   structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10935516Ion-sensitive   field-effect transistor formed with alternating dielectric stack to enhance   sensitivityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10935551Ultra-high   sensitivity dual-gated biosensor based on MOS transistorWUXI PEOPLE'S   HOSPITAL
10935574Probe card assemblySAMSUNG ELECTRONICS   CO., LTD.
10935590Electrically-verifiable   fuses and method of fuse verificationINFINEON TECHNOLOGIES   AG
10935597Semiconductor device   including test circuitSK HYNIX INC.
10935698High aspect ratio   glass waferCORNING INCORPORATED
10935825Substrate processing   methodSCREEN HOLDINGS CO.,   LTD.
10935881Mask blank, phase   shift mask, phase shift mask manufacturing method, and semiconductor device   manufacturing methodHOYA CORPORATION
10935883Nanoimprint template   with light blocking material and method of fabricationCANON KABUSHIKI   KAISHA
10935884Pattern forming   method and methods for manufacturing processed substrate, optical component   and quartz mold replica as well as coating material for imprint pretreatment   and set thereof with imprint resistCANON KABUSHIKI   KAISHA
10935893Differential methods   and apparatus for metrology of semiconductor targetsKLA-TENCOR   CORPORATION
10935894Movable body   apparatus, exposure apparatus, manufacturing method of flat-panel display and   device manufacturing method, and movement method of objectNIKON CORPORATION
10935895Lithographic   apparatusASML NETHERLANDS B.V.
10936780Method and layout of   an integrated circuit--
10937479Integration of   epitaxially grown channel selector with MRAM deviceSPIN MEMORY, INC.
10937559Micro device   transferring apparatus and micro device transferring methodBOE TECHNOLOGY GROUP   CO., LTD.
10937620Vacuum channel   transistor structures with sub-10 nanometer nanogaps and layered metal   electrodesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937631Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
10937633Microwave   transmission apparatus and semiconductor processing deviceBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10937634Tunable upper   plasma-exclusion-zone ring for a bevel etcherLAM RESEARCH   CORPORATION
10937635Vacuum processing   apparatusHITACHI HIGH-TECH   CORPORATION
10937637Determining susceptor   service life in a plasma processing chamberAPPLIED MATERIALS,   INC.
10937644Using sacrificial   solids in semiconductor processingMICRON TECHNOLOGY,   INC.
10937645Methods for preparing   self-assembled monolayersASM IP HOLDING B.V.
10937646Method for isolating   gates in transistorsAPPLIED MATERIALS,   INC.
10937647Semiconductor crystal   substrate, infrared detector, and method for producing semiconductor crystal   substrateFUJITSU LIMITED
10937648Gate stack designs   for analog and logic devices in dual channel Si/SiGe CMOSINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937649Epitaxial growth of   cubic and hexagonal InN films and their alloys with AlN and GaNTHE UNITED STATES OF   AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY
10937650Semiconductor device   having in situ formed horizontal nanowire structureHRL LABORATORIES, LLC
10937651Laser annealing   methodSAKAI DISPLAY   PRODUCTS CORPORATION
10937652Method and structure   of cut end with self-aligned double patterning--
10937653Multiple patterning   scheme integration with planarized cut patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937654Methods of doping a   silicon-containing material and methods of forming a semiconductor deviceMICRON TECHNOLOGY,   INC.
10937655Memory device with   various pass voltagesSK HYNIX INC.
10937656Self-protective layer   formed on high-k dielectric layer--
10937657Semiconductor device   including a reactant metal layer disposed between an aluminum alloy film and   a catalyst metal film and method for manufacturing thereofMITSUBISHI ELECTRIC   CORPORATION
10937658LED wafer processing   methodDISCO CORPORATION
10937659Method of   anisotropically etching adjacent lines with multi-color selectivityTOKYO ELECTRON   LIMITED
10937660Method for processing   workpieceTOKYO ELECTRON   LIMITED
10937661Method for removing   silicon oxide and integrated circuit manufacturing processBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10937662Method of isotropic   etching of silicon oxide utilizing fluorocarbon chemistryTOKYO ELECTRON   LIMITED
10937663Selective photoresist   etching for bridge defect removalVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
10937664Surface modification   processTOKYO ELECTRON   LIMITED
10937665Methods and apparatus   for gettering impurities in semiconductorsINTEL CORPORATION
10937666Method for   manufacturing lead frame including electrode and hanger lead, method for   manufacturing package having lead frame, and method for manufacturing   light-emitting device having packageNICHIA CORPORATION
10937667Semiconductor device   and method for manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10937668Semiconductor package   manufacturing methodDISCO CORPORATION
10937669Substrate   solution-treatment apparatus, treatment solution supplying method and storage   mediumTOKYO ELECTRON   LIMITED
10937670Megasonic cleanerSAMSUNG ELECTRONICS   CO., LTD.
10937671Conductive heat   spreader and heat sink assembly for optical devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937672Heating device and   heating chamberBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10937673Substrate processing   apparatus, substrate processing method and recording mediumTOKYO ELECTRON   LIMITED
10937674Method for   transferring micro deviceMIKRO MESA TECHNOLOGY   CO., LTD.
10937675Substrate processing   apparatus, substrate processing apparatus control method, and storage medium   storing programEBARA CORPORATION
10937676Substrate processing   apparatus and device management controllerKOKUSAI ELECTRIC   CORPORATION
10937677Wafer storage   container--
10937678Substrate support   with multiple embedded electrodesAPPLIED MATERIALS,   INC.
10937679High-precision   printed structuresX DISPLAY COMPANY   TECHNOLOGY LIMITED
10937680Setting up   ultra-small or ultra-thin discrete components for easy assemblyUNIQARTA, INC.
10937681Wafer support system,   wafer support device, system comprising a wafer and a wafer support device as   well as mask alignerSUSS MICROTEC   LITHOGRAPHY GMBH
10937682TCB bond tip design   to mitigate top die warpage and solder stretching issueMICRON TECHNOLOGY,   INC.
10937683Conveyor inspection   system, substrate rotator, and test system having the sameAPPLIED MATERIALS,   INC.
10937684Placement member and   method of manufacturing the sameKYOCERA CORPORATION
10937685Diffusion break   structures in semiconductor devicesGLOBALFOUNDRIES INC.
10937686Formation and in-situ   treatment processes for gap fill layers--
10937688Semiconductor package   and method of fabricating semiconductor package--
10937689Self-aligned hard   masks with converted linersINTEL CORPORATION
10937690Selective dielectric   depositionMICRON TECHNOLOGY,   INC.
10937691Methods of forming an   abrasive slurry and methods for chemical-mechanical polishing--
10937692Method for reducing   via RC delaySEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10937693Methods, apparatus   and system for a local interconnect feature over an active region in a finFET   deviceGLOBALFOUNDRIES INC.
10937694Chamferless via   structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937695FinFET switch--
10937696Capacitor and method   for producing the sameFRAUNHOFER-GESELLSCHAFT   ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
10937697Method of processing   a semiconductor wafer that involves cutting to form grooves along the dicing   lines and grinding reverse side of the waferDISCO CORPORATION
10937699Method of forming a   fin under a gate structure--
10937700Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10937701Semiconductor device--
10937703Field-effect   transistor having dual channelsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937704Mixed workfunction   metal for nanosheet device--
10937705Sample inspection   using topographyONTO INNOVATION INC.
10937706Method of examining   defects in a semiconductor specimen and system thereofAPPLIED MATERIALS   ISRAEL LTD.
10937709Substrates for   semiconductor packagesINFINEON TECHNOLOGIES   AG
10937711Electronic device and   method for manufacturing sameDENSO CORPORATION
10937715Substrate for power   module, collective substrate for power modules, and method for manufacturing   substrate for power moduleNGK ELECTRONICS   DEVICES, INC.
10937718Package structures   and method of forming the same--
10937719Package structure and   method of fabricating the same--
10937720Semiconductor device   with copper structureINFINEON TECHNOLOGIES   AUSTRIA AG
10937721Semiconductor   structure--
10937723Package carrier   structure having integrated circuit design and manufacturing method thereof--
10937724Package structure   applied to driving apparatus of display--
10937725Semiconductor device   and method for manufacturing thereofMITSUBISHI ELECTRIC   CORPORATION
10937726Package structure   with embedded coreAPPLIED MATERIALS,   INC.
10937727Semiconductor module   and method for manufacturing semiconductor moduleFUJI ELECTRIC CO.,   LTD.
10937728Preformed lead frame   and lead frame package made from the same--
10937729Integrated circuit   devices and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10937733Semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10937734Conductive traces in   semiconductor devices and methods of forming same--
10937735Hybrid under-bump   metallization componentINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937736Hybrid integrated   circuit package and method--
10937738Semiconductor package   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10937739Electronic device   module and method of manufacturing the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10937741Molded laser package   with electromagnetic interference shield and method of makingSTATS CHIPPAC PTE.   LTD.
10937742Package and   manufacturing method thereof--
10937743Mixing organic   materials into hybrid packages--
10937744Semiconductor   packages including roughening featuresINFINEON TECHNOLOGIES   AG
10937745Semiconductor chip   package arrayTONGFU   MICROELECTRONICS CO., LTD.
10937746Integrated ultralong   time constant time measurement device and fabrication processSTMICROELECTRONICS   (ROUSSET) SAS
10937749Methods of forming   microelectronic devices including dummy diceMICRON TECHNOLOGY,   INC.
10937750Low stress pad   structure for packaged devicesNXP B.V.
10937751Bump structure   manufacturing methodLBSEMICON CO., LTD.
10937756Method of aligning   wafers, method of bonding wafers using the same, and apparatus for performing   the sameSAMSUNG ELECTRONICS   CO., LTD.
10937757Device packaging   facility and method, and device processing apparatus utilizing DEHTSEMIGEAR, INC.
10937758Semiconductor-device   manufacturing method and manufacturing apparatusSHINKAWA LTD.
10937760Method for   manufacturing a chip package--
10937761Semiconductor device   package and method for manufacturing the same--
10937763Semiconductor   leadframes and packages with solder dams and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10937767Chip packaging method   and device with packaged chipsINNO-PACH TECHNOLOGY   PTE LTD
10937768Method of   manufacturing display deviceSEOUL SEMICONDUCTOR   CO., LTD.
10937771Semiconductor   packagesSAMSUNG ELECTRONICS   CO., LTD.
10937772Semiconductor package   and method for manufacturing the same--
10937774Micro LED display   panel, method for fabricating the same and display deviceSHANGHAI TIANMA   MICRO-ELECTRONICS CO., LTD.
10937778Integrated circuit   comprising macros and method of fabricating the sameCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10937783Semiconductor device   and manufacturing method thereof--
10937785Semiconductor device--
10937786Gate cut structuresGLOBALFOUNDRIES U.S.   INC.
10937787Semiconductor devices   having different numbers of stacked channels in different regions and methods   of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10937788Memory device having   vertical structureSAMSUNG ELECTRONICS   CO., LTD.
10937789Nanosheet eDRAMINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937792Dense vertical field   effect transistor structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937793Vertical transistor   contact for a memory cell with increased densityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937794Split gate   non-volatile memory cells with FinFET structure and HKMG memory and logic   gates, and method of making sameSILICON STORAGE   TECHNOLOGY, INC.
10937795Seal method to   integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD)   technology--
10937798Memory array and a   method used in forming a memory arrayMICRON TECHNOLOGY,   INC.
10937799Semiconductor device   and method of manufacturing the sameTOSHIBA MEMORY   CORPORATION
10937800Three-dimensional   memory device with on-axis self-aligned drain-select-level isolation   structure and methods of manufacturing the sameSANDISK TECHNOLOGIES   LLC
10937802Void formation for   charge trap structuresMICRON TECHNOLOGY,   INC.
10937806Through array contact   (TAC) for three-dimensional memory devicesYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10937808Vertical memory   device and method of fabricating the sameSK HYNIX INC.
10937810Sub-fin removal for   SOI like isolation with uniform active fin heightINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937811Integrated circuit   devices and fabrication techniquesSTMICROELECTRONICS,   INC.
10937840Display deviceSAMSUNG DISPLAY CO.,   LTD.
10937860Nanosheet transistor   bottom isolationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937861Semiconductor   structures including middle-of-line (MOL) capacitance reduction for   self-aligned contact in gate stackTESSERA, INC.
10937862Nanosheet substrate   isolated source/drain epitaxy via airgapINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937863Fabrication of   perfectly symmetric gate-all-around FET on suspended nanowire using interface   interactionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937864Leakage-free   implantation-free ETSOI transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937866Method and structure   for forming silicon germanium FinFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937867Conformal doping for   punch through stopper in fin field effect transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937869Systems and methods   of masking during high-energy implantation when fabricating wide band gap   semiconductor devicesGENERAL ELECTRIC   COMPANY
10937870Electric field   shielding in silicon carbide metal-oxide-semiconductor (MOS) device cells   using body region extensionsGENERAL ELECTRIC   COMPANY
10937871III-V transistor   device with self-aligned doped bottom barrierINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937873High electron   mobility transistors having improved drain current drift and/or leakage   current performanceCREE, INC.
10937874Semiconductor deviceNISSAN MOTOR CO.,   LTD.
10937876Source/drain feature   to contact interfaces--
10937877Methods for forming   recesses in source/drain regions and devices formed thereof--
10937879Semiconductor device   and manufacturing method thereof--
10937880Method for depinning   the Fermi level of a semiconductor at an electrical junction and devices   incorporating such junctionsACORN SEMI, LLC
10937882Semiconductor device   including a field effect transistorSAMSUNG ELECTRONICS   CO., LTD.
10937883Vertical transport   FETs having a gradient threshold voltageELPIS TECHNOLOGIES   INC.
10937884Gate spacer with air   gap for semiconductor device structure and method for forming the same--
10937885Electronic device and   method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10937889Forming thermally   stable salicide for salicide first contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937890Vertical field-effect   transistor late gate recess process with improved inter-layer dielectric   protectionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937891Spacer structure and   manufacturing method thereof--
10937892Nano multilayer   carbon-rich low-k spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937893Semiconductor device   and manufacturing method thereof--
10937894Structure of a fin   field effect transistor (FinFET)--
10937895Epitaxial features   confined by dielectric fins and spacers--
10937896Device for compound   semiconductor Fin structureSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10937897Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10937898Lateral bipolar   junction transistor with dual base regionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10937902Method for producing   a semiconductor device having a fin-shaped semiconductor layerUNISANTIS ELECTRONICS   SINGAPORE PTE. LTD.
10937904Programmable   charge-storage transistor, an array of elevationally-extending strings of   memory cells, and a method of forming an array of elevationally-extending   strings of memory cellsMICRON TECHNOLOGY,   INC.
10937905Transistor having   double isolation with one floating isolationTEXAS INSTRUMENTS   INCORPORATED
10937906Semiconductor device   including fin structures and manufacturing method thereof--
10937909FinFET device   including an dielectric region and method for fabricating same--
10937910Semiconductor   structure with source/drain multi-layer structure and method for forming the   same--
10937911Method of forming a   transistorMICRON TECHNOLOGY,   INC.
10937913Imaging element,   solid state imaging device, and electronic deviceSONY CORPORATION
10937923Semiconductor device   and semiconductor device package including the sameLG INNOTEK CO., LTD.
10937926Light-emitting diodes   with buffer layersXIAMEN CHANGELIGHT   CO., LTD.
10937990Encapsulation filmLG CHEM, LTD.
10940560Laser processing   apparatusDISCO CORPORATION
10940627Gate-all-around fin   deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10941011Exposure machine and   method of transferring a substrate of same--
10941163Metal compositions   and methods of making samePRYOG, LLC
10941280Liquid sealing   material for copper bump, and resin composition for use as sameNAMICS CORPORATION
10941301Surface treatment   method, surface treatment agent, and method for forming film   region-selectively on substrateTOKYO OHKA KOGYO CO.,   LTD.
10941303Chemical conversion   of yttria into yttrium fluoride and yttrium oxyfluoride to develop   pre-seasoned corossion resistive coating for plasma componentsAPPLIED MATERIALS,   INC.
10941318Method for producing   cationically modified silica, cationically modified silica dispersion, method   for producing polishing composition using cationically modified silica, and   polishing composition using cationically modified silicaFUJIMI INCORPORATED
10941320Adhesive for   temporary bonding, adhesive layer, wafer work piece and method for   manufacturing semiconductor device using same, rework solvent, polyimide   copolymer, polyimide mixed resin, and resin compostionTORAY INDUSTRIES,   INC.
10941341Etching composition   additive, method for preparing the same and etching composition comprising   the sameSK INNOVATION CO.,   LTD.
10941477Substrate processing   apparatus and susceptorTOKYO ELECTRON   LIMITED
10941487Synthesis and use of   precursors for ALD of group VA element containing thin filmsASM IP HOLDING B.V.
10941492Substrate treating   methodSCREEN HOLDINGS CO.,   LTD.
10941493Film formation methodC. UYEMURA & CO.,   LTD.
10941498Panel to be plated,   electroplating process using the same, and chip manufactured from the same--
10941505Growing   two-dimensional materials through heterogeneous pyrolysisUNITED STATES OF   AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE
10942129Chip defect detection   device and detection methodSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10942214Hardware timed   over-the-air antenna characterizationNATIONAL INSTRUMENTS   CORPORATION
10942215Integrated circuit   authentication from a die material measurementNATIONAL TECHNOLOGY   & ENGINEERING SOLUTIONS OF SANDIA, LLC
10942380Electrical isolation   in photonic integrated circuitsLUMENTUM TECHNOLOGY   UK LIMITED
10942397Spatial phase   modulator and method for producing spatial phase modulatorHUAWEI TECHNOLOGIES   CO., LTD.
10942440Mask blank, phase   shift mask, method of manufacturing phase shift mask, and method of   manufacturing semiconductor deviceHOYA CORPORATION
10942444Optical control   modules for integrated circuit device patterning and reticles and methods   including the sameNXP USA, INC.
10942446Mask cleaning   apparatus and method for cleaning maskSEMES CO. LTD.
10942454Method for making   microstructures and photolithography mask plateTSINGHUA UNIVERSITY
10942455Manufacturing method   of semiconductor chip, and kitFUJIFILM CORPORATION
10943766Power feed member and   substrate processing apparatusTOKYO ELECTRON   LIMITED
10943773Ruthenium sputtering   target and ruthenium alloy sputtering targetJX NIPPON MINING   & METALS CORPORATION
10943778Method for   manufacturing a substrateSOITEC
10943779Method and system for   three-dimensional (3D) structure fillAPPLIED MATERIALS,   INC.
10943780Methods for ALD of   metal oxides on metal surfacesAPPLIED MATERIALS,   INC.
10943781Manufacturing method   for light emitting device, light emitting device, and hybrid light emitting   deviceNAJING TECHNOLOGY   CORPORATION LIMITED
10943782Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10943783Method for   manufacturing a semiconductor device--
10943784Method for optimizing   a critical dimension for double patterning for NAND flashSHANGHAI HUALI   MICROELECTRONICS CORPORATION
10943785Laser irradiation   apparatus and method for manufacturing semiconductor deviceTHE JAPAN STEEL   WORKS, LTD.
10943786Semiconductor device   with self-aligned carbon nanotube gateELPIS TECHNOLOGIES   INC.
10943787Confined work   function material for gate-all around transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943788Abatement and strip   process chamber in a load lock configurationAPPLIED MATERIALS,   INC.
10943789Methods and systems   for advanced ion control for etching processesLAM RESEARCH   CORPORATION
10943790Semiconductor devices   and methods for manufacturing the same--
10943791Pattern formation   method and method for manufacturing a semiconductor device--
109437923D stacked-in-recess   system in packageINTEL CORPORATION
10943794Semiconductor device   assembly with pillar array and test abilityMICRON TECHNOLOGY,   INC.
10943795Apparatus and methods   for creating a thermal interface bond between a semiconductor die and a   passive heat exchangerINDIUM CORPORATION
10943796Semiconductor device   assembly having a thermal interface bond between a semiconductor die and a   passive heat exchangerINDIUM CORPORATION
10943798Fan-out structure and   method of fabricating the same--
10943799Nozzle for cleaning   substrateHS HI-TECH CO., LTD.
10943800Semiconductor package   device and method of forming package body--
10943801Laser processing   methodDISCO CORPORATION
10943802Photoresist bottle   container--
10943803Methods and   assemblies for gas flow ratio controlAPPLIED MATERIALS,   INC.
10943804Monitoring of process   chamber--
10943805Multi-blade robot   apparatus, electronic device manufacturing apparatus, and methods adapted to   transport multiple substrates in electronic device manufacturingAPPLIED MATERIALS,   INC.
10943806Substrate processing   apparatus, method of manufacturing semiconductor device, and non- transitory   computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
10943807Method and device for   alignment of substratesEV GROUP E. THALLNER   GMBH
10943808Ceramic electrostatic   chuck having a V-shape seal bandAPPLIED MATERIALS,   INC.
10943809Electrostatic chuck   including ceramic dielectric substrateTOTO LTD.
10943810Device and method for   bondingEV GROUP E. THALLNER   GMBH
10943811Tape affixing   apparatusDISCO CORPORATION
10943812Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10943813Radio frequency   silicon on insulator wafer platform with superior performance, stability, and   manufacturability--
10943814Etch stop member in   buried insulator of SOI substrate to reduce contact edge punch throughGLOBALFOUNDRIES U.S.   INC.
10943815Structure for   radiofrequency applicationsSOITEC
10943816Mask removal for   tight-pitched nanostructuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943817Etch-stop layer   topography for advanced integrated circuit structure fabricationINTEL CORPORATION
10943818Semiconductor device   and method--
10943819Semiconductor   structure having a plurality of capped protrusions--
10943820Gap-fill method   having improved gap-fill capability--
10943821Method of   manufacturing semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
10943822Forming gate line-end   of semiconductor structures--
10943823Conductive feature   formation and structure using bottom-up filling deposition--
10943824Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10943825Method for dicing die   attach filmPLASMA-THERM LLC
10943826Method for arranging   a plurality of seed substrates on a carrier element and carrier element   having seed substratesNEXWAFE GMBH
10943827Semiconductor device   with fin structures--
10943828Residue-free metal   gate cutting for fin-like field effect transistor--
10943829Slot contacts and   method forming same--
10943830Self-aligned   structure for semiconductor devices--
10943831Vertical field effect   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943832Semiconductor device   and manufacturing method thereof--
10943833Silicon and silicon   germanium nanowire formation--
10943834Replacement contact   processAPPLIED MATERIALS,   INC.
10943835Fabrication of   silicon germanium channel and silicon/silicon germanium dual channel   field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943836Gallium nitride NMOS   on Si (111) co-integrated with a silicon PMOSINTEL CORPORATION
10943837Device having   overlapping semiconductor fins oriented in different directionsSTMICROELECTRONICS,   INC.
10943838Measurement of   overlay error using device inspection systemKLA-TENCOR   CORPORATION
10943839Imager system   comprising lens composed of a plurality of material layersJABIL INC.
10943840Display device   including an adhesive layerSAMSUNG DISPLAY CO.,   LTD.
10943841Substrates,   structures within a scribe-line area of a substrate, and methods of forming a   conductive line of a redistribution layer of a substrate and of forming a   structure within a scribe-line area of the substrateMICRON TECHNOLOGY,   INC.
10943843Semiconductor package   structure--
10943844Semiconductor device   including multiple chipsTOSHIBA MEMORY   CORPORATION
10943846Chip package   structure with heat conductive component and manufacturing thereof--
10943851Reconstituted wafer   assemblyINTEL CORPORATION
10943852Semiconductor device   and method for manufacturing the sameTOSHIBA MEMORY   CORPORATION
10943853Semiconductor device   and manufacturing method thereofWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
10943856System in package   device including inductorTEXAS INSTRUMENTS   INCORPORATED
10943857Substrate with   multi-layer resin structure and semiconductor device including the substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10943858Semiconductor package   and fabricating method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10943859Semiconductor deviceDENSO CORPORATION
10943860Semiconductor device   with flexible circuit for enabling non-destructive attaching and detaching of   device to system boardMICRON TECHNOLOGY,   INC.
10943862Integrated filler   capacitor cell device and corresponding manufacturing methodSTMICROELECTRONICS   (ROUSSET) SAS
10943863Techniques to improve   reliability in Cu interconnects using Cu intermetallicsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943866Method and structure   to construct cylindrical interconnects to reduce resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943867Schemes for forming   barrier layers for copper in interconnect structures--
10943868Structure for   interconnection--
10943869High density   interconnection using fanout interposer chipletAPPLE INC.
10943871Semiconductor device   having conductive wire with increased attachment angle and methodAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10943872Fabrication method of   semiconductor package including shielding wall and coverSAMSUNG ELECTRONICS   CO., LTD.
10943873Semiconductor device   structure comprising a plurality of metal oxide fibers and method for forming   the same--
10943874Apparatus, system,   and method for mitigating warpage of integrated circuits during reflow   processesJUNIPER NETWORKS,   INC.
10943881Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10943882IC package design and   methodology to compensate for die-substrate CTE mismatch at reflow   temperaturesNVIDIA CORPORATION
10943884Method of   manufacturing an electronic device and electronic device manufactured therebyAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10943885Method for making   semiconductor device with sidewall recess and related devicesSTMICROELECTRONICS,   INC.
10943886Methods of forming   semiconductor packages with back side metalSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10943887Staggered die   stacking across heterogeneous modulesINTEL CORPORATION
10943888Interconnect   structure with redundant electrical connectors and associated systems and   methodsMICRON TECHNOLOGY,   INC.
10943889Semiconductor device   and method of manufacture--
10943898High switching   frequency, low loss and small form factor fully integrated power stageINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943900Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10943901Semiconductor device   and method--
10943902Forming strained   channels for CMOS device fabricationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943903Asymmetric threshold   voltage VTFET with intrinsic dual channel epitaxyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943904Integrated circuit   deviceSAMSUNG ELECTRONICS   CO., LTD.
10943907Integrated circuitry   comprising an array, method of forming an array, method of forming DRAM   circuitry, and method used in the fabrication of integrated circuitryMICRON TECHNOLOGY,   INC.
10943908Method of forming   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10943909Method of forming   semiconductor memory device--
10943910Method for forming   semiconductor integrated circuit structure--
10943911Vertical transport   devices with greater density through modified well shapesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943912Method for   fabricating semiconductor deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10943913Strap-cell   architecture for embedded memory--
10943916Method for   manufacturing three-dimensional memory structureYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10943917Three-dimensional   memory device with drain-select-level isolation structures and method of   making the sameSANDISK TECHNOLOGIES   LLC
10943920Methods of   fabricating integrated structuresMICRON TECHNOLOGY,   INC.
10943921Methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
10943924Semiconductor-on-insulator   finFET devices with high thermal conductivity dielectricsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943925Method of forming   FinFET channel and structures thereof--
10943931Wafers with etchable   sacrificial patterns, anchors, tethers, and printable devicesX DISPLAY COMPANY   TECHNOLOGY LIMITED
10943939Via structures   including etch-delay structures and semiconductor devices having via plugsSAMSUNG ELECTRONICS   CO., LTD.
10943940Image sensor   comprising reflective guide layer and method of forming the same--
10943953Semiconductor   devices, hybrid transistors, and related methodsMICRON TECHNOLOGY,   INC.
10943972Precision BEOL   resistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943974Method for producing   a semiconductor component having a channel stopper regionINFINEON TECHNOLOGIES   AG
10943975Method of   manufacturing a semiconductor device having side-diffused trench plugLITTELFUSE, INC.
10943976Metal-oxide   semiconductor (MOS) device structure based on a poly-filled trench isolation   regionALLEGRO MICROSYSTEMS,   LLC
10943978High voltage device   and manufacturing method thereof--
10943981Semiconductor deviceFLOSFIA INC.
10943982Nanoporous   semiconductor materialsMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
10943983Integrated circuits   having protruding interconnect conductors--
10943985Cover member for a   robot used in a painting process having absorptive propertiesTD INDUSTRIAL   COVERINGS, INC.
10943986Transistors, memory   cells and semiconductor constructions comprising ferroelectric gate   dielectricMICRON TECHNOLOGY,   INC.
10943987Latch-up resistant   transistor deviceINFINEON TECHNOLOGIES   AUSTRIA AG
10943988Thermally stable   salicide formation for salicide first contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943989Gate to source/drain   leakage reduction in nanosheet transistors via inner spacer optimizationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943990Gate contact over   active enabled by alternative spacer scheme and claw-shaped capINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943992Transistor having   straight bottom spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10943993Semiconductor device   and method for fabricating the same--
10943995Self-aligned   passivation of active regions--
10943996Method of   manufacturing semiconductor device including non-volatile memories and logic   devices--
10943997Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10943999Field effect   transistor and process of forming the sameSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10944003Vertical field effect   transistor and semiconductor device including the sameSAMSUNG ELECTRONICS   CO., LTD.
10944004Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10944005Interfacial layer   between fin and source/drain region--
10944006Geometry tuning of   fin based transistorINTEL CORPORATION
10944007Silicon on insulator   semiconductor device with mixed doped regions--
10944009Methods of   fabricating a FinFET device with wrap-around silicide source/drain structure--
10944012Area-efficient   inverter using stacked vertical transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10944015Semiconductor deviceFLOSFIA INC.
10944024Method for   manufacturing micro light-emitting diode chips--
10944043Magnetoresistance   effect element and method for manufacturing the sameTDK CORPORATION
10944046Semiconductor deviceROHM CO., LTD.
10944051Method of cleaning a   substrate processing apparatus and the substrate processing apparatus   performing the methodTOKYO ELECTRON   LIMITED
10944072Stretchable   electroluminescent devicesCORNELL UNIVERSITY
10944081Component module   having a radiation-emitting curved componentOSRAM OLED GMBH
10944083Array substrate,   method for manufacturing array substrate, and display panelBOE TECHNOLOGY GROUP   CO., LTD.
10944165Integrated antenna   package structure and manufacturing method thereof--
10944374Electrostatic chuck   filter box and mounting bracketLAM RESEARCH   CORPORATION
10945332Heat capacitive   component carrier and method to produce said component carrierAT&S AUSTRIA   TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT
10945338Wiring substrateKYOCERA CORPORATION
10946344Formation of diamond   membranesIMEC VZW
10946411System and method for   fluid dispense and coverage controlTOKYO ELECTRON   LIMITED
10946418Scrubbing device and   cleaning equipmentWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10946419Foreign substance   removal apparatus and foreign substance detection apparatusTOKYO ELECTRON   LIMITED
10946482Laser processing   apparatusDISCO CORPORATION
10946483Laser apparatus and   method of dicing a substrate using the sameSAMSUNG ELECTRONICS   CO., LTD.
10946493Methods and systems   for polishing pad control--
10946494Polishing agent,   stock solution for polishing agent, and polishing methodSHOWA DENKO MATERIALS   CO., LTD.
10946658Encapsulating a   bonded wire with low profile encapsulationHEWLETT-PACKARD   DEVELOPMENT COMPANY, L.P.
10947041Transport system and   transport methodMURATA MACHINERY,   LTD.
10947063Load portSINFONIA TECHNOLOGY   CO., LTD.
10947109Semiconductor   component and method for producing sameINFINEON TECHNOLOGIES   AG
10947412Crack-resistant   silicon-based planarizing compositions, methods and filmsHONEYWELL   INTERNATIONAL INC.
10947413Chemical mechanical   polishing method for cobalt with high cobalt removal rates and reduced cobalt   corrosionROHM AND HAAS   ELECTRONIC MATERIALS CMP HOLDINGS
10947414Compositions for use   in chemical mechanical polishing--
10947484Stripping   compositions for removing photoresists from semiconductor substratesFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
10947638Underlying substrate   including a seed crystal layer of a group 13 nitride having stripe-shaped   projections and recesses and an off-angle in a direction of an a-axisNGK INSULATORS, LTD.
10947639Molten target   sputtering (MTS) deposition for enhanced kinetic energy and flux of ionized   atomsUNITED STATES OF   AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA
10948247Adjustable heat sink   fin spacingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10948317Measuring device and   method for obtaining amount of deviation of measuring deviceTOKYO ELECTRON   LIMITED
10948353Thermal processing   chamber with low temperature controlAPPLIED MATERIALS,   INC.
10948423Sensitive particle   detection with spatially-varying polarization rotator and polarizerKLA CORPORATION
10948425X-ray inspection   apparatus for inspecting semiconductor wafersNORDSON CORPORATION
10948537Sensor integrated   circuit load current monitoring circuitry and associated methodsALLEGRO MICROSYSTEMS,   LLC
10948824Dispensing nozzle   design and dispensing method thereof--
10948826Photoresist stripperVERSUM MATERIALS US,   LLC
10949820System and method for   encoding IC chips for payment objectsCPI CARD   GROUP—COLORADO, INC.
10950178Microdisplay with   reduced pixel size and method of forming sameEMAGIN CORPORATION
10950384Method used in   forming an electronic device comprising conductive material and ferroelectric   materialMICRON TECHNOLOGY,   INC.
10950391Photoelectric   conversion device and manufacturing method and apparatus thereofKABUSHIKI KAISHA   TOSHIBA
10950406Self-limiting   electrical triggering for initiating fracture of frangible glassPALO ALTO RESEARCH   CENTER INCORPORATED
10950414Plasma processing   apparatus and method of manufacturing semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
10950415Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
10950416Chamber seasoning to   improve etch uniformity by reducing chemistryMATTSON TECHNOLOGY,   INC.
10950417Substrate processing   apparatus and substrate loading mechanismTOKYO ELECTRON   LIMITED
10950418Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
10950419Shrouds and substrate   treating systems including the sameSAMSUNG ELECTRONICS   CO., LTD.
10950426Dielectric layer,   interconnection structure using the same, and manufacturing method thereof--
10950427Quantum dots and   production method thereofSAMSUNG ELECTRONICS   CO., LTD.
10950428Method for processing   a workpieceMATTSON TECHNOLOGY,   INC.
10950429Methods of forming   amorphous carbon hard mask layers and hard mask layers formed therefromAPPLIED MATERIALS,   INC.
10950430Pulsed plasma   deposition etch step coverage improvementAPPLIED MATERIALS,   INC.
10950431Low-k feature   formation processes and structures formed thereby--
10950432Method of depositing   thin film and method of manufacturing semiconductor deviceASM IP HOLDING B.V.
10950433Methods for enhancing   selectivity in SAM-based selective depositionAPPLIED MATERIALS,   INC.
10950434Methods of reducing   gate spacer loss during semiconductor manufacturing--
10950435SiC epitaxial wafer,   method for manufacturing SiC epitaxial wafer, SiC device, and power   conversion apparatusMITSUBISHI ELECTRIC   CORPORATION
10950436Array substrate   manufacturing using fluorine and hydrogenation processesWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10950437Laser annealing   method, laser annealing apparatus, and thin film transistor substrateV TECHNOLOGY CO.,   LTD.
10950438Method and   composition for selectively modifying base material surfaceJSR CORPORATION
10950439Pattern forming   methodTOSHIBA MEMORY   CORPORATION
10950440Patterning directly   on an amorphous silicon hardmaskINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950441Low energy e-beam   contact printing lithographyTOKYO
10950442Methods to reshape   spacers for multi-patterning processes using thermal decomposition materialsTOKYO ELECTRON   LIMITED
10950443Method for forming   patternsSK HYNIX INC.
10950444Metal hard mask   layers for processing of microelectronic workpiecesTOKYO ELECTRON   LIMITED
10950445Deposition of metal   silicide layers on substrates and chamber componentsAPPLIED MATERIALS,   INC.
10950446Manufacturing method   of semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10950447Semiconductor device   having hydrogen in a dielectric layer--
10950448Film quality control   in a linear scan physical vapor deposition processAPPLIED MATERIALS,   INC.
10950449Substrate processing   apparatusASM IP HOLDING B.V.
10950450Silicide films   through selective depositionAPPLIED MATERIALS,   INC.
10950451Cutting apparatusDISCO CORPORATION
10950452Seasoning method and   etching methodTOKYO ELECTRON   LIMITED
10950453Advanced etching   technologies for straight, tall and uniform fins across multiple fin pitch   structuresINTEL CORPORATION
10950454Integrated atomic   layer passivation in TCP etch chamber and in-situ etch-ALP methodLAM RESEARCH   CORPORATION
10950455Method for   manufacturing a semiconductor device and semiconductor deviceROBERT BOSCH GMBH
10950456High-density   semiconductor device--
10950457Substrate processing   device, manufacturing method for semiconductor device, and reaction tubeKOKUSAI ELECTRIC   CORPORATION
10950458Etching methodTOKYO ELECTRON   LIMITED
10950459Back end of line   structures with metal lines with alternating patterning and metallization   schemesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950460Method utilizing   using post etch pattern encapsulationTOKYO ELECTRON   LIMITED
10950461Method for   manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10950462Diamond substrate   producing methodDISCO CORPORATION
10950463Manufacturing   trapezoidal through-hole in component carrier materialAT&S AUSTRIA   TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT
10950464Electronic device   module and manufacturing method thereofSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10950465Method of cleaning   substrate processing apparatus and system of cleaning substrate processing   apparatusTOKYO ELECTRON   LIMITED
10950466Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
10950467Gas supply mechanism   and semiconductor manufacturing systemTOKYO ELECTRON   LIMITED
10950468Semiconductor   manufacturing apparatusTOSHIBA MEMORY   CORPORATION
10950469Semiconductor   manufacturing apparatus and method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
10950470Substrate bonding   apparatus and bonding method using the sameSAMSUNG ELECTRONICS   CO., LTD.
10950471Laser machining   device and laser machining methodTOKYO SEIMITSU CO.,   LTD.
10950472Light-irradiation   thermal treatment apparatusSCREEN HOLDINGS CO.,   LTD.
10950473Heat source device   and substrate processing apparatus including sameNPS CORPORATION
10950474Laser irradiation   apparatus, laser irradiation method, and method for manufacturing   semiconductor deviceTHE JAPAN STEEL   WORKS, LTD.
10950475Method and apparatus   for processing a substrate using non-contact temperature measurementAPPLIED MATERIALS,   INC.
10950476Electronic device   manufacturing load port apparatus, systems, and methodsAPPLIED MATERIALS,   INC.
10950477Ceramic heater and   esc with enhanced wafer edge performanceAPPLIED MATERIALS,   INC.
10950478Info structure with   copper pillar having reversed profile--
10950479Method for   manufacturing light emitting device--
10950480Adhesive tape   sticking apparatus and method of manufacturing a semiconductor package using   the sameSAMSUNG ELECTRONICS   CO., LTD.
10950481Method for   manufacturing thin substrateSHIN-ETSU CHEMICAL   CO., LTD.
10950482Device for supporting   substrate, apparatus for manufacturing display panel, and method for   supporting substrateHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10950483Systems and methods   for fixed focus ring processing--
10950484Robot having arm with   unequal link lengthsPERSIMMON   TECHNOLOGIES CORPORATION
10950485Semiconductor   processing apparatus and method utilizing electrostatic discharge (ESD)   prevention layer--
10950486Wafer trayMITSUBISHI ELECTRIC   CORPORATION
10950487Method for forming an   alignment markINFINEON TECHNOLOGIES   AUSTRIA AG
10950488Integration of finFET   deviceTEXAS INSTRUMENTS   INCORPORATED
10950489Devices and methods   for radiation hardening integrated circuits using shallow trench isolationTALLANNQUEST LLC
10950490Semiconductor device   having isolation structures with different thicknesses--
10950491Method for   transferring a useful layerSOITEC
10950492Fabrication of   vertical transport fin field effect transistors with a self-aligned separator   and an isolation region with an air gapINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950493Interconnects having   air gap spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950494Semiconductor device   including first and second contact layers and manufacturing methodINFINEON TECHNOLOGIES   AG
10950495Mitigating pattern   collapse--
10950496Microelectronic   devices comprising manganese-containing conductive structures, and related   electronic systemsMICRON TECHNOLOGY,   INC.
10950497Electrical connection   for semiconductor devices--
10950498Selective and   self-limiting tungsten etch processAPPLIED MATERIALS,   INC.
10950499Integrated circuit   devices and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10950500Methods and apparatus   for filling a feature disposed in a substrateAPPLIED MATERIALS,   INC.
10950501Triblock copolymers   for self-aligning vias or contactsINTEL CORPORATION
10950502Method of   manufacturing a chip package--
10950503Method of separating   electronic devices having a back layer and apparatusSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10950504Wafer processing   methodDISCO CORPORATION
10950505Multiple finFET   formation with epitaxy separationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950506Forming single and   double diffusion breaksINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950507Electrical testing   method of interposer--
10950508Ion depth profile   control method, ion implantation method and semiconductor device   manufacturing method based on the control method, and ion implantation system   adapting the control methodSAMSUNG ELECTRONICS   CO., LTD.
10950509Semiconductor device   with integrated shunt resistorINFINEON TECHNOLOGIES   AG
10950510Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10950511Die carrier package   and method of forming sameMEDTRONIC, INC.
10950512Semiconductor   packages including a semiconductor chip and methods of forming the   semiconductor packagesSK HYNIX INC.
10950514Packaged   semiconductor devices and methods of packaging semiconductor devices--
10950515Semiconductor device,   manufacturing method of semiconductor device, and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10950516Resin encapsulated   power semiconductor module with exposed terminal areasABB SCHWEIZ AG
10950517Printed circuit board   and semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10950519Integrated circuit   package and method--
10950520Electronic package,   method for fabricating the same, and heat dissipator--
10950521Thermal interface   material layer and package-on-package device including the sameSAMSUNG ELECTRONICS   CO., LTD.
10950523Semiconductor devices   having through electrodes and methods for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10950525Fabrication method of   packaging structureSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10950526Semiconductor deviceDENSO CORPORATION
10950527Semiconductor device   and method for manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10950528Chip package assembly   and method for manufacturing the sameSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
10950529Semiconductor device   packageADVANCED   SEMICONDUCTOR ENGINEERING KOREA, INC.
10950530Semiconductor device   package and method of manufacturing the same--
10950531Semiconductor device   package and method of manufacturing the same--
10950532Substrate   intermediary body, through-hole via electrode substrate, and through-hole via   electrode formation methodMITSUI CHEMICALS,   INC.
10950533Through electrode   substrate and semiconductor deviceDAI NIPPON PRINTING   CO., LTD.
10950534Through-substrate via   structure and method of manufactureSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10950535Package structure and   method of manufacturing the same--
10950537Land side and die   side cavities to reduce package z-heightINTEL CORPORATION
10950538Semiconductor   structure and manufacturing method thereof--
10950539Redistribution   substrate, method of fabricating the same, and semiconductor package   including the sameSAMSUNG ELECTRONICS   CO., LTD.
10950541Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10950543Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10950544Semiconductor device   including gate pattern having pad regionSAMSUNG ELECTRONICS   CO., LTD.
10950545Circuit wiring   techniques for stacked transistor structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950546Semiconductor device   including back side power supply circuit--
10950547Stacked IC structure   with system level wiring on multiple sides of the IC dieXCELSIS CORPORATION
10950549ILD gap fill for   memory device stack arrayINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950550Semiconductor package   with through bridge die connectionsINTEL CORPORATION
10950551Embedded component   package structure and manufacturing method thereof--
10950552Ring-in-ring   configurable-capacitance stiffeners and methods of assembling sameINTEL CORPORATION
10950553System on integrated   chips and methods of forming the same--
10950554Semiconductor   packages with electromagnetic interference shielding layer and methods of   forming the same--
10950555Ultra-low profile   package shielding technique using magnetic and conductive layers for   integrated switching voltage regulatorINTEL CORPORATION
10950556EMI shielding   structure in InFO package--
10950557Stacked chip package   structure and manufacturing method thereof--
10950558Semiconductor device,   power converter, and method for manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10950562Impedance-matched   through-wafer transition using integrated heat-spreader technologyHRL LABORATORIES, LLC
10950565Interconnect   structures for preventing solder bridging, and associated systems and methodsMICRON TECHNOLOGY,   INC.
10950566Semiconductor device   and method for manufacturing the semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10950572Die bonder and   methods of using the same--
10950574Sensor having   system-in-package module, method for producing the same, and sensor   arrangementCONTINENTAL TEVES AG   & CO. OHG
10950575Package structure and   method of forming the same--
10950576Package structure--
10950577Redistribution layers   in semiconductor packages and methods of forming same--
10950579Integrated circuit   package and method of forming same--
109505813D semiconductor   device and structureMONOLITHIC 3D INC.
10950583Transfer head and   transfer system for semiconductor light-emitting device and method for   transferring semiconductor light-emitting deviceLG ELECTRONICS INC.
10950586Semiconductor devices   having upper and lower solder portions and methods of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10950587Printed circuit board   and package structureSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10950588Chip package   structure and manufacturing method thereof--
10950591Display with embedded   pixel driver chipsAPPLE INC.
10950593Package structure   including at least one connecting module and manufacturing method thereof--
10950598Heterolithic   microwave integrated circuits including gallium-nitride devices formed on   highly doped semiconductorMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
10950600Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10950601Current source using   emitter region as base region isolation structureNEXCHIP SEMICONDUCTOR   CORPORATION
10950602Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10950603Semiconductor device   and method--
10950604Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10950606Dual fin endcap for   self-aligned gate edge (SAGE) architecturesINTEL CORPORATION
10950610Asymmetric gate cut   isolation for SRAMGLOBALFOUNDRIES U.S.   INC.
10950611Semiconductor device   and manufacturing method thereof--
10950613Semiconductor device   and a method of manufacturing a semiconductor deviceSK HYNIX INC.
10950617Memory device with   multiple layersTOSHIBA MEMORY   CORPORATION
10950621Semiconductor   substrate and semiconductor deviceTOSHIBA MEMORY   CORPORATION
10950622Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
109506233D NAND memory device   and method of forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10950625Semiconductor device   and manufacturing method of the semiconductor deviceSK HYNIX INC.
10950628Vertical memory   device and method of fabrication the sameSAMSUNG ELECTRONICS   CO., LTD.
10950629Three-dimensional   flat NAND memory device having high mobility channels and methods of making   the sameSANDISK TECHNOLOGIES   LLC
10950631Semiconductor-on-insulator   wafer having a composite insulator layer--
10950635Orthogonal transistor   layoutsSKYWORKS SOLUTIONS,   INC.
10950637Semiconductor device,   manufacturing method, solid state image sensor, and electronic equipmentSONY CORPORATION
10950647Semiconductor device   and method of manufacturing the same, and electronic apparatusSONY CORPORATION
10950648Semiconductor   element, manufacturing method of semiconductor element, and electronic   apparatusSONY CORPORATION
10950664Semiconductor memory   device including phase change material layers and method for manufacturing   thereof--
10950666Pixel structure, OLED   display screen and evaporation maskKUNSHAN GO-VISIONOX   OPTO-ELECTRONICS CO., LTD.
10950675Signal control unit   for an organic light emitting diode display device, method of manufacturing   the same, and organic light emitting diode display device including the sameDB HITEK CO., LTD.
10950682Method for   manufacturing organic electroluminescent deviceSAKAI DISPLAY   PRODUCTS CORPORATION
10950689Semiconductor device   with a through-substrate via hole having therein a capacitor and a   through-substrate via conductorNANYANG TECHNOLOGICAL   UNIVERSITY
10950692Methods of forming   air gaps between source/drain contacts and the resulting devicesGLOBALFOUNDRIES U.S.   INC.
10950693Method of   manufacturing a semiconductor device and a semiconductor device--
10950694Doping for   semiconductor device with conductive feature--
10950698Method and apparatus   for selective nitridation processAPPLIED MATERIALS,   INC.
10950703Semiconductor   structure for memory device and method for forming the same--
10950707Method for depinning   the Fermi level of a semiconductor at an electrical junction and devices   incorporating such junctionsACORN SEMI, LLC
10950708Dishing prevention   dummy structures for semiconductor devices--
10950709Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10950711Fabrication of   vertical field effect transistor structure with strained channelsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950712Semiconductor device   and method for fabricating the same--
10950713Method and device for   forming cut-metal-gate feature--
10950714Semiconductor device   and manufacturing method thereof--
10950715Method of   manufacturing semiconductor device including non-volatile memories and logic   devices--
10950716Metal oxide TFT,   manufacturing method thereof, and display deviceSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10950717Semiconductor device   having semiconductor regions with an impurity concentration distribution   which decreases from a respective peak toward different semiconductor layersFUJI ELECTRIC CO.,   LTD.
10950719Seminconductor device   with spreading layerCREE, INC.
10950722Vertical gate   all-around transistorSTMICROELECTRONICS,   INC.
10950724Method of fabricating   a semiconductor device including vertical-type field effect transistorsSAMSUNG ELECTRONICS   CO., LTD.
10950725Epitaxial   source/drain structure and method of forming same--
10950726Semiconductor device,   CMOS circuit, and electronic apparatus with stress in channel regionSONY CORPORATION
10950727Strained   semiconductor using elastic edge relaxation of a stressor combined with   buried insulating layerACORN SEMI, LLC
10950728Fin field effect   transistor (FinFET) device structure with isolation layer and method for   forming the same--
10950729Contact structure   with insulating cap--
10950730Merged source/drain   features--
10950731Inner spacers for   gate-all-around semiconductor devices--
10950732Semiconductor device   and method of manufacturing the same--
10950734Semiconductor device   and manufacturing method thereofSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10950747Heterostructure for   an optoelectronic deviceSENSOR ELECTRONIC   TECHNOLOGY, INC.
10950760Two component glass   body for tape casting phosphor in glass LED convertersOSRAM OPTO   SEMICONDUCTORS GMBH
10950778Superconducting bump   bond electrical characterizationNORTHROP GRUMMAN   SYSTEMS CORPORATION
10950787Method having   resistive memory crossbar array employing selective barrier layer growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
10950821Method of   encapsulating an environmentally sensitive deviceSAMSUNG DISPLAY CO.,   LTD.
10951174High-frequency   amplifierMITSUBISHI ELECTRIC   CORPORATION
10951210Tuning capacitance to   enhance FET stack voltage withstandPSEMI CORPORATION
10951845Photoelectric   conversion apparatus and equipment having photodiode and semiconductor   regionsCANON KABUSHIKI   KAISHA
10952333Method for stress   reduction in semiconductor package via carrierMICRON TECHNOLOGY,   INC.
10953415Nozzle tip adapter,   nozzle assembly as well as nozzleSUSS MICROTEC   LITHOGRAPHY GMBH
10953440Sound-pressure   analyzer and a method in the high-intensity acoustic field, and an ultrasonic   cleaner and an ultrasonic processorHONDA ELECTRONICS   CO., LTD.
10953487Ultrasonic vibration   bonding apparatusTOSHIBA   MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION
10953514Chemical mechanical   polishing apparatus and method--
10953539Substrate transfer   robot and automatic teaching methodKAWASAKI JUKOGYO   KABUSHIKI KAISHA
10954122Method for bonding of   at least three substratesEV GROUP E. THALLNER   GMBH
10954129Diamond-like carbon   as mandrelAPPLIED MATERIALS,   INC.
10954411Chemical mechanical   polishing composition and method of polishing silicon nitride over silicon   dioxide and simultaneously inhibiting damage to silicon dioxideROHM AND HAAS   ELECTRONIC MATERIALS CMP HOLDINGS
10954479Composition for   surface treatment and surface treatment method using the sameFUJIMI INCORPORATED
10954480Compositions and   methods for preventing collapse of high aspect ratio structures during dryingVERSUM MATERIALS US,   LLC
10954591Method for producing   a structured coating on a substrate, coated substrate, and semi-finished   product having a coated substrateMSG LITHOGLAS AG
10954593Tungsten film-forming   method, film-forming system and storage mediumTOKYO ELECTRON   LIMITED
10954594High temperature   vapor delivery system and methodAPPLIED MATERIALS,   INC.
10954597Atomic layer   deposition apparatusASM IP HOLDING B.V.
10954602Method of   electro-chemical platingUNITED SEMICONDUCTOR   (XIAMEN) CO., LTD.
10954603Substrate holder,   plating apparatus, plating method, and electric contactEBARA CORPORATION
10954608UV-transparent   aluminum nitride single crystal having a diameter of 35 mm to 150 mm and a   predefined UV transparency metric at a wavelength of 265 nmCRYSTAL IS, INC.
10955242Inertial sensor,   method for manufacturing inertial sensor, inertial measurement unit, portable   electronic apparatus, electronic apparatus, and vehicleSEIKO EPSON   CORPORATION
10955304N-implant electrical   shield for piezo-resistor sensorMELEXIS TECHNOLOGIES   NV
10955350SiC wafer defect   measuring method, reference sample, and method of manufacturing SiC epitaxial   waferSHOWA DENKO K.K.
10955360Structured   illumination-based inspection apparatus and inspection method, and   semiconductor device fabrication method including structured   illumination-based inspection methodSAMSUNG ELECTRONICS   CO., LTD.
10955439Electrochemical   cleaning of test probesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10955459Method of analyzing   semiconductor structure--
10955492Test system for   checking electrical connections of electronic components to a printed circuit   boardENDRESS+HAUSER   FLOWTEC AG
10955614Optical fiber coupler   structure having manufacturing variation-sensitive transmission blocking   regionGLOBALFOUNDRIES U.S.   INC.
10955750Lithography system   and method thereof--
10955832Adaptive chamber   matching in advanced semiconductor process controlAPPLIED MATERIALS,   INC.
10957370Integration of   epitaxially grown channel selector with two terminal resistive switching   memory elementSPIN MEMORY, INC.
10957515Plasma processing   method and plasma processing apparatusTOKYO ELECTRON   LIMITED
10957516Multi-zone gas   distribution plate (GDP) and a method for designing the multi-zone GDP--
10957520Long-life high-power   terminals for substrate support with embedded heating elementsLAM RESEARCH   CORPORATION
10957521Image based plasma   sheath profile detection on plasma processing toolsLAM RESEARCH   CORPORATION
10957529Method for drying   wafer with gaseous fluid--
10957530Freezing a   sacrificial material in forming a semiconductorMICRON TECHNOLOGY,   INC.
10957531Method of   manufacturing semiconductor deviceTOKYO ELECTRON   LIMITED
10957532Method and apparatus   for deposition of low-k filmsAPPLIED MATERIALS,   INC.
10957533Methods for etching a   structure for semiconductor applicationsAPPLIED MATERIALS,   INC.
10957534Manufacturing method   of semiconductor deviceSK HYNIX INC.
10957535Semiconductor film   forming method and film forming apparatusTOKYO ELECTRON   LIMITED
10957536Removal of trilayer   resist without damage to underlying structureELPIS TECHNOLOGIES   INC.
10957537Methods to design and   uniformly co-fabricate small vias and large cavities through a substrateHRL LABORATORIES, LLC
10957538Method of forming and   transferring thin film using SOI wafer and heat treatment processIUCF-HYU   (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY)
10957539Method for bonding by   direct adhesionCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10957540Semiconductor epitaxy   bordering isolation structure--
10957541Short pulse fiber   laser for LTPS crystallizationNLIGHT, INC.
10957542Method of processing   waferDISCO CORPORATION
10957543Device and method of   dielectric layer--
10957544Gate cut with high   selectivity to preserve interlevel dielectric layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957545Method for   manufacturing semiconductor device--
10957546Substrate processing   apparatus and method of controlling the sameEBARA CORPORATION
10957547Formulations to   selectively etch silicon germanium relative to germaniumENTEGRIS, INC.
10957548Method of etching   copper indium gallium selenide (CIGS) materialAPPLIED MATERIALS,   INC.
10957549Methods of forming   semiconductor devices using mask materials, and related semiconductor devices   and systemsMICRON TECHNOLOGY,   INC.
10957550Semiconductor   structure and formation method thereofSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10957551Fin-like field effect   transistor patterning methods for increasing process margins--
10957552Extreme ultraviolet   lithography patterning with directional depositionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957553Etching solution,   etching method, and method for manufacturing an electronic componentKABUSHIKI KAISHA   TOSHIBA
10957554Etching method and   etching deviceCENTRAL GLASS   COMPANY, LIMITED
10957555Processing method for   producing photomask with double patterns and storage medium thereof--
10957556Nonvolatile   semiconductor memory device and method of manufacturing the sameTOSHIBA MEMORY   CORPORATION
10957557Polishing slurry and   method of manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10957558Methods of etching   metal-containing layersAPPLIED MATERIALS,   INC.
10957559Thermally conductive   structure for heat dissipation in semiconductor packages--
10957560Pressure sintering   procedure in which power semiconductor components with a substrate are   connected to each other via a sintered connectionSEMIKRON ELEKTRONIK   GMBH & CO. KG
10957561Gas delivery systemLAM RESEARCH   CORPORATION
10957562Heating deviceNGK SPARK PLUG CO.,   LTD.
10957563Chamber wall heating   for a millisecond anneal systemMATTSON TECHNOLOGY,   INC.
10957564Self-calibration   apparatus and method for real-time temperature measurement system of MOCVD   deviceAK OPTICS TECHNOLOGY   CO., LTD.
10957565Processing tool   having a monitoring deviceAPPLIED MATERIALS,   INC.
10957566Wafer-level   inspection using on-valve inspection detectors--
10957567Method, computer   program product and system for detecting manufacturing process defectsAPPLIED MATERIALS   ISRAEL LTD.
10957568Phase filter for   enhanced defect detection in multilayer structureKLA-TENCOR   CORPORATION
10957569Access to one or more   levels of material storage shelves by an overhead hoist transport vehicle   from a single track positionMURATA MACHINERY LTD.
10957570Article storage   facilityDAIFUKU CO., LTD.
10957571Apparatus and methods   for determining wafer characters--
10957572Multi-zone gasket for   substrate support assemblyAPPLIED MATERIALS,   INC.
10957573Electrostatic chuck   device including a heating memberSUMITOMO OSAKA CEMENT   CO., LTD.
10957574Electrostatic chuck   and substrate fixing apparatusSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10957575Method for connecting   a buried interconnect rail and a semiconductor fin in an integrated circuit   chipIMEC VZW
10957576Dynamic random access   memory and method of fabricating the same--
10957577Method for   fabricating a strained semiconductor-on-insulator substrateSOITEC
10957578Single diffusion   break device for FDSOIGLOBALFOUNDRIES U.S.   INC.
10957579Integrated circuit   devices including a via and methods of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
10957580Metal routing with   flexible space formed using self-aligned spacer patterning--
10957581Self aligned via and   pillar cut for at least a self aligned double pitchINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957582Self aligned via and   pillar cut for at least a self aligned double pitchINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957583Self-aligned   quadruple patterning (SAQP) for routing layouts including multi-track jogsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957584Structure and method   to improve FAV RIE process margin and electromigrationTESSERA, INC.
10957585Semiconductor device   and method of forming the same--
10957586FDSOI with on-chip   physically unclonable functionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957587Structure and   formation method of semiconductor device with conductive feature--
10957588Chamferless via   structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957589Self-aligned contact   and method for forming the same--
10957590Method for forming a   layerAPPLIED MATERIALS,   INC.
10957591Process of forming   semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
10957592Through electrode   substrate, method of manufacturing through electrode substrate, and mounting   substrateDAI NIPPON PRINTING   CO., LTD.
10957593Method of processing   a waferDISCO CORPORATION
10957594Manufacturing method   of semiconductor chip--
10957595Systems and methods   for precision fabrication of an orifice within an integrated circuitCEREBRAS SYSTEMS INC.
10957596Caterpillar trenches   for efficient wafer dicingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957597Semiconductor   substrate die sawing singulation systems and methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10957598Terahertz deviceROHM CO., LTD.
10957599Integrating extra   gate VFET with single gate VFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957600Methods for forming   Fin field-effect transistors--
10957601Self-aligned fin   recesses in nanosheet field effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957602Method for direct   forming stressor, semiconductor device having stressor, and method for   forming the same--
10957603Vertical FET devices   with multiple channel lengthsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957604Semiconductor device   and method--
10957605VFET device design   for top contact resistance measurementINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957606Manufacturing method   of complementary metal oxide semiconductor transistor and manufacturing   method of array substrateWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10957607Semiconductor device   and method for manufacturing the same--
10957608Guided scanning   electron microscopy metrology based on wafer topographyKLA-TENCOR   CORPORATION
10957609Detecting the   cleanness of wafer after post-CMP cleaning--
10957610Integrated circuit   component and package structure having the same--
10957614Heat dissipation   substrate and fabricating method thereof--
10957615Laser-seeding for   electro-conductive platingELECTRO SCIENTIFIC   INDUSTRIES, INC
10957616Package structure and   method--
10957621Heat sink for a power   semiconductor module--
10957624Micro heat transfer   arrays, micro cold plates, and thermal management systems for cooling   semiconductor devices, and methods for using and making such arrays, plates,   and systemsMICROFABRICA INC.
10957625Pillar-last methods   for forming semiconductor devicesMICRON TECHNOLOGY,   INC.
10957626Sensor device with   carbon nanotube sensor positioned on first and second substratesTHERMO ELECTRON   SCIENTIFIC INSTRUMENTS LLC
10957630Semiconductor device   and semiconductor device manufacturing methodSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
10957631Angled die pad of a   leadframe for a molded integrated circuit packageTEXAS INSTRUMENTS   INCORPORATED
10957632Lead frame assembly   for a semiconductor deviceNEXPERIA B.V.
10957633Semiconductor device   packaging assembly, lead frame strip and unit lead frame with trenches or   grooves for guiding liquefied molding materialINFINEON TECHNOLOGIES   AG
10957634Modified leadframe   design with adhesive overflow recessesSTMICROELECTRONICS,   INC.
10957635Multi-chip package   with high thermal conductivity die attachTEXAS INSTRUMENTS   INCORPORATED
10957638Device with   pillar-shaped componentsLAPIS SEMICONDUCTOR   CO., LTD.
10957640Method for   manufacturing a semiconductor structure--
10957641Semiconductor device   and manufacturing method thereofTOSHIBA MEMORY   CORPORATION
10957643Formation of   semiconductor devices including electrically programmable fusesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957644Integrated structures   with conductive regions having at least one element from group 2 of the   periodic tableMICRON TECHNOLOGY,   INC.
10957645Package structure   having conductive patterns with crystal grains copper columnar shape and   method manufacturing the same--
10957646Hybrid BEOL   metallization utilizing selective reflection maskINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957647Integrated circuit   devices including a boron-containing insulating patternSAMSUNG ELECTRONICS   CO., LTD.
10957652Circuit boardTAIYO YUDENCO., LTD.
10957653Methods for   manufacturing semiconductor arrangements using photoresist masks--
10957654Semiconductor package   and method of manufacturing the sameNEPES CO., LTD.
10957655Integrated circuit   with inductors having electrically split scribe sealTEXAS INSTRUMENTS   INCORPORATED
10957657Advanced crack stop   structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957661Interconnections for   a substrate associated with a backside revealINVENSAS CORPORATION
10957666Pre-molded leadframes   in semiconductor devicesTEXAS INSTRUMENTS   INCORPORATED
10957669Back side   metallizationADVANCED MICRO   DEVICES, INC.
10957671Method for   fabricating a semiconductor and semiconductor packageINTEL DEUTSCHLAND   GMBH
10957672Package structure and   method of manufacturing the same--
10957679Logic drive based on   standardized commodity programmable logic semiconductor IC chips--
10957680Semiconductor die   stacking using vertical interconnection by through-dielectric via structures   and methods for making the sameSANDISK TECHNOLOGIES   LLC
10957685Multi-trench   semiconductor device and method of manufacture thereofNEXPERIA B.V.
10957687ESD hard backend   structures in nanometer dimension--
10957688Monolithic single   chip integrated radio frequency front end module configured with single   crystal acoustic filter devicesAKOUSTIS, INC.
10957690Semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10957691Semiconductor device,   semiconductor device manufacturing method, and power conversion apparatusMITSUBISHI ELECTRIC   CORPORATION
10957693Vertical transistors   with different gate lengthsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957694Epitaxial oxide fin   segments to prevent strained semiconductor fin end relaxationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957695Asymmetric gate pitch--
10957696Self-aligned metal   gate with poly silicide for vertical transport field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957697Polysilicon structure   including protective layer--
10957698Reduction of   multi-threshold voltage patterning damage in nanosheet device structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957699Integrated assemblies   which include two different types of silicon nitride, and methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
10957700Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10957702Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
10957703Method of reducing   charge loss in non-volatile memoriesCYPRESS SEMICONDUCTOR   CORPORATION
10957704High voltage CMOS   with co-planar upper gate surfaces for embedded non-volatile memory--
10957706Multi-tier   three-dimensional memory device with dielectric support pillars and methods   for making the sameSANDISK TECHNOLOGIES   LLC
10957708Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10957709Systems including   memory cells on opposing sides of a pillarMICRON TECHNOLOGY,   INC.
10957711Ferroelectric device   with multiple polarization states and method of making the sameSANDISK TECHNOLOGIES   LLC
10957712Substrate and method   for producing substrateSHARP KABUSHIKI   KAISHA
10957714Analog circuit and   semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10957719Semiconductor device   and a method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10957723Vehicle, display   device and manufacturing method for a semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10957739Resistance variation   element, semiconductor device, and manufacturing methodNEC CORPORATION
10957759Systems and methods   for termination in silicon carbide charge balance power devicesGENERAL ELECTRIC   COMPANY
10957760Semiconductor   structure having air gap dielectric and method of preparing the same--
10957762Manufacturing method   of semiconductor device including conductive structure--
10957763Gate fill utilizing   replacement spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957765Semiconductor devices   having power railsSAMSUNG ELECTRONICS   CO., LTD.
10957769High-mobility field   effect transistors with wide bandgap fin claddingINTEL CORPORATION
10957771Transistor device   with a field electrode that includes two layersINFINEON TECHNOLOGIES   AUSTRIA AG
10957772Semiconductor device   having multiple wells--
10957776Method of fabricating   MOSFETNEXCHIP SEMICONDUCTOR   CORPORATION
10957777Semiconductor   structure and manufacturing method thereof--
10957778Formation of air gap   spacers for reducing parasitic capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957779Gate etch back with   reduced loading effect--
10957780Non-uniform gate   dielectric for U-shape MOSFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957781Uniform horizontal   spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957782Trench contact   structures for advanced integrated circuit structure fabricationINTEL CORPORATION
10957783Fin cut etch process   for vertical transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957784Semiconductor device   and manufacturing method thereof--
10957785Method for   manufacturing semiconductor deviceSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
10957786FinFET with reduced   extension resistance and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10957787Sensors based on a   heterojunction bipolar transistor constructionGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
10957788Semiconductor devices   with superjunction structuresINFINEON TECHNOLOGIES   AUSTRIA AG
10957789Method for flexible   radio-frequency devicesUNITED STATES OF   AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE
10957790Semiconductor device   with selectively etched surface passivationNXP USA, INC.
10957792Semiconductor device   with latchup immunityINFINEON TECHNOLOGIES   AG
10957793Method of forming   target layer surrounding vertical nanostructureIMEC VZW
10957794Vertical transistor   contact for cross-coupling in a memory cellINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957796Semiconductor device   having doped epitaxial region and its methods of fabricationINTEL CORPORATION
10957798Nanosheet transistors   with transverse strained channel regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957799Transistor channel   having vertically stacked nanosheets coupled by fin-shaped bridge regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957801Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10957802Methods of forming   tight pitch stack nanowire isolationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957803Bidirectional Zener   diode and method for manufacturing bidirectional Zener diodeROHM CO., LTD.
10957809Solar cell having an   emitter region with wide bandgap semiconductor materialSUNPOWER CORPORATION
10957811Ultra-broad spectrum   detector integrated with functions of two-dimensional semiconductor and   ferroelectric materialSHANGHAI INSTITUTE OF   TECHNICAL PHYSICS, CHINESE ACADEMY OF SCIENCES
10957812Display device and   method of manufacturing the sameLG DISPLAY CO., LTD.
10957813Method for producing   optoelectronic semiconductor components and optoelectronic modules, and   optoelectronic semiconductor component and optoelectronic moduleOSRAM OLED GMBH
10957816Thin film wafer   transfer and structure for electronic devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10957819Growth of cubic   crystalline phase structure on silicon substrates and devices comprising the   cubic crystalline phase structureUNM RAINFOREST   INNOVATIONS
10957831Light emitting device   and method of manufacturing the same, and display deviceNICHIA CORPORATION
10957836Printed board and   light emitting deviceNICHIA CORPORATION
10958193Piezoelectric driving   device, electronic-component-transporting device, robot, projector, and   printerSEIKO EPSON   CORPORATION
10958195Control device and   control method for linear motorTHK CO., LTD.
10958250Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
10958270Physical unclonable   device and method of maximizing existing process variation for a physically   unclonable device--
10959294High temperature   heater for processing chamberAPPLIED MATERIALS,   INC.
10959336Method of liquid   assisted bindingMIKRO MESA TECHNOLOGY   CO., LTD.
10959358Electronic component   moduleTAIYO YUDEN CO., LTD.
10960426Development   processing deviceSCREEN SEMICONDUCTOR   SOLUTIONS CO., LTD.
10960435Film forming   apparatus, film forming method, and storage mediumTOKYO ELECTRON   LIMITED
10960513Methods and systems   for polishing pad control--
10960574Combined wafer   production method with a receiving layer having holesSILTECTRA GMBH
10960583Molding system for   applying a uniform clamping pressure onto a substrateASM TECHNOLOGY   SINGAPORE PTE LTD
10961120Nanoparticle   structure and process for manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10961411Inkjet adhesive,   manufacturing method for semiconductor device, and electronic componentSEKISUI CHEMICAL CO.,   LTD.
10961414Polishing slurry,   method of manufacturing the same, and method of manufacturing semiconductor   deviceSAMSUNG ELECTRONICS   CO., LTD.
10961422Surface treatment   liquid, surface treatment method, and method for suppressing pattern collapseTOKYO OHKA KOGYO CO.,   LTD.
10961453Etching compositionsFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
10961487Semiconductor device   cleaning solution, method of use, and method of manufacture--
10961619Method for producing   GaN crystalMITSUBISHI CHEMICAL   CORPORATION
10961621CVD reactor chamber   with resistive heating and substrate holderSVAGOS TECHNIK, INC.
10961623Film forming methodTOKYO ELECTRON   LIMITED
10961625Substrate processing   apparatus, reaction tube and method of manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
10961627Condensation   suppressing method and processing systemTOKYO ELECTRON   LIMITED
10961638Method for   epitaxially coating semiconductor wafers, and semiconductor waferSILTRONIC AG
10961639Epitaxial growth of   crystalline material--
10961640Semiconductor wafer   composed of single-crystal silicon with high gate oxide breakdown, and a   process for the manufacture thereofSILTRONIC AG
10962178Device and method for   pressure-molding anti-overheating CSP fluorescent membraneSOUTH CHINA   UNIVERSITY OF TECHNOLOGY
10962285Wafer drying system--
10962296Low-cost nano-heat   pipeINFINERA CORPORATION
10962570System, a tangent   probe card and a probe head assembly for testing semiconductor wafer--
10962571Interposers having   cuts through an insulating substrateTEXAS INSTRUMENTS   INCORPORATED
10962586Light emitting diode   (LED) test apparatus and method of manufactureAPPLE INC.
10962591Wafer surface test   preprocessing device and wafer surface test apparatus having the same--
10962852Liquid crystal   display deviceSAMSUNG DISPLAY CO.,   LTD.
10962875Method of mask   simulation model for OPC and mask making--
10962880Radiation-sensitive   compositions and patterning and metallization processesROHM AND HAAS   ELECTRONIC MATERIALS LLC
10962884Treatment liquid and   pattern forming methodFUJIFILM CORPORATION
10962886Selection of   measurement locations for patterning processesASML NETHERLANDS B.V.
10963086Display device   including a touch sensor and a method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
10964474Capacitor and   capacitor moduleKABUSHIKI KAISHA   TOSHIBA
10964511Semiconductor   manufacturing device and method of operating the sameSAMSUNG ELECTRONICS   CO., LTD.
10964512Semiconductor   processing chamber multistage mixing apparatus and methodsAPPLIED MATERIALS,   INC.
10964513Plasma processing   apparatusHITACHI HIGH-TECH   CORPORATION
10964514Electrode for plasma   processing chamberLAM RESEARCH   CORPORATION
10964524Semiconductor   manufacturing method for cutting a waferMITSUBISHI ELECTRIC   CORPORATION
10964525Removing a   sacrificial material via sublimation in forming a semiconductorMICRON TECHNOLOGY,   INC.
10964526Substrate processing   methodSCREEN HOLDINGS CO.,   LTD.
10964527Residual removalAPPLIED MATERIALS,   INC.
10964528Integration of   materials removal and surface treatment in semiconductor device fabricationMATTSON TECHNOLOGY,   INC.
10964529Method for cleaning   lanthanum gallium silicate waferINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
10964530Method of forming   blocking silicon oxide film, and storage mediumTOKYO ELECTRON   LIMITED
10964531Method of   manufacturing semiconductor device by supplying gasKOKUSAI ELECTRIC   CORPORATION
10964532Methods of forming   semiconductor devices comprising silicon nitride on high aspect ratio   featuresMICRON TECHNOLOGY,   INC.
10964533ALD process and   hardware with improved purge efficiencyAPPLIED MATERIALS,   INC.
10964534Enhanced thin film   depositionASM INTERNATIONAL
10964535Polycrystalline   ceramic substrate and method of manufactureQROMIS, INC.
10964536Formation of an   atomic layer of germanium in an opening of a substrate material having a high   aspect ratioMICRON TECHNOLOGY,   INC.
10964537Methods and material   deposition systems for forming semiconductor layersSILANNA UV   TECHNOLOGIES PTE LTD
10964538Method of   manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
10964539Imprinting method and   semiconductor device manufacturing methodTOSHIBA MEMORY   CORPORATION
10964540Semiconductor   structure forming methodSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10964541Method to improve   adhesion of photoresist on silicon substrate for extreme ultraviolet and   electron beam lithographyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964542Selective high-K   formation in gate-last process--
10964543Passivator for gate   dielectric--
10964544Contact integration   and selective silicide formation methodsAPPLIED MATERIALS,   INC.
10964545Apparatus including   metallized-ceramic tubes for radio-frequency and gas deliveryLAM RESEARCH   CORPORATION
10964546Substrate processing   method and method for removing boron-doped siliconTOKYO ELECTRON   LIMITED
10964547Method of fabricating   semiconductor structure--
10964548Fin field-effect   transistor device and method--
10964549Wafer polishing with   separated chemical reaction and mechanical polishing--
10964550Method and apparatus   for surface planarization of object using light source of specific wavelength   and reactive gasKOREA INSTITUTE OF   SCIENCE AND TECHNOLOGY
10964551Control of wafer   surface charge during CMPSTMICROELECTRONICS,   INC.
10964552Methods for producing   laminate and substrate for mounting a semiconductor deviceMITSUBISHI GAS   CHEMICAL COMPANY, INC.
10964553Manufacturing method   of semiconductor device and semiconductor deviceSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10964554Wafer-level fan-out   package with enhanced performanceQORVO US, INC.
10964555Water jet processing   apparatusDISCO CORPORATION
10964556Substrate processing   apparatus, substrate processing system, and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10964557Substrate processing   apparatus and substrate processing methodSEMES CO., LTD.
10964558Substrate processing   method and substrate processing deviceSCREEN HOLDINGS CO.,   LTD.
10964559Wafer etching   apparatus and method for controlling etch bath of wafer--
10964560Substrate chuck and   substrate bonding system including the sameSAMSUNG ELECTRONICS   CO., LTD.
10964561Integrated circuit   controlled ejection system (ICCES) for massively parallel integrated circuit   assembly (MPICA)MATRICS2, INC.
10964562Device and method for   bonding of two substratesEV GROUP E. THALLNER   GMBH
10964563Bonding apparatus and   bonding methodTOKYO ELECTRON   LIMITED
10964564Heating treatment   apparatus and heating treatment methodTOKYO ELECTRON   LIMITED
10964565Substrate processing   apparatus and methodPSK INC.
10964566Machine learning on   overlay virtual metrology--
10964567Processing apparatusDISCO CORPORATION
10964568Substrate carrierMEYER BURGER   (GERMANY) GMBH
10964569Semiconductor die   carrier structure--
10964570Semiconductor wafer   storage system and method of supplying fluid for semiconductor wafer storageSAMSUNG ELECTRONICS   CO., LTD.
10964571Conveyance systemDISCO CORPORATION
10964572Conveyance systemDISCO CORPORATION
10964573Ceiling carrier   vehicleMURATA MACHINERY,   LTD.
10964574System for automatic   sending cassette pod--
10964575Transfer robot   system, teaching method and wafer receptacleTOKYO ELECTRON   LIMITED
10964576Electrostatic   attachment chuck, method for manufacturing the same, and semiconductor device   manufacturing methodOKAMOTO MACHINE TOOL   WORKS, LTD.
10964577Electrostatic chuckTOTO LTD.
10964578Apparatus for   manufacturing semiconductor device and manufacturing method of semiconductor   deviceSAMSUNG ELECTRONICS   CO., LTD.
10964579Electrostatic chuckTOTO LTD.
10964580Wafer reconfiguration   during a coating process or an electric plating process--
10964581Self-aligned adhesive   layer formation in light-emitting structure fabricationFACEBOOK   TECHNOLOGIES, LLC
10964582Transfer substrate   utilizing selectable surface adhesion transfer elementsPALO ALTO RESEARCH   CENTER INCORPORATED
10964583Micro-transfer-printable   flip-chip structures and methodsX DISPLAY COMPANY   TECHNOLOGY LIMITED
10964584Process kit ring   adaptorAPPLIED MATERIALS,   INC.
10964585Method for forming a   fin-based semiconductor structureSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10964586Semiconductor   structure including isolations--
10964587Atomic layer   deposition for low-K trench protection during etchTOKYO ELECTRON   LIMITED
10964588Selective ILD   deposition for fully aligned via with airgapTESSERA, INC.
10964589Semiconductor   structure--
10964590Contact metallization   process--
10964591Processes for   reducing leakage and improving adhesion--
10964592Methods of forming   conductive vias and methods of forming memory circuitryMICRON TECHNOLOGY,   INC.
10964593Method for forming a   semiconductor structure with a gate contact plugSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
10964594Methods of packaging   semiconductor devices including placing semiconductor devices into die caves--
10964595Method for   singulating packaged integrated circuits and resulting structures--
10964596Backside metal   patterning die singulation system and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10964597Element chip   manufacturing methodPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10964598Methods of forming   source/drain regions of a FinFET device and the resulting structuresGLOBALFOUNDRIES U.S.   INC.
10964599Multi-step insulator   formation in trenches to avoid seams in insulatorsGLOBALFOUNDRIES U.S.   INC.
10964600Semiconductor   structuresSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10964601Fabrication of a pair   of vertical fin field effect transistors having a merged top source/drainINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964602Fabrication of a pair   of vertical fin field effect transistors having a merged top source/drainINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964603Hybrid gate stack   integration for stacked vertical transport field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964604Magnetic storage   element, magnetic storage device, electronic device, and method of   manufacturing magnetic storage elementSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10964605Wafer-scale testing   of photonic integrated circuits using horizontal spot-size convertersACACIA   COMMUNICATIONS, INC.
10964606Film forming system,   film forming method, and computer storage mediumTOKYO ELECTRON   LIMITED
10964608Platform and method   of operating for integrated end-to-end gate contact processTOKYO ELECTRON   LIMITED
10964609Apparatus and method   for detecting end point--
10964610Packaging mechanisms   for dies with different sizes of connectors--
10964611Die edge integrity   monitoring systemMELEXIS TECHNOLOGIES   NV
10964612Display deviceLG DISPLAY CO., LTD.
10964616Semiconductor package   structure and method of manufacturing the same--
10964618Semiconductor package   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10964621Memory device with   high resistivity thermal barrierMICRON TECHNOLOGY,   INC.
10964625Device and method for   direct liquid cooling via metal channelsGOOGLE LLC
10964626Semiconductor   structure and method of making the same--
10964627Integrated electronic   device having a dissipative package, in particular dual side cooling packageSTMICROELECTRONICS   S.R.L.
10964628Clip frame assembly,   semiconductor package having a lead frame and a clip frame, and method of   manufactureINFINEON TECHNOLOGIES   AG
10964629Siderail with mold   compound reliefTEXAS INSTRUMENTS   INCORPORATED
10964633Wiring substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10964634Method of   manufacturing circuit carrier with embedded semiconductor substrate--
10964635Power electronic   metal-ceramic module and printed circuit board module with integrated power   electronic metal-ceramic module and process for their makingSCHWEIZER ELECTRONIC   AG
10964636Interconnect   structure with low resistivity and method for forming the same--
10964641Method of forming   semiconductor packages having through package vias--
10964642Semiconductor module   comprising transistor chips, diode chips and driver chips arranged in a   common planeINFINEON TECHNOLOGIES   AG
10964643Semiconductor package   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10964646IC with insulating   trench and related methodsSTMICROELECTRONICS   S.R.L.
10964647Dielectric crack stop   for advanced interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964648Chip security   fingerprintINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964650Info structure and   method forming same--
10964652Semiconductor device   package and method of manufacturing the same--
10964653Method of forming a   semiconductor device comprising top conductive pads--
10964655Patterning polymer   layer to reduce stress--
10964658Semiconductor device   having a metallic oxide or metallic hydroxide barrier layerTOSHIBA MEMORY   CORPORATION
10964662Method of   transferring micro deviceMIKRO MESA TECHNOLOGY   CO., LTD.
10964663Die bonder and   methods of using the same--
10964664DBI to Si bonding for   simplified handle waferINVENSAS BONDING   TECHNOLOGIES, INC.
10964665Method for forming   complex electronic circuits by interconnecting groups of printed devicesNTHDEGREE   TECHNOLOGIES WORLDWIDE, INC.
10964666Chip on package   structure and method--
10964667Stacked integrated   circuit structure and method of forming--
10964670Semiconductor package   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10964671Stacked chips   comprising interconnectsTOSHIBA MEMORY   CORPORATION
10964673Semiconductor device   and manufacturing method of the same--
10964676Semiconductor   structure and a method of making thereofBROADPAK CORPORATION
10964677Electronic packages   with stacked sitffeners and methods of assembling sameINTEL CORPORATION
10964684Multiple fin height   integrated circuit--
10964686Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10964688Semiconductor deviceROHM CO., LTD.
10964690Resistor between   gates in self-aligned gate edge architectureINTEL CORPORATION
10964691Method for   manufacturing monolithic three-dimensional (3D) integrated circuits--
10964692Through silicon via   design for stacking integrated circuits--
10964693Semiconductor device   having a plurality of bipolar transistors with different heights between   their respective emitter layers and emitter electrodesMURATA MANUFACTURING   CO., LTD.
10964694Multi-transistor   device including first and second LDMOS transistors having respective drift   regions separated in a thickness direction by a shared RESURF layerMAXIM INTEGRATED   PRODUCTS, INC.
10964696Semiconductor device   and manufacturing method thereof--
10964697Non-planar   semiconductor device having doped sub-fin region and method to fabricate sameINTEL CORPORATION
10964698Field effect   transistor with decoupled channel and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10964699Multi-layer   horizontal thyristor random access memory and peripheral circuitryTCLAB, INC.
10964700Semiconductor device   and memory device including the semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10964701Vertical shared gate   thin-film transistor-based charge storage memoryINTEL CORPORATION
10964704Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10964706Three-dimensional   semiconductor device including integrated circuit, transistors and transistor   components and method of fabricationTOKYO ELECTRON   LIMITED
10964714Three-dimensional   semiconductor memory deviceSAMSUNG ELECTRONICS   CO., LTD.
10964715Three-dimensional   memory device containing channels with laterally pegged dielectric coresSANDISK TECHNOLOGIES   LLC
10964716Semiconductor device   and method of manufacturing sameTOSHIBA MEMORY   CORPORATION
10964717Methods and apparatus   for three-dimensional NAND structure fabricationAPPLIED MATERIALS,   INC.
10964718Three-dimensional   memory devices and fabrication methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10964721Semiconductor devices   including ferroelectric layer and methods of fabricating the sameSK HYNIX INC.
10964730Non-contact   measurement of a stress in a film on a substrateAPPLEJACK 199 L.P.
10964732Fabrication of   thin-film electronic devices with non-destructive wafer reuseTHE REGENTS OF THE   UNIVERSITY OF MICHIGAN
10964733Opto-electronic HEMTSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10964746Deep trench isolation   shrinkage method for enhanced device performance--
10964750Steep-switch field   effect transistor with integrated bi-stable resistive systemINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964778Precision capacitorTEXAS INSTRUMENTS   INCORPORATED
10964779Vertical plate   capacitors exhibiting high capacitance manufactured with directed   self-assemblyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964782Semiconductor device   including isolation regionsSAMSUNG ELECTRONICS   CO., LTD.
10964784Integrated circuit   device and manufacturing method thereof--
10964785SiC epitaxial wafer   and manufacturing method of the sameMITSUBISHI ELECTRIC   CORPORATION
10964786Group III-V compound   semiconductor substrate and group III-V compound semiconductor substrate with   epitaxial layerSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10964788Semiconductor device   and operating method thereof--
10964790TFT substrate and   manufacturing method thereofWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10964791Semiconductor device   having silicides and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10964792Dual metal capped via   contact structures for semiconductor devices--
10964794Cryogenic   semiconductor device having buried channel array transistorSK HYNIX INC.
10964795Air spacers in   transistors and methods forming same--
10964796Heterojunction   bipolar transistors with stress material for improved mobilityGLOBALFOUNDRIES U.S.   INC.
10964797Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10964798Semiconductor device   and method of manufacturing the same--
10964799FinFETs and methods   for forming the same--
10964800Semiconductor device   having fin-end stress-inducing featuresINTEL CORPORATION
10964801Semiconductor device   and manufacturing method thereof--
10964803Gallium nitride   transistor with a doped regionTEXAS INSTRUMENTS   INCORPORATED
10964804Semiconductor   structure, HEMT structure and method of forming the same--
10964809Semiconductor device   and manufacturing process thereforDENSO CORPORATION
10964810Methodology and   structure for field plate design--
10964811Transistor and   methods of forming transistorsMICRON TECHNOLOGY,   INC.
10964812Integration of   input/output device in vertical field-effect transistor technologyINTERNATIONAL   BUSINESS MACHINES CORPORATION
10964813Semiconductor device   and manufacturing method thereforSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10964814Transistor with   asymmetric source and drain regions--
10964815CMOS finFET with   doped spacers and method for forming the same--
10964816Method and device for   boosting performance of FinFETs via strained spacer--
10964817(110) surface   orientation for reducing fermi-level-pinning between high-K dielectric and   group III-V compound semiconductor device--
10964818Semiconductor device   doped from a diffused layerSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
10964819Fin field effect   transistor (FinFET) device and method for forming the same--
10964823Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10964831Solar cell module and   method of manufacturing solar cell moduleKYOCERA CORPORATION
10964841Method for   manufacturing light-emitting elementNICHIA CORPORATION
10964849Micro light emitting   diode apparatus and method of fabricating micro light emitting diode   apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10964857Planar aligned   nanorods and liquid crystal assembliesUNIVERSITES GENT
10964861Method for producing   optoelectronic semiconductor devices and optoelectronic semiconductor deviceOSRAM OLED GMBH
10964865Printed board, light   emitting device, and method for manufacturing sameNICHIA CORPORATION
10964866LED device, system,   and method with adaptive patternsCREE, INC.
10964867Using underfill or   flux to promote placing and parallel bonding of light emitting diodesFACEBOOK   TECHNOLOGIES, LLC
10964889Deposition mask,   deposition apparatus using the same, and method of manufacturing display   apparatus using the sameSAMSUNG DISPLAY CO.,   LTD.
10964900Light emitting diode   display with redundancy schemeAPPLE INC.
10965085Laser chamber with   metal damper memberGIGAPHOTON INC.
10965269Electronic devices   formed in a cavity between substrates and including a viaSKYWORKS SOLUTIONS,   INC.
10966286Nitrogen injection   for ARC lampsMATTSON TECHNOLOGY,   INC.
10966322Semiconductor device   and manufacturing method of semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10966325Flexible display   apparatus and manufacturing method thereofSAMSUNG DISPLAY CO.,   LTD.
10966327Method for forming   circuit on substrateJCU CORPORATION
10966333Case, method of   manufacturing the same and electronic deviceGUANGDONG OPPO MOBILE   TELECOMMUNICATIONS CORP., LTD.
10966351Heat pipe and vapor   chamber heat dissipationELPIS TECHNOLOGIES   INC.
10967372Electro-fluidic flow   probeINTERNATIONAL   BUSINESS MACHINES CORPORATION
10967373Electro-fluidic flow   probeINTERNATIONAL   BUSINESS MACHINES CORPORATION
10967457Laser beam   irradiation apparatus and method of manufacturing organic light-emitting   display device by using the sameSAMSUNG DISPLAY CO.,   LTD.
10967479Chemical mechanical   polishing system and method--
10967480Apparatus and methods   for chemical mechanical polishing--
10967483Slurry distribution   device for chemical mechanical polishingAPPLIED MATERIALS,   INC.
10967511Time-optimal   trajectories for robotic transfer devicesBROOKS AUTOMATION,   INC.
10968052Long reach vacuum   robot with dual wafer pocketsAPPLIED MATERIALS,   INC.
10968348Laser-releasable   bonding materials for 3-D IC applicationsBREWER SCIENCE, INC.
10968364Plasma polymerized   thin film having low dielectric constant, device, and method of preparing   thin filmRESEARCH &   BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY
10968366Composition and   method for metal CMPCMC MATERIALS, INC.
10968390Composition for   semiconductor process and semiconductor processSKC CO., LTD.
10968511Substrate bearing   assembly and magnetron sputtering deviceBOE TECHNOLOGY GROUP   CO., LTD.
10968513Plasma film-forming   apparatus and substrate pedestalTOKYO ELECTRON   LIMITED
10968514Substrate mounting   tableTOKYO ELECTRON   LIMITED
10968515Vertical heat   treatment apparatusTOKYO ELECTRON   LIMITED
10968517Cleaning method,   method of manufacturing semiconductor device, substrate processing apparatus,   and recording mediumKOKUSAI ELECTRIC   CORPORATION
10968529Insulation systems   and methods of depositing insulation systemsGENERAL ELECTRIC   COMPANY
10968530Electroplating deviceEBARA CORPORATION
10968531Wetting wave front   control for reduced air entrapment during wafer entry into electroplating   bathNOVELLUS SYSTEMS,   INC.
10968925Gas cylinderTOKYO ELECTRON   LIMITED
10969029Low particle   protected flapper valveAPPLIED MATERIALS,   INC.
10969420Test circuits for   monitoring NBTI or PBTISAMSUNG ELECTRONICS   CO., LTD.
10969423Switch coupling   functional circuitry to via, scan cell contacting viaTEXAS INSTRUMENTS   INCORPORATED
10969677Film mask, method for   manufacturing same, and method for forming pattern using film maskLG CHEM, LTD.
10969686Film mask, method for   manufacturing same, and method for forming pattern using film mask and   pattern formed therebyLG CHEM, LTD.
10969687Method for forming   patterns--
10969688Substrate treating   apparatus and substrate treating methodSEMES CO., LTD.
10969695Fluid handling   structure and lithographic apparatusASML NETHERLANDS B.V.
10969773Machine learning   systems for monitoring of semiconductor processingAPPLIED MATERIALS,   INC.
10969840Heat spreaders with   interlocked insertsINTEL CORPORATION
10970834Defect discovery   using electron beam inspection and deep learning with real-time intelligence   to reduce nuisanceKLA-TENCOR   CORPORATION
10971239Memory circuit,   system and method for rapid retrieval of data setsSUNRISE MEMORY   CORPORATION
10971325Defect observation   system and defect observation method for semiconductor waferHITACHI HIGH-TECH   CORPORATION
10971333Antennas, circuits   for generating plasma, plasma processing apparatus, and methods of   manufacturing semiconductor devices using the sameSAMSUNG ELECTRONICS   CO., LTD.
10971336Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
10971341Plasma processing   apparatusTOKYO ELECTRON   LIMITED
10971350Wafer holding   apparatus and wafer processing method using the sameDISCO CORPORATION
10971351Wafer surface   beveling method, method of manufacturing wafer, and waferSUMCO CORPORATION
10971352Cleaning method and   apparatus--
10971353Method for   dehydrating semiconductor structure and dehydrating method of the same--
10971354Drying high aspect   ratio featuresAPPLIED MATERIALS,   INC.
10971355Substrates and   methods for forming the same--
10971356Stack viabar   structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971357Thin film treatment   processAPPLIED MATERIALS,   INC.
10971358Method of making a   peeled magnesium oxide substrate using laser irradiationSHIN-ETSU POLYMER   CO., LTD.
10971359Managed substrate   effects for stabilized SOI FETsPSEMI CORPORATION
10971360Methods of forming a   channel region of a transistor and methods used in forming a memory arrayMICRON TECHNOLOGY,   INC.
10971361Laser annealing   method, laser annealing apparatus, and thin film transistor substrateV TECHNOLOGY CO.,   LTD.
10971362Extreme ultraviolet   patterning process with resist hardeningINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971363Method for forming   semiconductor device structure--
10971364Ultra-high modulus   and etch selectivity boron carbon hardmask filmsAPPLIED MATERIALS,   INC.
10971365Method and device for   bonding substratesEV GROUP E. THALLNER   GMBH
10971366Methods for silicide   depositionAPPLIED MATERIALS,   INC.
10971367Method for   fabricating vertical transistor having a silicided bottomSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10971368Techniques for   processing substrates using directional reactive ion etchingVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
10971369Plasma processing   method and plasma processing apparatusHITACHI HIGH-TECH   CORPORATION
10971370Hard mask removal   method--
10971371Multi-chip structure   and method of forming same--
10971372Gas phase etch with   controllable etch selectivity of Si-containing arc or silicon oxynitride to   different films or masksTOKYO ELECTRON   LIMITED
10971373Methods for cyclic   etching of a patterned layerTOKYO ELECTRON   LIMITED
10971374Semi-insulating   compound semiconductor substrate and semi-insulating compound semiconductor   single crystalSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10971375Method of   manufacturing semiconductor devices and corresponding semiconductor deviceSTMICROELECTRONICS   S.R.L.
10971376Printed circuit board   with protective member and method of manufacturing semiconductor package   having the sameSAMSUNG ELECTRONICS   CO., LTD.
10971377Semiconductor chipMURATA MANUFACTURING   CO., LTD.
10971378Method and device for   bonding substratesEV GROUP E. THALLNER   GMBH
10971379Wafer bonding   apparatus and wafer bonding system using the sameSAMSUNG ELECTRONICS   CO., LTD.
10971380De-bonding leveling   device and de-bonding methodSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10971381Transfer chambers   with an increased number of sides, semiconductor device manufacturing   processing tools, and processing methodsAPPLIED MATERIALS,   INC.
10971382Loadlock module and   semiconductor manufacturing apparatus including the sameSAMSUNG ELECTRONICS   CO., LTD.
10971383Fluorescence based   thermometry for packaging applicationsAPPLIED MATERIALS,   INC.
10971384Auto-calibrated   process independent feedforward control for processing substratesLAM RESEARCH   CORPORATION
10971385Substrate processing   apparatus and transfer position correcting methodTOKYO ELECTRON   LIMITED
10971386Device positioning   using sensors--
10971387Mask-integrated   surface protective tapeFURUKAWA ELECTRIC   CO., LTD.
10971388Chuck for edge bevel   removal and method for centering a wafer prior to edge bevel removalLAM RESEARCH   CORPORATION
10971389Multi-zone pedestal   for plasma processingAPPLIED MATERIALS,   INC.
10971390Methods of minimizing   wafer backside damage in semiconductor wafer processingAPPLIED MATERIALS,   INC.
10971391Dielectric gap fill--
10971392Amorphous metal thin   film nonlinear resistorAMORPHYX, INC.
10971393Metal-insulator-metal   (MIM) structure supporting high voltage applications and low voltage   applicationsINTEL CORPORATION
10971394Maskless air gap to   prevent via punch throughINTEL CORPORATION
10971395Method for   fabricating semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10971396Conductive feature   formation and structure--
10971397Semiconductor device   and method of fabricating the same--
10971398Cobalt interconnect   structure including noble metal layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971399Oxygen-free   replacement liner for improved transistor performanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971400Semiconductor device,   substrate for semiconductor device and method of manufacturing the   semiconductor deviceTOSHIBA MEMORY   CORPORATION
10971401Systems and methods   for precision fabrication of an orifice within an integrated circuitCEREBRAS SYSTEMS INC.
10971402Semiconductor device   including interface layer and method of fabricating thereof--
10971403Structure and method   of forming fin device having improved fin linerVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
10971404Semiconductor device--
10971405Semiconductor devices   and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10971406Method of forming   source/drain regions of transistors--
10971407Method of forming a   complementary metal oxide semiconductor device having fin field effect   transistors with a common metal gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971408Contact air gap   formation and structures thereof--
10971409Methods and systems   for measuring semiconductor devicesMICRON TECHNOLOGY,   INC.
10971410Image display deviceSHARP KABUSHIKI   KAISHA
10971411Hybrid corrective   processing system and methodTEL EPION INC.
10971412Mounting substrate   and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10971413Plasma processing   apparatus and control methodTOKYO ELECTRON   LIMITED
10971415Semiconductor device,   manufacturing method for semiconductor device, semiconductor module, and   power conversion deviceHITACHI POWER   SEMICONDUCTOR DEVICE, LTD.
10971416Package power   delivery using plane and shaped viasINTEL CORPORATION
109714173D stacked-chip   package--
10971418Packaging structures   with improved adhesion and strengthSKYWORKS SOLUTIONS,   INC.
10971422Semiconductor die   assembly having a heat spreader that extends through an underlying interposer   and related technologyMICRON TECHNOLOGY,   INC.
10971429Method for forming a   semiconductor packageSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10971430Semiconductor deviceKYOCERA CORPORATION
10971434Lead frame package   having conductive surface with integral lead fingerSILANNA ASIA PTE LTD
10971435Semiconductor device   including bonding pad and bond wire or clipINFINEON TECHNOLOGIES   AG
10971437Chip package   structure and method for manufacturing the sameSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
10971439Ball grid array   underfilling systemsHAMILTON SUNDSTRAND   CORPORATION
10971441Package with   metal-insulator-metal capacitor and method of manufacturing the same--
10971442Semiconductor device   having via sidewall adhesion with encapsulant--
10971443Packages with   Si-substrate-free interposer and method forming same--
10971446Semiconductor device   and method of manufacture--
10971449Semiconductor device   with metallization structure on opposite sides of a semiconductor portionINFINEON TECHNOLOGIES   AG
10971451Interconnect   structure having nanocrystalline graphene cap layer and electronic device   including the interconnect structureSAMSUNG ELECTRONICS   CO., LTD.
10971453Semiconductor   packaging with high density interconnectsINTEL CORPORATION
10971454Semiconductor packageSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10971455Ground shield plane   for ball grid array (BGA) packageQUALCOMM INCORPORATED
10971457Semiconductor device   comprising a composite material clipINFINEON TECHNOLOGIES   AG
10971460Integrated devices in   semiconductor packages and methods of forming same--
10971461Semiconductor device   and method of manufacture--
10971462Package structure and   manufacturing method thereof--
10971467Packaging method and   package structure of fan-out chipSJ SEMICONDUCTOR   (JIANGYIN) CORPORATION
10971469Semiconductor device   including various peripheral areas having different thicknessesLAPIS SEMICONDUCTOR   CO., LTD.
10971472Method of liquid   assisted bondingMIKRO MESA TECHNOLOGY   CO., LTD.
10971475Semiconductor package   structure--
10971476Bottom package with   metal post interconnectionsQUALCOMM INCORPORATED
10971477Semiconductor   packages and methods of forming the same--
10971483Semiconductor   structure and manufacturing method thereof--
10971490Three-dimensional   field effect deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971491Method for forming   capacitor, semiconductor device, module, and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10971493Integrated circuit   device with high mobility and system of forming the integrated circuit--
10971494Semiconductor device   and semiconductor integrated circuitSOCIONEXT, INC.
10971497Memory cell--
10971500Methods used in the   fabrication of integrated circuitryMICRON TECHNOLOGY,   INC.
10971501Memory structure and   manufacturing method thereof--
10971504Three-dimensional   monolithic vertical transistor memory cell with unified inter-tier   cross-coupleINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971507Three-dimensional   memory device containing through-memory-level contact via structuresSANDISK TECHNOLOGIES   LLC
10971508Integrated circuit   and method of manufacturing the same--
10971511Semiconductor memoryTOSHIBA MEMORY   CORPORATION
10971512Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
10971514Multi-tier   three-dimensional memory device with dielectric support pillars and methods   for making the sameSANDISK TECHNOLOGIES   LLC
10971515Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
10971516Three-dimensional   semiconductor memory devices and methods of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10971517Source contact   structure of three-dimensional memory devices and fabrication methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10971521Three-dimensional   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10971522High mobility   complementary metal-oxide-semiconductor (CMOS) devices with fins on insulatorINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971525TFT array substrate   and manufacturing method thereofSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10971528Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10971529Electronic device and   manufacturing method of the same--
10971540Method and systems   for coupling semiconductor substratesFLIR SYSTEMS, INC.
10971545Magnetoresistive   stacks and methods thereforEVERSPIN   TECHNOLOGIES, INC.
10971546Crosspoint phase   change memory with crystallized silicon diode access deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971576High resistivity soft   magnetic material for miniaturized power converterINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971578Capacitive electronic   chip componentSTMICROELECTRONICS   (ROUSSET) SAS
10971579Semiconductor device   and fabrication method thereofINNOSCIENCE (ZHUHAI)   TECHNOLOGY CO., LTD.
10971580Silicon carbide   schottky diodes with tapered negative charge densityGRIFFITH UNIVERSITY
10971582Method for forming a   superjunction transistor deviceINFINEON TECHNOLOGIES   DRESDEN GMBH & CO. KG
10971583Gate cut isolation   including air gap, integrated circuit including same and related methodGLOBALFOUNDRIES U.S.   INC.
10971584Low contact   resistance nanowire FETsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971585Gate spacer and inner   spacer formation for nanosheet transistors having relatively small space   between adjacent gatesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971586Double height cell   regions, semiconductor device having the same, and method of generating a   layout diagram corresponding to the same--
10971588Semiconductor device   including FinFET with self-align contact--
10971589Low-k feature   formation processes and structures formed thereby--
10971590Transistor layout to   reduce kink effect--
10971592Semiconductor device   with gate electrode having side surfaces doped with carbonTOSHIBA MEMORY   CORPORATION
10971593Oxygen reservoir for   low threshold voltage P-type MOSFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971594Semiconductor device   having modified profile metal gate--
10971595MOFSET and method of   fabricating sameNEXCHIP   SEMINCONDUCTOR CORPORATION
10971596Semiconductor device   with reduced flicker noise--
10971597Self-aligned base and   emitter for a bipolar junction transistorGLOBALFOUNDRIES U.S.   INC.
10971598Method of forming   heterojunction bipolar transistor (HBT)KEYSIGHT   TECHNOLOGIES, INC.
10971599Power semiconductor   device with self-aligned source regionINFINEON TECHNOLOGIES   AG
10971600Selective gate   spacers for semiconductor devicesINTEL CORPORATION
10971601Replacement metal   gate structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971602High-k metal gate   process and device--
10971603Wavy channel flexible   thin-film-transistor on a flexible substrate and method of producing such a   thin-film-transistorKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
10971606Method for   manufacturing semiconductor device--
10971607Methods of forming   NAND cell unitsMICRON TECHNOLOGY,   INC.
10971609Back end of line   nanowire power switch transistors--
10971610High electron   mobility transistor--
10971612High electron   mobility transistors and power amplifiers including said transistors having   improved performance and reliabilityCREE, INC.
10971613Semiconductor devices   with doped regions functioning as enhanced resistivity regions or diffusion   barriers, and methods of fabrication thereforNXP USA, INC.
10971618Generating milled   structural elements with a flat upper surfaceAPPLIED MATERIALS   ISRAEL LTD.
10971619Semiconductor device   and manufacturing method of the sameDENSO CORPORATION
10971624High-voltage   transistor devices with two-step field plate structures--
10971625Epitaxial structures   of a semiconductor device having a wide gate pitchGLOBALFOUNDRIES U.S.   INC.
10971626Interface charge   reduction for SiGe surfaceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10971627Semiconductor   structure and manufacturing method--
10971628FinFET device with   T-shaped fin--
10971629Self-aligned   unsymmetrical gate (SAUG) FinFET and methods of forming the same--
10971630Semiconductor   structure having both gate-all-around devices and planar devices--
10971631Thin film transistor   and method of fabricating the same, display substrate and method of   fabricating the same, display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
10971632High voltage diode on   SOI substrate with trench-modified current pathSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10971634Oxide semiconductor   device and method of manufacturing oxide semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10971640Self-assembly   patterning for fabricating thin-film devicesFLISOM AG
10971666Method for   manufacturing an optical module and optical moduleMITSUMI ELECTRIC CO.,   LTD.
10971674Method for producing   composite wafer having oxide single-crystal filmSHIN-ETSU CHEMICAL   CO., LTD.
10971798Semiconductor device   package and method of manufacturing the same--
10971825Antenna module and   method of manufacturing the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10972091Radio frequency   switches with voltage equalizationNXP USA, INC.
10973088Optically heated   substrate support assembly with removable optical fibersAPPLIED MATERIALS,   INC.
10973158Apparatus and method   for mounting components on a substrateBESI SWITZERLAND AG
10973159Component mounting   machine for preventing release fail of a componentFUJI CORPORATION
10974181Filter unit   pretreatment method, treatment liquid supply apparatus, filter unit heating   apparatus, and treatment liquid supply passage pretreatment methodTOKYO ELECTRON   LIMITED
10974183Break filter using a   silicon carbide porous body and manufacturing method of the break filterCOORSTEK KK
10974359Automated workpiece   conveying vehicleDISCO CORPORATION
10974364Cutting blade   mounting mechanismDISCO CORPORATION
10974365Method for processing   semiconductor wafers using a grinding wheelINFINEON TECHNOLOGIES   AG
10974433Gate-all-around fin   deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10974960Method for obtaining   semiconducting carbon nanotubeTSINGHUA UNIVERSITY
10975464Hard mask films with   graded vertical concentration formed using reactive sputtering in a radio   frequency deposition chamberINTERNATIONAL   BUSINESS MACHINES CORPORATION
10975465Method of forming   internal stress control filmULVAC, INC.
10975466Method of cleaning   exhaust pipeTOKYO ELECTRON   LIMITED
10975470Apparatus for   detecting or monitoring for a chemical precursor in a high temperature   environmentASM IP HOLDING B.V.
10975473CVD apparatus with   multi-zone thickness control--
10975490Apparatus and method   for etching one side of a semiconductor substrateNEXWAFE GMBH
10975495Epitaxial growth   apparatus, preheat ring, and method of manufacturing epitaxial wafer using   theseSUMCO CORPORATION
10976233Particle detector--
10976242System and method for   monitoring atomic absorption during a surface modification processACCUSTRATA, INC.
10976364Test head and wafer   inspection apparatusTOKYO ELECTRON   LIMITED
10976491Photonics interposer   optoelectronicsTHE RESEARCH   FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK
10976586Manufacturing method   for liquid crystal display panel with high transmittance and display panel   thereof--
10976657System and method for   illuminating edges of an imprint field with a gradient dosageCANON KABUSHIKI   KAISHA
10976676Contamination   handling for semiconductor apparatus--
10976872Display panel,   input/output device, data processing device, and method for manufacturing   display panelSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10977405Fill process   optimization using feature scale modelingLAM RESEARCH   CORPORATION
10977418Semiconductor device   with cell region, method of generating layout diagram and system for same--
10977420Method of decomposing   a layout for multiple-patterning lithography--
10978275Manufacturing method   of showerhead for plasma processing apparatusTOKYO ELECTRON   LIMITED
10978276Substrate processing   apparatus including top reflector above annular lamp assemblyAPPLIED MATERIALS,   INC.
10978278Normal-incident   in-situ process monitor sensorTOKYO ELECTRON   LIMITED
10978291Pre-cleaning a   semiconductor structureSPTS TECHNOLOGIES   LIMITED
10978292Cuprous oxide devices   and formation methods--
10978293Oxide film formation   methodMEIDENSHA CORPORATION
10978294Semi-insulating   crystal, N-type semiconductor crystal and P-type semiconductor crystalSCIOCS COMPANY   LIMITED
10978295Epitaxial growth on   semiconductor structuresMICRON TECHNOLOGY,   INC.
10978296Nitride semiconductor   substrate, semiconductor laminate, laminated structure, method for   manufacturing nitride semiconductor substrate and method for manufacturing   semiconductor laminateSCIOCS COMPANY   LIMITED
10978297Formation of stacked   lateral semiconductor devices and the resulting structuresTC LAB, INC.
10978298Production of   semiconductor nanowires directly from solid particlesGLOBAL GRAPHENE   GROUP, INC.
10978299Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10978300Methods to reduce   gouging for core removal processes using thermal decomposition materialsTOKYO ELECTRON   LIMITED
10978301Morphology of resist   mask prior to etching--
10978302Method of improving   deposition induced CD imbalance using spatially selective ashing of carbon   based filmLAM RESEARCH   CORPORATION
10978303Secure permanent   integrated circuit personalization--
10978304Method of indirect   heating using laserRNR LAB INC.
10978305Manufacturing method   for a film stack of a semiconductor device--
10978306Semiconductor recess   formationMICRON TECHNOLOGY,   INC.
10978307Deposition processTOKYO ELECTRON   LIMITED
10978308Method for   manufacturing a semiconductor deviceRENESAS ELECTRONICS   CORPORATION
10978309Heat treatment method   and heat treatment apparatus for heating substrate by emitting flashing lightSCREEN HOLDINGS CO.,   LTD.
10978310Method of   manufacturing semiconductor device and non-transitory computer-readable   recording medium capable of adjusting substrate temperatureKOKUSAI ELECTRIC   CORPORATION
10978311Method for thinning   solid body layers provided with componentsSILTECTRA GMBH
10978312Semiconductor device   package and method of manufacturing the same--
10978313Fixture facilitating   heat sink fabricationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978314Multi integrated   circuit chip carrier packageINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978315Vacuum evacuation   systemEBARA CORPORATION
10978316Semiconductor   processing deviceTOSHIBA MEMORY   CORPORATION
10978317Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
10978318Laser processing   apparatusDISCO CORPORATION
10978319Light-irradiation   heat treatment method and heat treatment apparatusSCREEN HOLDINGS CO.,   LTD.
10978320Protective member   forming apparatusDISCO CORPORATION
10978321Method and system for   processing patterned structuresNOVA MEASURING   INSTRUMENTS LTD.
10978322Transfer device,   substrate processing apparatus, and transfer methodTOKYO ELECTRON   LIMITED
10978323Substrate holder   having integrated temperature measurement electrical devicesLAM RESEARCH   CORPORATION
10978324Upper cone for   epitaxy chamberAPPLIED MATERIALS,   INC.
10978325Substrate storage   container management system, load port, and substrate storage container   management methodSINFONIA TECHNOLOGY   CO., LTD.
10978326Semiconductor wafer   storage device--
10978327Micro-structure   transfer systemHEWLETT-PACKARD   DEVELOPMENT COMPANY, L.P.
10978328Article storage   facilityDAIFUKU CO., LTD.
10978329Wafer pod handling   method--
10978330On the fly automatic   wafer centering method and apparatusBROOKS AUTOMATION,   INC.
10978331Systems and methods   for orientator based wafer defect sensing--
10978332Vacuum suction   apparatus--
10978333Systems and methods   for robotic arm sensing--
10978334Sealing structure for   workpiece to substrate bonding in a processing chamberAPPLIED MATERIALS,   INC.
10978335Method for producing   a gate cut structure on an array of semiconductor finsIMEC VZW
10978336Methods of   manufacturing semiconductor devices--
10978337Aluminum-containing   layers and methods of forming the same--
10978338Semiconductor device   and manufacture method thereof--
10978339Metal interconnect   structure and method for fabricating the same--
10978340Method for forming an   electrical contact between a semiconductor film and a bulk handle wafer, and   resulting structureSTMICROELECTRONICS   (CROLLES 2) SAS
10978341Contact openings and   methods forming same--
10978342Interconnect with   self-forming wrap-all-around barrier layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978343Interconnect   structure having fully aligned viasINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978344Melting laser anneal   of epitaxy regions--
10978345Interconnect   structure for stacked device--
10978346Conductive vias in   semiconductor packages and methods of forming same--
10978347Device chip and   method of manufacturing device chipDISCO CORPORATION
109783483D chip sharing power   interconnect layerXCELSIS CORPORATION
10978349Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10978350Structure and method   for metal gates with roughened barrier layer--
10978351Etch stop layer   between substrate and isolation structure--
10978352FinFET device--
10978353High mobility   transistorsTEXAS INSTRUMENTS   INCORPORATED
10978354Selective dual   silicide formation--
10978355Multi-gate devices   with replaced-channels and methods for forming the same--
10978356Tri-layer STI liner   for nanosheet leakage controlINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978357Semiconductor   arrangement and method of manufacture--
10978358Processing system for   a catalytic layer of a noble metal formed on a surface of a substrateKABUSHIKI KAISHA   TOSHIBA
10978359SiC substrate   evaluation method, SiC epitaxial wafer manufacturing method, and SiC   epitaxial waferSHOWA DENKO K.K.
10978360PNA temperature   monitoring methodSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
10978361Substrate processing   apparatus and recording mediumKOKUSAI ELECTRIC   CORPORATION
10978362Semiconductor   structure with conductive structure--
10978363Semiconductor   structure with conductive structure--
10978364Semiconductor moduleMITSUBISHI ELECTRIC   CORPORATION
10978365Composite   compositions for electronics applicationsSIRRUS, INC.
10978366Power module having a   hole in a lead frame for improved adhesion with a sealing resin, electric   power conversion device, and method for producing power moduleMITSUBISHI ELECTRIC   CORPORATION
10978367Semiconductor device   and method for manufacturing the samePANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
10978368Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10978370Integrated fan-out   packages with embedded heat dissipation structure--
10978371Semiconductor device   and method for manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10978373Semiconductor device   methods of manufacture--
10978376Sensing device and   method for fabricating the sameELECTRONICS AND   TELECOMMUNICATIONS RESEARCH INSTITUTE
10978378Encapsulated leadless   package having an at least partially exposed interior sidewall of a chip   carrierINFINEON TECHNOLOGIES   AG
10978380Semiconductor package   with multi-level conductive clip for top side coolingINFINEON TECHNOLOGIES   AG
10978382Integrated circuit   package and method--
10978383Wiring board and   method of manufacturing the sameSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10978385Semiconductor device   and manufacturing method thereofRENESAS ELECTRONICS   CORPORATION
10978386Microelectronic   devices with through-silicon vias and associated methods of manufacturingMICRON TECHNOLOGY,   INC.
10978387Semiconductor device   and method for manufacturing the same--
10978388Skip via for metal   interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978389Semiconductor device   and manufacturing method thereof--
10978393Hybrid dielectric   scheme for varying liner thickness and manganese concentrationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978394Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10978395Method of   manufacturing a semiconductor device having a power metallization structureINFINEON TECHNOLOGIES   AUSTRIA AG
10978396Transient electronics   using thermoresponsive materialsVANDERBILT UNIVERSITY
10978397Semiconductor memory   deviceSAMSUNG ELECTRONICS   CO., LTD.
10978398Semiconductor device   and method for fabricating the same--
10978399Die interconnect   substrate, an electrical device, and a method for forming a die interconnect   substrateINTEL CORPORATION
10978400Conductive viasSTMICROELECTRONICS   (GRENOBLE 2) SAS
10978401Package structure--
10978402Electronic devices   with yielding substratesCOOLEDGE LIGHTING   INC.
10978403Package structure and   method for fabricating the same--
10978404Semiconductor   structure and method for fabricating semiconductor structure--
10978405Integrated fan-out   package--
10978406Semiconductor package   including EMI shielding structure and method for forming the same--
10978407Stiffener-integrated   interconnect bypasses for chip-package apparatus and methods of assembling   sameINTEL CORPORATION
10978408Semiconductor package   and manufacturing method thereof--
10978410Semiconductor   structure and manufacturing method thereof--
10978411RF power package   having planar tuning linesINFINEON TECHNOLOGIES   AG
10978412Manufacturing method   of package structure--
10978414Semiconductor device   and method of manufacturing a semiconductor deviceABLIC INC.
10978417Wiring structure and   method for manufacturing the same--
10978418Method of forming an   electrical contact and method of forming a chip package with a metal contact   structure and protective layerINFINEON TECHNOLOGIES   AG
10978419Semiconductor package   and manufacturing method thereof--
10978421Wafer-level packaging   method and package structureNINGBO SEMICONDUCTOR   INTERNATIONAL CORPORATION
10978422Vertical transistor   device and method for fabricating the same--
10978424Semiconductor device   and manufacturing method thereof--
10978428Manufacturing method   of semiconductor deviceSK HYNIX INC.
10978429Light emitting diode   (LED) mass-transfer apparatus and method of manufactureAPPLE INC.
10978431Semiconductor package   with connection substrate and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10978432Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10978433Package-on-package   (PoP) device with integrated passive device in a via--
10978436Symmetric FET for RF   nonlinearity improvementPSEMI CORPORATION
10978438IC with test   structures and E-beam pads embedded within a contiguous standard cell areaPDF SOLUTIONS, INC.
10978439Method and system of   manufacturing conductors and semiconductor device which includes conductors--
10978441Transient voltage   suppressor and method for manufacturing the sameSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
10978443Zener-triggered   transistor with vertically integrated Zener diodeTEXAS INSTRUMENTS   INCORPORATED
10978450FinFET isolation   structure and method for fabricating the same--
10978451Complimentary   metal-oxide-semiconductor (CMOS) with low contact resistivity and method of   forming same--
10978452Structure and method   of latchup robustness with placement of through wafer via within CMOS   circuitryINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978453Integrated circuit   devices and methods of fabricating such devicesSAMSUNG ELECTRONICS   CO., LTD.
10978454Semiconductor device   and method of forming the semiconductor deviceELPIS TECHNOLOGIES   INC.
10978456Multi-layer thyristor   random access memory with silicon-germanium basesTC LAB, INC.
10978459Semiconductor device   with bit lines at different levels and method for fabricating the same--
10978460Semiconductor   structure--
10978461Antifuse array and   method of forming antifuse using anodic oxidation--
10978462Method for forming   semiconductor device structure--
10978463Semiconductor device   and manufacturing method thereof--
10978467Sonos nonvolatile   memory and method for manufacturing sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
10978469Semiconductor storage   device having an amorphous layerTOSHIBA MEMORY   CORPORATION
10978470Semiconductor device   including multiple layers of memory cells, method of manufacturing the same,   and electronic device including the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
10978473Flash memory   structure and method of forming the same--
10978474Devices including   stack structures, and related methods and electronic systemsMICRON TECHNOLOGY,   INC.
10978476Semiconductor device   and method of manufacturing the sameSK HYNIX INC.
10978477Three-dimensional   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10978478Block-on-block memory   array architecture using bi-directional staircasesMICRON TECHNOLOGY,   INC.
10978482Ferroelectric memory   device with select gate transistor and method of forming the sameSANDISK TECHNOLOGIES   LLC
10978484Methods used in   forming an array of memory cellsMICRON TECHNOLOGY,   INC.
10978486Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10978494Display including   plurality of wiring layers in bending regionSAMSUNG ELECTRONICS   CO., LTD.
10978498Array substrate and   display device and method for making the array substrate--
10978500Flexible base   substrate and fabrication method thereofBOE TECHNOLOGY GROUP   CO., LTD.
10978501Multilevel   semiconductor device and structure with waveguidesMONOLITHIC 3D INC.
10978524Electroluminescent   display substrate and manufacturing method thereof, display panel and display   apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10978530Integration of   microdevices into system substrateVUEREAL INC.
10978548Integrated capacitor   with sidewall having reduced roughnessTEXAS INSTRUMENTS   INCORPORATED
10978549Semiconductor device   and method for fabricating the same--
10978551Surface area   enhancement for stacked metal-insulator-metal (MIM) capacitorINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978552Semiconductor devices   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10978554Elevationally-elongated   conductive structure of integrated circuitry, method of forming an array of   capacitors, method of forming DRAM circuitry, and method of forming an   elevationally-elongated conductive structure of integrated circuitryMICRON TECHNOLOGY,   INC.
10978556Semiconductor device   and method for fabricating the same--
10978558Semiconductor device   and method for fabricating a semiconductor deviceSK HYNIX INC.
10978562Device isolation   using preferential oxidation of the bulk substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978566Middle of line   structuresGLOBALFOUNDRIES U.S.   INC.
10978567Gate stack treatment   for ferroelectric transistors--
10978568Passivation of   transistor channel region interfacesINTEL CORPORATION
10978569Process of forming   nitride semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
10978570Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10978571Self-aligned contact   with metal-insulator transition materialsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978572Self-aligned contact   with metal-insulator transition materialsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978573Spacer-confined   epitaxial growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978574Floating gate   prevention and capacitance reduction in semiconductor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978575Semiconductor   structureSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10978576Techniques for   vertical FET gate length controlELPIS TECHNOLOGIES   INC.
10978577Semiconductor devices   and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10978582Gate patterning for   quantum dot devicesINTEL CORPORATION
10978585MOS device with   island regionALPHA AND OMEGA   SEMICONDUCTOR LIMITED
10978586Switching deviceDENSO CORPORATION
10978587Semiconductor deviceKEY FOUNDRY CO., LTD.
10978588Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
10978589Semiconductor   structure and manufacturing method thereof--
10978590Methods and apparatus   to remove epitaxial defects in semiconductorsINTEL CORPORATION
10978591Nanowire   semiconductor device having high-quality epitaxial layer and method of   manufacturing the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
10978592Systems and methods   for forming finFET analog designs having a modular memory-like layoutMARVELL ASIA PTE.,   LTD.
10978593Threshold voltage   control using channel digital etchINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978596Power diode and   method of manufacturing a power diodeINFINEON TECHNOLOGIES   AUSTRIA AG
10978631Combined dolan bridge   and quantum dot josephson junction in seriesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10978655Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10978782Semiconductor   packages and manufacturing methods thereof--
10979042DC-coupled   high-voltage level shifterPSEMI CORPORATION
10980101Plasma generating   deviceFUJI CORPORATION
10980106Apparatus related to   conformal coating implemented with surface mount devicesSKYWORKS SOLUTIONS,   INC.
10980108Multi-conductor   interconnect structure for a microelectronic deviceINTEL CORPORATION
10980119Display device and   method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
10980127Methods for   fabricating printed circuit board assemblies with high density via arrayTTM TECHNOLOGIES INC.
10980134Method for orienting   solder balls on a BGA deviceINTEL CORPORATION
10981250Wafer producing   apparatusDISCO CORPORATION
10981779MEMS devices and   methods of forming the same--
10982144Silicon nitride layer   etching composition and etching method using the sameENF TECHNOLOGY CO.,   LTD.
10982322Methods to improve   front-side process uniformity by back-side metallizationSKYWORKS SOLUTIONS,   INC.
10982324Method and device for   producing coated semiconductor wafersSILTRONIC AG
10982327CVD apparatus with   multi-zone thickness control--
10982335Wet atomic layer   etching using self-limiting and solubility-limited reactionsTOKYO ELECTRON   LIMITED
10982346Integrated   elastomeric lipseal and cup bottom for reducing wafer stickingLAM RESEARCH   CORPORATION
10982347Leak checking method,   leak checking apparatus, electroplating method, and electroplating apparatusEBARA CORPORATION
10982694Fluid control device   and connector for fluid control deviceSIW ENGINEERING PTE.   LTD.
10983082Measurement device   comprising a suspended semiconductor wireCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10983101Methylbenzene gas   sensor using palladium-containing cobalt oxide nanostructures and method for   manufacturing the sameKOREA UNIVERSITY   RESEARCH AND BUSINESS FOUNDATION
10983143Passive semiconductor   device assembly technologyNU NANO LTD
10983257Fabrication of   self-aligned grating elements with high refractive index for waveguide   displaysFACEBOOK   TECHNOLOGIES, LLC
10983278Adhesion promoter   apparatus and method--
10983372Fast-switching   electro-optic modulators and method of making the sameREDLEN TECHNOLOGIES,   INC.
10983434Photoresist   composition for deep ultraviolet light patterning method and method of   manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10983436Negative-type   photosensitive resin composition, cured film, display device provided with   cured film, and production method thereforTORAY INDUSTRIES,   INC.
10983438Exposure apparatus,   manufacturing method of flat-panel display, device manufacturing method, and   exposure methodNIKON CORPORATION
10983757Nanomaterial-based   true random number generatorTHE UNITED STATES OF   AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY
10984524Calibration system   with at least one camera and method thereof--
10984702Display apparatus   with array of light emitting diodes and method of manufacturing the same--
10984743Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10984853X-ray detector,   semiconductor memory device including the same, method of testing   semiconductor memory device and method of manufacturing semiconductor memory   deviceSAMSUNG ELECTRONICS   CO., LTD.
10984937Electromagnetic tool   for transferring micro devices and methods of fabricationLUXNOUR TECHNOLOGIES   INC.
10984982Charged particle beam   optical apparatus, exposure apparatus, exposure method, control apparatus,   control method, information generation apparatus, information generation   method and device manufacturing methodNIKON CORPORATION
10984985RF impedance matching   networkRENO TECHNOLOGIES,   INC.
10984986Impedance matching   network and methodRENO TECHNOLOGIES,   INC.
10984988Method of   manufacturing ring-shaped member and ring-shaped memberTHINKON NEW   TECHNOLOGY JAPAN CORPORATION
10984990Electrode assemblyAPPLIED MATERIALS,   INC.
10984991Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
10985005Silicon wafer for an   electronic component and method for the production thereofNEXWAFE GMBH
10985006Electrolytic plating   apparatusTOSHIBA MEMORY   CORPORATION
10985007Substrate treating   apparatus and method for inspecting treatment liquid nozzleSEMES CO. LTD.
10985008Substrate cleaning   device, substrate processing apparatus, substrate cleaning method and   substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10985009Methods to deposit   flowable (gap-fill) carbon containing films using various plasma sourcesAPPLIED MATERIALS,   INC.
10985010Methods for making   silicon and nitrogen containing filmsVERSUM MATERIALS US,   LLC
10985011Structure and   formation method of semiconductor device with resistive elements--
10985012Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10985013Method and precursors   for manufacturing 3D devicesVERSUM MATERIALS US,   LLC
10985014Methods for selective   deposition on silicon-based dielectricsAPPLIED MATERIALS,   INC.
10985015Method for preparing   composite membraneWONIK IPS CO., LTD.
10985016Semiconductor   substrate, and epitaxial wafer and method for producing sameTAMURA CORPORATION
10985017Method of   manufacturing semiconductor device and non-transitory computer-readable   recording mediumKOKUSAI ELECTRIC   CORPORATION
10985019Method of forming a   semiconductor device using layered etching and repairing of damaged portions--
10985020Method (and related   apparatus) that reduces cycle time for forming large field integrated   circuits--
10985021Method for   fabricating p-type gallium nitride semiconductor and method of heat treatmentSCREEN HOLDINGS CO.,   LTD.
10985022Gate structures   having interfacial layers--
10985023Methods for   depositing fluorine/carbon-free conformal tungstenAPPLIED MATERIALS,   INC.
10985024Simultaneous   hydrophilization of photoresist and metal surface preparation: methods,   systems, and productsONTOS EQUIPMENT   SYSTEMS, INC.
10985025Fin cut profile using   fin base linerINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985026Substrate processing   method, substrate processing apparatus, and substrate processing systemTOKYO ELECTRON   LIMITED
10985027Method for   manufacturing semiconductor deviceKIOXIA CORPORATION
10985028Semiconductor devices   and methods of manufacturing--
10985029Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
10985030Method for   manufacturing semiconductor deviceROHM CO., LTD.
10985031Semiconductor device   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10985032Power MOSFET--
10985033Semiconductor package   with reduced parasitic coupling effects and process for making the sameQORVO US, INC.
10985034Semiconductor   processing deviceBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10985035Substrate liquid   processing apparatus, substrate liquid processing method and computer   readable recording medium having substrate liquid processing program recorded   thereinTOKYO ELECTRON   LIMITED
10985036Substrate processing   apparatus and apparatus for manufacturing integrated circuit deviceSAMSUNG ELECTRONICS   CO., LTD.
10985037Substrate cleaning   apparatus, substrate cleaning method, and control method of substrate   cleaning apparatusEBARA CORPORATION
10985038Determination method   and substrate processing equipmentSCREEN HOLDINGS CO.,   LTD.
10985039Sub-nanometer-level   substrate cleaning mechanismPLANAR SEMICONDUCTOR,   INC.
10985040Substrate treatment   method and substrate treatment apparatusAP SYSTEMS INC.
10985041Method and apparatus   for use in wafer processingINFINEON TECHNOLOGIES   AG
10985042SiC substrate, SiC   epitaxial wafer, and method of manufacturing the sameSHOWA DENKO K.K.
10985043Substrate housing   containerMIRAIAL CO., LTD.
10985044Machine vision system   for substrate alignment and alignment deviceSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
10985045Electrostatic chuck   mechanism and semiconductor processing device having the sameBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10985046Micro-LED transfer   methods using light-based debondingVEECO INSTRUMENTS   INC.
10985047Semiconductor   manufacturing apparatus and driving method of the sameSAMSUNG ELECTRONICS   CO., LTD.
10985048Semiconductor device   and method for fabricating the same--
10985049Manufacturing method   of smoothing a semiconductor surface--
10985050Semiconductor chip,   semiconductor wafer and method for manufacturing semiconductor waferDYNAX SEMICONDUCTOR,   INC.
10985051Semiconductor device   with air spacer and method for forming the same--
10985052Method for cleaning   contact holeSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
10985053Contact plugs and   methods of forming same--
10985054Interconnect   structure and method of forming the same--
10985055Interconnection   structure with anti-adhesion layer--
10985056Structure and method   to improve FAV RIE process margin and ElectromigrationTESSERA, INC.
10985057Method for contacting   a buried interconnect rail of an integrated circuit chip from the back side   of the ICIMEC VZW
10985058Semiconductor device   and formation thereof--
10985059Preclean and   dielectric deposition methodology for superconductor interconnect fabricationNORTHROP GRUMMAN   SYSTEMS CORPORATION
10985060Laser processing   method using plasma light detection for forming a pore in a substrateDISCO CORPORATION
10985061Methods for forming   contact plugs with reduced corrosion--
10985062Self-aligned contact   capINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985063Semiconductor device   with local connectionINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985064Buried power and   ground in stacked vertical transport field effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985065Method of dicing a   wafer by pre-sawing and subsequent laser cuttingDISCO CORPORATION
10985066Wafer processing   method for dividing a wafer along division linesDISCO CORPORATION
10985067Wafer processing   method using a laser beam dividing stepDISCO CORPORATION
10985068Organic light   emitting diode display device and method of fabricating the sameLG DISPLAY CO., LTD.
10985069Gate stack   optimization for wide and narrow nanosheet transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985070Method for forming   nano sensing chip by selective deposition of sensing materials through   device-localized Joule heating and nano sensing chip thereof--
10985071Gate oxide forming   process--
10985072Etch profile control   of polysilicon structures of semiconductor devices--
10985073Vertical field effect   transistor replacement metal gate fabricationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985074Method of   manufacturing a CMOS transistorKEY FOUNDRY CO., LTD
10985075Gate formation scheme   for n-type and p-type transistors having separately tuned threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985076Single metallization   scheme for gate, source, and drain contact integrationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985077Semiconductor device   and method for preparing the same--
10985078Sensor and adjuster   for a consumableLAM RESEARCH   CORPORATION
10985079Method of   manufacturing SiC epitaxial waferSHOWA DENKO K.K.
10985081Semiconductor device   and electronic apparatusSONY CORPORATION
10985083Semiconductor device   and method for manufacturing the sameROHM CO., LTD.
10985084Integrated III-V   device and driver device packages with improved heat removal and methods for   fabricating the sameGLOBALFOUNDRIES U.S.   INC.
10985090Methods of   manufacturing a thin film resistor with ends overlapped by interconnect pads--
10985092Semiconductor deviceSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
10985093Semiconductor device   and method for producing semiconductor deviceROHM CO., LTD.
10985094Lead frame and method   of manufacturing lead frameSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10985095Power module for   vehicleHYUNDAI MOTOR COMPANY
10985096Electrical device   terminal finishingTEXAS INSTRUMENTS   INCORPORATED
10985100Chip package with   recessed interposer substrate--
10985101Semiconductor package   and manufacturing method thereof--
10985102Semiconductor device,   fabrication method for a semiconductor device and electronic apparatusSONY CORPORATION
10985103Apparatus and method   of forming backside buried conductor in integrated circuitSAMSUNG ELECTRONICS   CO., LTD.
10985104Semiconductor device   having electrode pad and electrode layer intervening semiconductor layer   inbetween and manufacturing method thereofKABUSHIKI KAISHA   TOSHIBA
10985105Low resistance   contacts including intermetallic alloy of nickel, platinum, titanium,   aluminum and type IV semiconductor elementsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985107Systems and methods   for forming die sets with die-to-die routing and metallic sealsAPPLE INC.
10985108Compositions for gap   coating and/or filling in or between electronic packages by capillary flow   and methods for the use thereofHENKEL IP &   HOLDING GMBH
10985109Shielded   semiconductor packages with open terminals and methods of making via two-step   processSTATS CHIPPAC PTE.   LTD.
10985110Semiconductor package   having an electromagnetic shielding structure and method for producing the   sameINFINEON TECHNOLOGIES   AG
10985112Memory device and   method for fabricating the memory deviceSK HYNIX INC.
10985114Scheme for connector   site spacing and resulting structures--
10985115Semiconductor package   and manufacturing method thereof--
10985116Semiconductor package   and method of forming the same--
10985120Chip packaging method   and chip packaging structureJWL (ZHEJIANG)   SEMICONDUCTOR CO., LTD.
10985121Bump structure and   fabricating method thereof--
10985122Semiconductor package   system and method--
10985123Semiconductor   apparatusMURATA MANUFACTURING   CO., LTD.
10985124Semiconductor   structure and manufacturing method thereof--
10985125Chip package   structure--
10985126Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10985127Fan-out semiconductor   packageSAMSUNG ELECTRONICS   CO., LTD.
10985129Mitigating cracking   within integrated circuit (IC) device carrierINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985132Bonding apparatus,   bonding system, bonding method and storage mediumTOKYO ELECTRON   LIMITED
10985133Die processingINVENSAS BONDING   TECHNOLOGIES, INC.
10985134Method and system of   manufacturing stacked wafers--
10985135Methods for   controlling warpage in packaging--
10985137Stacked integrated   circuit structure and method of forming--
10985138Semiconductor package   having a plurality of chips and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
10985142Multi-deck   three-dimensional memory devices and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10985146Semiconductor device   with integrated heat distribution and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
10985147Capacitors embedded   in stiffeners for small form-factor and methods of assembling sameINTEL CORPORATION
10985149Semiconductor device   package and method of manufacturing the sameOMNIVISION   TECHNOLOGIES, INC
10985151Semiconductor package   and method for preparing the same--
10985152Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
10985158Semiconductor device   with transistor portion having low injection region on the bottom of a   substrateFUJI ELECTRIC CO.,   LTD.
10985159Method for   manufacturing monolithic three-dimensional (3D) integrated circuits--
10985160Semiconductor   structures and methods of forming the same--
10985161Single diffusion   break isolation for gate-all-around field-effect transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985163Semiconductor   capacitor structure--
10985164Semiconductor device   with nanowire contact and method for fabricating the same--
10985165Methods of forming   microelectronic devicesMICRON TECHNOLOGY,   INC.
10985166Method of forming a   memory device--
10985167Flexible merge scheme   for source/drain epitaxy regions--
10985171Three-dimensional   flat NAND memory device including wavy word lines and method of making the   sameSANDISK TECHNOLOGIES   LLC
10985172Three-dimensional   memory device with mobility-enhanced vertical channels and methods of forming   the sameSANDISK TECHNOLOGIES   LLC
10985175Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
10985179Memory arrays and   methods used in forming a memory array comprising strings of memory cells and   operative through-array-viasMICRON TECHNOLOGY,   INC.
10985181Semiconductor device   and method for manufacturing sameTOSHIBA MEMORY   CORPORATION
10985182Methods for forming   three-dimensional memory device without conductor residual caused by dishingYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10985184Fins for metal oxide   semiconductor device structuresINTEL CORPORATION
10985192Display driver   semiconductor device and manufacturing method thereofKEY FOUNDRY., LTD.
10985204Structures, systems   and methods for electrical charge transport across bonded interfacesG-RAY SWITZERLAND SA
10985214Flexible display   substrate for foldable display apparatus, method of manufacturing flexible   display substrate, and foldable display apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10985236Tunable on-chip   nanosheet resistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985238Semiconductor device   and method for fabricating the sameSK HYNIX INC.
10985239Oxidative trimMICRON TECHNOLOGY,   INC.
10985240Semiconductor device   having diode devices with different barrier heights and manufacturing method   thereof--
10985241Semiconductor device   and production method thereofTOYOTA JIDOSHA   KABUSHIKI KAISHA
10985242Power semiconductor   device having guard ring structure, and method of formationLITTELFUSE, INC.
10985243Castellated   superjunction transistorsNORTHROP GRUMMAN   SYSTEMS CORPORATION
10985244N-well resistorGLOBALFOUNDRIES U.S.   INC.
10985246MOSFET with selective   dopant deactivation underneath gate--
10985250Gate cut device   fabrication with extended height gatesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985251Apparatuses including   memory cells with gaps comprising low dielectric constant materialsMICRON TECHNOLOGY,   INC.
10985252Integrated   assemblies, and methods of forming integrated assembliesMICRON TECHNOLOGY,   INC.
10985254Semiconductor device   and method of manufacturing the same--
10985256Semiconductor device   and method for manufacturing the same--
10985257Vertical transport   fin field effect transistor with asymmetric channel profileELPIS TECHNOLOGIES   INC.
10985259GaN HEMT device   structure and method of fabricationGAN SYSTEMS INC.
10985260Trench silicide   contacts with high selectivity processINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985261Dummy gate structure   and methods thereof--
10985262Semiconductor   structure and manufacturing method thereof--
10985264Semiconductor device   and method for fabricating the same--
10985266Method of gap filling   for semiconductor device--
10985267Fin trim isolation   with single gate spacing for advanced integrated circuit structure   fabricationINTEL CORPORATION
10985269Two-dimensional   electron gas (2DEG)-confined devices and methodsSTMICROELECTRONICS   S.R.L.
10985270Nitride power   transistor and manufacturing method thereofENKRIS SEMICONDUCTOR,   INC.
10985271High electron   mobility transistor with improved barrier layer--
10985273Vertical field-effect   transistor including a fin having sidewalls with a tapered bottom profileINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985274Reduction of top   source/drain external resistance and parasitic capacitance in vertical   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985275Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10985276Method and device   having low contact resistanceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
10985277Method for forming   semiconductor device structure--
10985278Method for   manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10985279Source and drain   epitaxy and isolation for gate structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985280Threshold voltage   control using channel digital etchINTERNATIONAL   BUSINESS MACHINES CORPORATION
10985283Semiconductor device   having an oxide layer with a concentration gradient of oxygen and an   insulating layer with excess oxygenSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10985284High-voltage lateral   GaN-on-silicon schottky diode with reduced junction leakage currentMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
10985285Methods for   fabricating III-nitride tunnel junction devicesTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
10985292Method for   transferring semiconductor bodies and semiconductor chipOSRAM OLED GMBH
10985300Encapsulation method   for flip chip--
10985302Pick-and-remove   system with deformable contact surfaceELUX, INC.
10985316Bottom electrode   structure in memory device--
10985451Antenna moduleSAMSUNG ELECTRONICS   CO., LTD.
10986727Method and apparatus   for fabricating a stretchable electronic elementELECTRONICS AND   TELECOMMUNICATIONS RESEARCH INSTITUTE
10986736Workpiece transfer   and printingASM ASSEMBLY SYSTEMS   SINGAPORE PTE. LTD.
10986737Method of restricting   micro device on conductive padMIKRO MESA TECHNOLOGY   CO., LTD.
10987619Apparatus for   collecting by-product in semiconductor manufacturing processMILAEBO CO., LTD.
10987706Apparatus and methods   for exhaust cleaning--
10987760Method of   manufacturing a holding plate, in particular for a clamp for holding wafersBERLINER GLAS KGAA   HERBERT KUBATZ GMBH & CO.
10987815Methods and systems   for electroadhesion-based manipulation and mechanical release in   manufacturingGRABIT, INC.
10987840Ejection-material   ejection apparatus and imprinting apparatusCANON KABUSHIKI   KAISHA
10988359Overhead transport   vehicle and transport systemMURATA MACHINERY,   LTD.
10988490Triiodosilylamine   precursor compoundsENTEGRIS, INC.
10988635Composition and   method for copper barrier CMPCMC MATERIALS, INC.
10988636Polishing composition   and method for manufacturing same, polishing method, and method for   manufacturing substrateFUJIMI INCORPORATED
10988637Polishing composition   and polishing systemFUJIMI INCORPORATED
10988647Semiconductor   substrate manufacturing method, semiconductor device, and method for   manufacturing sameMITSUI CHEMICALS,   INC.
10988649Method for imparting   water repellency to substrate, surface treatment agent, and method for   suppressing collapse of organic pattern or inorganic pattern in cleaning   substrate surface with cleaning liquidTOKYO OHKA KOGYO CO.,   LTD.
10988718Tungsten post-CMP   cleaning compositionENTEGRIS, INC.
10988852Method of   electroplating copper into a via on a substrate from an acid copper   electroplating bathROHM AND HAAS   ELECTRONIC MATERIALS LLC
10988858Method for depositing   a crystal layer at low temperatures, in particular a photoluminescent IV-IV   layer on an IV substrate, and an optoelectronic component having such a layerFORSCHUNGSZENTRUM   JüLICH GMBH
10988859Nitrogen doped and   vacancy dominated silicon ingot and thermally treated wafer formed therefrom   having radially uniformly distributed oxygen precipitation density and size--
10989096Close coupled single   module aftertreatment systemCUMMINS EMISSION   SOLUTIONS, INC.
10989594Optical filter and   optical spectrometer including the sameSAMSUNG ELECTRONICS   CO., LTD.
10989664Optical systems and   methods of characterizing high-k dielectricsCALIFORNIA INSTITUTE   OF TECHNOLOGY
10989677Sample collecting   device, sample collecting method, and fluorescent x-ray analysis apparatus   using the sameRIGAKU CORPORATION
10989747Apparatus for   measuring condition of electroplating cell components and associated methodsLAM RESEARCH   CORPORATION
10989756Systems and methods   using stroboscopic universal structure-energy flow correlation scattering   microscopyTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
10990011Curable composition   for imprinting, cured product, pattern forming method, and lithography methodFUJIFILM CORPORATION
10990012Silsesquioxane resin   and oxaamine compositionDOW SILICONES   CORPORATION
10990013Method for forming   semiconductor structure--
10990016Extreme ultraviolet   light generation deviceGIGAPHOTON INC.
10991547Method and device for   a carrier proximity maskAPPLIED MATERIALS,   INC.
10991550Modular recipe   controlled calibration (MRCC) apparatus used to balance plasma in multiple   station systemLAM RESEARCH   CORPORATION
10991552Cooling mechanism   utilized in a plasma reactor with enhanced temperature regulationAPPLIED MATERIALS,   INC.
10991553Nanosecond pulser   thermal managementEAGLE HARBOR   TECHNOLOGIES, INC.
10991554Plasma processing   system with synchronized signal modulationTOKYO ELECTRON   LIMITED
10991555Plasma processing   deviceSAMSUNG DISPLAY CO.,   LTD.
10991556Adjustable extended   electrode for edge uniformity controlAPPLIED MATERIALS,   INC.
10991557Reaction chamber, dry   etching machine and etching methodHKC CORPORATION   LIMITED
10991570Semiconductor wafer   cleaning apparatus--
10991571High temperature   atomic layer deposition of silicon oxide thin filmsVERSUM MATERIALS US,   LLC
10991572Manufacturing method   for semiconductor apparatusSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
10991573Uniform deposition of   SiOC on dielectric and metal surfacesASM IP HOLDING B.V.
10991574Methods of   manufacturing semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
10991575Semiconductor device   with partial regions having impunity concentrations selected to obtain a high   threshold voltageKABUSHIKI KAISHA   TOSHIBA
10991576Crystalline   semiconductor layer formed in BEOL processes--
10991577Method for forming a   semiconductor structure for a gallium nitride channel deviceIMEC VZW
10991578Forming a planar   surface of a III-nitride materialHEXAGEM AB
10991579Methods of making and   using tin oxide film with smooth surface morphologies from sputtering target   including tin and dopantAPPLIED MATERIALS,   INC.
10991580Laser crystallizing   apparatusSAMSUNG DISPLAY CO.,   LTD.
10991581Method for   manufacturing semiconductor filmTHE JAPAN STEEL   WORKS, LTD.
10991582Template for imprint   lithography including a recession, an apparatus of using the template, and a   method of fabricating an articleCANON KABUSHIKI   KAISHA
10991583Self aligned litho   etch process patterning method--
10991584Methods and   structures for cutting lines or spaces in a tight pitch structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991585Trimming optical   device structuresUNIVERSITY OF   SOUTHAMPTON
10991586In-situ tungsten   deposition without barrier layerAPPLIED MATERIALS,   INC.
10991587Wafer processing   method including applying a polyester sheet to a waferDISCO CORPORATION
10991588Manufacturing method   of semiconductor device and semiconductor device manufacturing apparatusTOSHIBA MEMORY   CORPORATION
10991589Correlation between   conductivity and pH measurements for KOH texturing solutions and additivesNAURA AKRION, INC.
10991590Etching method and   plating solutionKABUSHIKI KAISHA   TOSHIBA
10991591Reactive ion etching   apparatusULVAC, INC.
10991592Modified   etch-and-deposit Bosch process in silicon--
10991594Method for   area-selective etching of silicon nitride layers for the manufacture of   microelectronic workpiecesTOKYO ELECTRON   LIMITED
10991595Dry etching process   for manufacturing trench structure of semiconductor apparatus--
10991596Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
10991597Method of fabricating   a semiconductor device using an adhesive layerSAMSUNG ELECTRONICS   CO., LTD.
10991598Methods of   fabricating semiconductor packages including circuit patternsSK HYNIX INC.
10991599Self-aligned via and   plug patterning for back end of line (BEOL) interconnectsINTEL CORPORATION
10991600Process chamber and   substrate processing apparatus including the sameSAMSUNG ELECTRONICS   CO., LTD.
10991601Apparatus and method   for treating substrateSEMES CO., LTD.
10991602Substrate washing   deviceEBARA CORPORATION
10991603Apparatus and method   for treating substrateSEMES CO., LTD.
10991604Method of   manufacturing semiconductor structure--
10991605Substrate processing   device, method for controlling substrate processing device, and storage   medium storing a programEBARA CORPORATION
10991606Purge stockerMURATA MACHINERY,   LTD.
10991607Reticle transfer   system and method--
10991608Substrate coating   apparatus for floating substrate and methodTOKYO ELECTRON   LIMITED
10991609Method and substrate   holder for the controlled bonding of substratesEV GROUP E. THALLNER   GMBH
10991610Systems and methods   for treating substrates with cryogenic fluid mixturesTEL MANUFACTURING AND   ENGINEERING OF AMERICA, INC.
10991611Wafer processing   laminate and method for processing waferSHIN-ETSU CHEMICAL   CO., LTD.
10991612Method of processing   wafer having protrusions on the back sideDISCO CORPORATION
10991613Substrate holding   apparatus, substrate suction determination method, substrate polishing   apparatus, substrate polishing method, method of removing liquid from upper   surface of wafer to be polished, elastic film for pressing wafer against   polishing pad, substrate release method, and constant amount gas supply   apparatusEBARA CORPORATION
10991614Susceptor for holding   a semiconductor wafer with an orientation notch during the deposition of a   layer on a front side of the semiconductor wafer and method for depositing   the layer by using the susceptorSILTRONIC AG
10991615Substrate processing   apparatus and method for removing substrate from table of substrate   processing apparatusEBARA CORPORATION
10991616High speed low   temperature method for manufacturing and repairing semiconductor processing   equipment and equipment produced using sameWATLOW ELECTRIC   MANUFACTURING COMPANY
10991617Methods and apparatus   for cleaving of semiconductor substratesAPPLIED MATERIALS,   INC.
10991618Semiconductor device   and method of manufacture--
10991619Top via process   accounting for misalignment by increasing reliabilityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991620Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10991621Semiconductor die   singulationTEXAS INSTRUMENTS   INCORPORATED
10991622Wafer processing   methodDISCO CORPORTION
10991623Wafer processing   methodDISCO CORPORATION
10991624Wafer processing   method including applying a polyolefin sheet to a waferDISCO CORPORATION
10991625Automated transfer   and drying tool for process chamber--
10991626Method for   controlling transistor delay of nanowire or nanosheet transistor devicesTOKYO ELECTRON   LIMITED
10991627Methods for forming   fin field-effect transistors--
10991628Etch stop layer   between substrate and isolation structure--
10991629Method of forming   protection layer in FinFET device--
10991630Semiconductor device   and method--
10991631High performance SiGe   heterojunction bipolar transistors built on thin-film silicon-on-insulator   substrates for radio frequency applicationsNEWPORT FAB, LLC
10991632Assembly process for   circuit carrier and circuit carrierAB MIKROELEKTRONIK   GESELLSCHAFT MIT BESCHRAENKTER HAFTUNG
10991633Method and system for   manufacturing solar cells and shingled solar cell modulesCHENGDU YEFAN SCIENCE   AND TECHNOLOGY CO., LTD.
10991634Method and system for   manufacturing solar cells and shingled solar cell modulesCHENGDU YEFAN SCIENCE   AND TECHNOLOGY CO., LTD.
10991636Semiconductor device   and method--
10991637Wafer-level   chip-scale package including power semiconductor and manufacturing method   thereofMAGNACHIP   SEMICONDUCTOR, LTD.
10991639Compliant Pin Fin   heat sink with base integral pinsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991643Power module   comprising a housing which is formed in levelsROBERT BOSCH GMBH
10991644Integrated circuit   package having a low profileALLEGRO MICROSYSTEMS,   LLC
10991646Flexible circuit   board for displaySILICON WORKS CO.,   LTD.
10991647Printed circuit board   and package structure having the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10991649Semiconductor device   and method of manufacturing semiconductor device--
10991650Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10991651Interconnection   structure having reduced capacitance and method of manufacturing the same--
10991652Energy storage   interposer device with conductive nanostructuresSMOLTEK AB
10991653Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10991657Method for   fabricating semiconductor device--
10991658Electronic element   module and method for manufacturing the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
10991659Substrate-less   integrated componentsAPPLE INC.
10991660Semiconductor package   having high mechanical strengthALPHA ANC OMEGA   SEMICONDUCTOR (CAYMAN) LTD.
10991661Radio-frequency   isolation using backside cavitiesSKYWORKS SOLUTIONS,   INC.
10991662Isolation cavities in   semiconductor devicesSKYWORKS SOLUTIONS,   INC.
10991663Semiconductor device   including dummy conductive cells--
10991664Integrated fuseSTMICROELECTRONICS   (ROUSSET) SAS
10991665Package-level noise   filtering for EMI RFI mitigationINTEL CORPORATION
10991670Semiconductor device   assemblies including spacer with embedded semiconductor dieSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
109916753D semiconductor   device and structureMONOLITHIC 3D INC.
10991676Systems and methods   for flash stackingINVENSAS CORPORATION
10991678Semiconductor device   and method for manufacturing semiconductor deviceLG CHEM, LTD.
10991679Stair-stacked dice   device in a system in package, and methods of making sameINTEL CORPORATION
10991685Assembling of chips   by stacking with rotationINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991686Super CMOS devices on   a microelectronics systemSCHOTTKY LSI, INC.
10991687FinFET varactor with   low threshold voltage and method of making the same--
10991688Semiconductor device   and manufacturing method thereof--
10991689Additional spacer for   self-aligned contact for only high voltage FinFETsGLOBALFOUNDRIES U.S.   INC.
10991690Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10991691Semiconductor device   having fins and an isolation region--
10991692Semiconductor device   having a plurality of fins and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10991693Boundary region for   high-k-metal-gate (HKMG) integration technology--
10991694Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10991695Method for   manufacturing semiconductor device--
10991696Vertically stacked   devices with self-aligned regions formed by direct self assembly (DSA)   processingINTEL CORPORATION
10991697NAND string utilizing   floating body memory cellZENO SEMICONDUCTOR,   INC.
10991699Semiconductor memory   devicesSAMSUNG ELECTRONICS   CO., LTD.
10991700Methods of forming   semiconductor devices using aspect ratio dependent etching effects, and   related memory devices and electronic systemsMICRON TECHNOLOGY,   INC.
10991701Multi-component   conductive structures for semiconductor devicesMICRON TECHNOLOGY,   INC.
10991702Semiconductor device   and method of preparing the same--
10991704Memory device and a   method for forming the memory deviceGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
10991707Semiconductor device   and method for fabricating semiconductor deviceUNITED SEMICONDUCTOR   JAPAN CO., LTD.
10991709Semiconductor device   and manufacturing method thereofRENESAS ELECTRONICS   CORPORATION
10991711Stacked-nanosheet   semiconductor structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991712Semiconductor device   and manufacturing method thereofTOSHIBA MEMORY   CORPORATION
10991715Semiconductor memory   device and method of manufacturing semiconductor memory deviceTOSHIBA MEMORY   CORPORATION
10991716Semiconductor device   having a vertical channel layer with an impurity region surrounding a   dielectric coreSK HYNIX INC.
10991717Vertical memory   devicesSAMSUNG ELECTRONICS   CO., LTD.
10991718Three-dimensional   memory device containing a vertical semiconductor channel containing a   connection strap and method of making the sameSANDISK TECHNOLOGIES   LLC
10991719Semiconductor memory   device and method of manufacturing the sameTOSHIBA MEMORY   CORPORATION
10991721Three-dimensional   memory device including liner free molybdenum word lines and methods of   making the sameSANDISK TECHNOLOGIES   LLC
10991722Ultra low parasitic   inductance integrated cascode GaN devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991723Semiconductor device,   method of manufacturing semiconductor device, and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10991725Active matrix   substrate and method for producing sameSHARP KABUSHIKI   KAISHA
10991728Display panel--
10991729Active matrix   substrate, optical shutter substrate, display device, and method for   manufacturing active matrix substrateSHARP KABUSHIKI   KAISHA
10991731Method for   manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10991736Method of producing   solid-state imaging device having color filters, solid-state imaging device   having color filters, method of producing color filter device comprising   color filters, and color filter device comprising color filtersTOPPAN PRINTING CO.,   LTD.
10991738Method for producing   curved electronic circuitsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
10991742Image sensorsSAMSUNG ELECTRONICS   CO., LTD.
10991743Solid state image   pickup device and production method, semiconductor wafer, and electronic   apparatusSONY CORPORATION
10991759Methods of forming   vertical field-effect transistor with selfaligned contacts for memory devices   with planar periphery/array and intermediate structures formed therebyMICRON TECHNOLOGY,   INC.
10991794Semiconductor device   and method for manufacturing sameSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10991795Semiconductor device   and manufacturing method thereof--
10991796Source/drain contact   depth controlGLOBALFOUNDRIES U.S.   INC.
10991798Replacement   sacrificial nanosheets having improved etch selectivityINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991799Silicon and silicon   germanium nanowire structuresSONY CORPORATION
10991800Method for FinFET LDD   doping--
10991804Transistor level   interconnection methodologies utilizing 3D interconnectsXCELSIS CORPORATION
10991805Semiconductor device   and method of manufacture--
10991806Two-transistor memory   device and method for fabricating memory device--
10991808Steep-switch field   effect transistor with integrated bi-stable resistive systemINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991809Composition and   process for selectively etching p-doped polysilicon relative to silicon   nitrideENTEGRIS, INC.
10991810Semiconductor device   and method for fabricating the same--
10991811Structure and   formation method of semiconductor device structure with nanowires--
10991817Group III-N   transistors including source to channel heterostructure designINTEL CORPORATION
10991818Nitride semiconductor   device and fabrication method thereforROHM CO., LTD.
10991820Manufacturing method   for forming insulating structure of high electron mobility transistor--
10991822Silicon carbide   semiconductor device having a conductive layer formed above a bottom surface   of a well region so as not to be in ohmic connection with the well region and   power converter including the sameMITSUBISHI ELECTRIC   CORPORATION
10991823Fabrication of   vertical fin transistor with multiple threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10991824Semiconductor device--
10991825Semiconductor device   including non-active fins and separation regionsSAMSUNG ELECTRONICS   CO., LTD.
10991826Semiconductor device   and methods of forming same--
10991828Semiconductor   structure and method of forming the same--
10991831Layer, multilevel   element, method for fabricating multilevel element, and method for driving   multilevel elementIUCF-HYU   (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY)
10991832Power diodeINFINEON TECHNOLOGIES   AUSTRIA AG
10991844Apparatus for   aligning a solar cell element, system for use in the manufacture of a solar   cell arrangement, and method for aligning a solar cell elementAPPLIED MATERIALS   ITALIA S.R.L.
10991846Method of   manufacturing micro light-emitting element array, transfer carrier, and micro   light-emitting element array--
10991873Semiconductor device   and method for fabricating the same--
10991876Methods to improve   magnetic tunnel junction memory cells by treating native oxide--
10992055Component carrier   with integrated antenna arrangement, electronic apparatus, radio   communication methodAT&S AUSTRIA   TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT
10992269Compound   semiconductor device with high power and reduced off-leakage and method for   manufacturing the sameFUJITSU LIMITED
10993304Wire, stripping   method and light stripCOSMO LIGHTING INC.
10993332Circuit substrate--
10994300Method and/or system   for coating a substrateSERVICE SUPPORT   SPECIALTIES, INC
10994311Specific device for   cleaning electronic components and/or circuits--
10994369Method of reducing   photoelectron yield and/or secondary electron yield of a ceramic surface;   corresponding apparatus and productUNIVERSITY OF DUNDEE
10994389Polishing apparatus   using neural network for monitoringAPPLIED MATERIALS,   INC.
10994442Method for forming a   crack in the edge region of a donor substrate, using an inclined laser beamSILTECTRA GMBH
10994878Feeding device for an   electrostatic bagWORLD PRECISION   MANUFACTURING (DONGGUAN) CO., LTD.
10994938Vacuum processing   deviceULVAC, INC.
10994950Automated guided   vehicle with multistage loading structureSAMSUNG ELECTRONICS   CO., LTD.
10994951Electronic component   handler and electronic component testerSEIKO EPSON   CORPORATION
10994989Method for producing   a microelectromechanical component and wafer systemROBERT BOSCH GMBH
10995172Self-organized   film-forming composition for use in forming a micro-phase-separated patternNISSAN CHEMICAL   CORPORATION
10995173Composition and   pattern-forming methodJSR CORPORATION
10995196Thermally conductive   sheet and method for manufacturing thermally conductive sheetKITAGAWA INDUSTRIES   CO., LTD.
10995238Neutral to alkaline   chemical mechanical polishing compositions and methods for tungstenROHM AND HAAS   ELECTRONIC MATERIALS CMP HOLDINGS
10995268Etching composition   effective to selectively wet etch a silicon nitride filmLTCAM CO., LTD.
10995269Etchant composition   and method of fabricating integrated circuit device using the sameSAMSUNG ELECTRONICS   CO., LTD.
10995403Method of forming   aluminum nitride film and method of manufacturing semiconductor   light-emitting elementNICHIA CORPORATION
10995419Methods and apparatus   for gallium nitride depositionAPPLIED MATERIALS,   INC.
10995420Silicon carbide   epitaxial substrate and silicon carbide semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10995422GaAs substrate and   method for manufacturing the sameSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10995914Method of fabricating   orange-emitting nanowires LEDsKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
10996176Methods and apparatus   for measuring a property of a substrateASML NETHERLANDS B.V.
10996182Memristor based   sensorOXFORD BROOKES   UNIVERSITY
10996262Reliability   determination method--
10996265Apparatus equipped   with crack detection circuit and detection systemHUAWEI TECHNOLOGIES   CO., LTD.
10996553Extreme ultraviolet   mask with reduced wafer neighboring effect and method of manufacturing the   same--
10996555Mask frame assembly   including both frame and mask plate fixed on frame, and evaporation apparatusBOE TECHNOLOGY GROUP   CO., LTD.
10996564Uniformity control of   metal-based photoresistsGLOBALFOUNDRIES U.S.   INC.
10996852Touch panel with   arrow keys associated with direction of movement of a moving mechanismDISCO CORPORATION
10998025High-density low   voltage non-volatile differential memory bit-cell with shared plate-lineKEPLER COMPUTING,   INC.
10998079Structure and method   for testing three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
10998167Ion beam etch without   need for wafer tilt or rotationLAM RESEARCH   CORPORATION
10998169Systems and methods   of control for plasma processingTOKYO ELECTRON   LIMITED
10998171Plasma source and   semiconductor processing apparatusBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
10998174Dry etching equipment   and method for producing semiconductor deviceSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
10998182Semiconductor wafer   and method of wafer thinningSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
10998183Substrate cleaning   apparatus, substrate cleaning system, substrate cleaning method and memory   mediumTOKYO ELECTRON   LIMITED
10998184Apparatus and method   for wafer cleaning--
10998185Substrate cleaning   method, substrate cleaning apparatus, and method for fabricating a   semiconductor device using the apparatusSAMSUNG ELECTRONICS   CO., LTD.
10998186Substrate processing   apparatus, substrate processing method, and storage mediumTOKYO ELECTRON   LIMITED
10998187Selective deposition   with atomic layer etch resetLAM RESEARCH   CORPORATION
10998188Gallium nitride   laminated substrate and semiconductor deviceHOSEI UNIVERSITY
10998189Laser annealing   process of drive backplane and maskBOE TECHNOLOGY GROUP   CO., LTD.
10998190Imprint apparatus and   method of manufacturing articleCANON KABUSHIKI   KAISHA
10998191Graded hardmask   interlayer for enhanced extreme ultraviolet performanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998192Sequential   infiltration synthesis extreme ultraviolet single expose patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998193Spacer-assisted   lithographic double patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998194Metal gate stack   having TaAlCN layer--
10998195Metal and   metal-derived filmsAPPLIED MATERIALS,   INC.
10998196Peeling method for   peeling off substrate from support plateDISCO CORPORATION
10998197Polymer and   composition for forming organic film, substrate for manufacturing   semiconductor apparatus, method for forming organic film, and patterning   processSHIN-ETSU CHEMICAL   CO., LTD.
10998198Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
10998199Etching method and   etching apparatusTOKYO ELECTRON   LIMITED
10998200High pressure   annealing process for metal containing materialsAPPLIED MATERIALS,   INC.
10998201Semiconductor   encapsulation structureSHENZHEN REFOND   OPTOELECTRONICS CO., LTD.
10998202Semiconductor package   and manufacturing method thereof--
10998203Substrate processing   device and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10998204Method of processing   substrate and substrate processing apparatusTOKYO ELECTRON   LIMITED
10998205Substrate processing   apparatus and manufacturing method of semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
10998206Light irradiation   type heat treatment apparatusSCREEN HOLDINGS CO.,   LTD.
10998207Heat treatment method   and heat treatment apparatus for managing heat treatment of dummy waferSCREEN HOLDINGS CO.,   LTD.
10998208Cold fluid   semiconductor device release during pick and place operations, and associated   systems and methodsMICRON TECHNOLOGY,   INC.
10998209Substrate processing   platforms including multiple processing chambersAPPLIED MATERIALS,   INC.
10998210Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
10998211Management system,   method, and computer program for semiconductor fabrication apparatusFUJIKIN INC.
10998212Load port assembly   with gas curtain device, and purging method for substrate storage pod--
10998213Reticle   transportation container--
10998214Light irradiation   type heat treatment methodSCREEN HOLDINGS CO.,   LTD.
10998215Monitoring   dry-etching of polymer layer for transferring semiconductor devicesFACEBOOK   TECHNOLOGIES, LLC
10998216Sintered body and   electrostatic chuckSHINKO ELECTRIC   INDUSTRIES CO., LTD.
10998217Thermoplastic   temporary adhesive for silicon handler with infra-red laser wafer de-bondingINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998218Wet cleaning   apparatus and manufacturing method using the same--
10998219Wafer support device   and method for removing lift pin therefrom--
10998220Substrate   holding/rotating device, substrate processing apparatus including the same,   and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
10998221Semiconductor   constructions having fluorocarbon materialMICRON TECHNOLOGY,   INC.
10998222Methods of forming   electromagnetic radiation emitters and conduitsMICRON TECHNOLOGY,   INC.
10998223Method for processing   target objectTOKYO ELECTRON   LIMITED
10998224Semiconductor devices   comprising conductive patterns of varying dimensions and related systemsMICRON TECHNOLOGY,   INC.
10998225Semiconductor device   and method of forming the same--
10998226Method of forming   interconnection structure with anti-adhesion liner--
10998227Metal insulator metal   capacitor with extended capacitor platesINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998228Self-aligned   interconnect with protection layer--
10998229Transistor with   improved self-aligned contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998230Fabrication of   self-aligned gate contacts and source/drain contacts directly above gate   electrodes and source/drainsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998231Method for increasing   semiconductor device wafer strengthNXP USA, INC.
10998232Wafer processing   method of uniting a wafer and a ring frame using a polyolefin sheetDISCO CORPORATION
10998233Mechanically stable   complementary field effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998234Nanosheet bottom   isolation and source or drain epitaxial growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998235FinFET with sloped   surface at interface between isolation structures and manufacturing method   thereof--
10998236Method for   fabricating a row of MOS transistorsSTMICROELECTRONICS   (CROLLES 2) SAS
10998237Gate structure and   method with dielectric gates and gate-cut features--
10998238Integrated circuits   with buried interconnect conductors--
10998239Fin isolation   structure for FinFET and method of forming the same--
10998240Fabrication of a   vertical fin field effect transistor with reduced dimensional variationsTESSERA, INC.
10998241Selective dual   silicide formation using a maskless fabrication process flow--
10998242Semiconductor device   including dual trench epitaxial dual-liner contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998243Method of   manufacturing semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
10998244System and method for   temperature control in plasma processing systemTOKYO ELECTRON   LIMITED
10998248Semiconductor device   and method of forming sacrificial adhesive over contact pads of semiconductor   dieJCET SEMICONDUCTOR   (SHAOXING) CO. LTD.
10998249Semiconductor   assemblySIEMENS   AKTIENGESELLSCHAFT
10998251Semiconductor package   structure and a method of manufacturing the same--
10998252Efficient   heat-sinking in PIN diodeJUNIPER NETWORKS,   INC.
10998255Overmolded   microelectronic packages containing knurled flanges and methods for the   production thereofNXP USA, INC.
10998256High voltage   semiconductor device lead frame and method of fabricationTEXAS INSTRUMENTS   INCORPORATED
10998257Semiconductor device   and method of manufacturing sameKABUSHIKI KAISHA   TOSHIBA
10998258Circuit carrier and   manufacturing method thereof--
10998259Semiconductor device   and method of manufacture--
10998260Microelectronic   devices having air gap structures integrated with interconnect for reduced   parasitic capacitancesINTEL CORPORATION
10998261Over-molded IC   package with in-mold capacitorINTEL CORPORATION
10998263Back end of line   (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a   vertical interconnect access (VIA) level of an integrated circuit (IC) deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998264Dual-gate trench IGBT   with buried floating P-type shieldALPHA AND OMEGA   SEMICONDUCTOR INCORPORATED
10998269Chemical direct   pattern plating method--
10998270Local interconnect   for group IV source/drain regionsINTEL CORPORATION
10998271High density pillar   interconnect conversion with stack to substrate connectionMICRON TECHNOLOGY,   INC.
10998273Hybrid integrated   circuit architectureHRL LABORATORIES, LLC
10998274Seal ring structure,   semiconductor die, and method for detecting cracks on semiconductor die--
10998279On-chip integrated   cavity resonatorINFINEON TECHNOLOGIES   AG
10998285Code pattern for   representing tracing number of chipOMNIVISION   TECHNOLOGIES, INC.
10998288Method of   manufacturing a semiconductor deviceRENESAS ELECTRONICS   CORPORATION
10998289Packaging structure   and forming method thereofTONGFU   MICROELECTRONICS CO., LTD.
10998292Offset pads over TSVINVENSAS BONDING   TECHNOLOGIES, INC.
10998302Packaged device with   a chiplet comprising memory resourcesINTEL CORPORATION
10998303Method of   manufacturing package-on-package device and bonding apparatus used thereinSAMSUNG ELECTRONICS   CO., LTD.
10998304Conductive line   patterning--
10998308Area-efficient   bi-directional ESD structureTEXAS INSTRUMENTS   INCORPORATED
10998310Fins with wide base   in a FINFET--
10998311Fabricating   gate-all-around transistors having high aspect ratio channels and reduced   parasitic capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998312Semiconductor device   and manufacturing method thereof--
10998313Source/drain regions   in fin field effect transistors (finFETs) and methods of forming same--
10998314Gate cut with   integrated etch stop layerTESSERA, INC.
10998315Metal gate modulation   to improve kink effect--
10998316Vertical memory   device and method for fabricating vertical memory deviceSK HYNIX INC.
10998324Semiconductor device   comprising work function metal pattern in boundary region and method for   fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
10998326Integrated assemblies   and methods of forming integrated assembliesMICRON TECHNOLOGY,   INC.
10998331Three-dimensional   inverse flat NAND memory device containing partially discrete charge storage   elements and methods of making the sameSANDISK TECHNOLOGIES   LLC
10998334Three-dimensional   semiconductor memory devicesSAMSUNG ELECTRONICS   CO., LTD.
10998336Integrated structures   and NAND memory arraysMICRON TECHNOLOGY,   INC.
10998343TFT array substrate   and display device including the sameSAMSUNG DISPLAY CO.,   LTD.
10998352Integration of   microdevices into system substrateVUEREAL INC.
10998359Image sensor with   shallow trench edge doping--
10998360Image sensor with   shallow trench edge doping--
10998363Solid-state imaging   device and method of producing solid-state imaging deviceTOPPAN PRINTING CO.,   LTD.
10998368Semiconductor   apparatusCANON KABUSHIKI   KAISHA
10998370Semiconductor device   with insulating layers forming a bonding plane between first and second   circuit components, method of manufacturing the same, and electronic deviceCANON KABUSHIKI   KAISHA
10998378Method for producing   transistors, in particular selection transistors for non-volatile memory, and   corresponding deviceSTMICROELECTRONICS   (ROUSSET) SAS
10998393Organic   light-emitting diode display deviceSAMSUNG DISPLAY CO.,   LTD.
10998396Semiconductor   structure and method for forming a semiconductor structureSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
10998398Semiconductor device   and method for manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
10998401Semiconductor device   having a base body of silicon carbideKABUSHIKI KAISHA   TOSHIBA
10998402Semiconductor devices   with steep junctions and methods of manufacturing thereofINFINEON TECHNOLOGIES   AG
10998404High voltage device   and manufacturing method thereof--
10998406Silicon carbide   single crystal substrate, silicon carbide epitaxial substrate, and method of   manufacturing silicon carbide semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
10998408Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
10998409Transistors having   gates with a lift-up regionTEXAS INSTRUMENTS   INCORPORATED
10998411Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
10998412Semiconductor devices   including recessed source/drain silicides and methods of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
10998413Semiconductor fin   structures having silicided portionsIMEC VZW
10998414Metal gate structure   with multi-layer composition--
10998415Metal gate scheme for   device and methods of forming--
10998416Laterally diffused   metal oxide semiconductor device and method for manufacturing the sameSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
10998419Single crystalline   extrinsic bases for bipolar junction structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998420Direct growth of   lateral III-V bipolar transistor on silicon substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998421Reducing pattern   loading in the etch-back of metal gate--
10998422Methods, apparatus   and system for a self-aligned gate cut on a semiconductor device--
10998423Fabrication of   multi-channel nanowire devices with self-aligned internal spacers and SOI   FinFETs using selective silicon nitride cappingINTEL CORPORATION
10998424Vertical metal-air   transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998425FinFET structure and   method for fabricating the same--
10998427Semiconductor device   with fin structures and manufacturing method thereof--
10998428Integrated circuit   device fins--
10998430Method of   manufacturing a semiconductor device--
10998435Enhancement-mode   device and method for manufacturing the sameENKRIS SEMICONDUCTOR,   INC.
10998436Semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
10998438Self-aligned trench   MOSFET structures and methodsIPOWER SEMICONDUCTOR
10998441Strained silicon   complementary metal oxide semiconductor including a silicon containing   tensile n-type fin field effect transistor and silicon containing compressive   p-type fin field effect transistor formed using a dual relaxed substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998442Method for   fabricating a strained structure and structure formed--
10998444Stacked FinFET   masked-programmable ROMINTERNATIONAL   BUSINESS MACHINES CORPORATION
10998445Interlayer dielectric   for non-planar transistorsINTEL CORPORATION
10998449Oxide semiconductor   film and semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
10998450Memory device and   manufacturing method thereof--
10998452Semiconductor device   having a lateral semiconductor heterojunction and methodKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
10998478Light-emitting   element, light-emitting element package comprising light-emitting element,   and light-emitting device comprising light-emitting element packageLG INNOTEK CO., LTD.
10998480Light-emitting   structure alignment preservation in display fabricationFACEBOOK   TECHNOLOGIES, LLC
10998498VIA structure and   methods of forming the same--
10998511Display module,   display device, and method of manufacturing the display moduleSAMSUNG DISPLAY CO.,   LTD.
10998804Device, apparatus and   systemNEXPERIA, B.V.
10999919Flexible electronic   assembly for placement on a vehicle motor assemblyTOYOTA MOTOR   ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
10999926Stress relief   encapsulation for flexible hybrid electronicsFLEX LTD.
11000782Method and apparatus   for cleaning substrates using high temperature chemicals and ultrasonic   deviceACM RESEARCH   (SHANGHAI) INC.
11000783Pumping apparatus,   treatment solution supplying device, and substrate treating apparatusSCREEN HOLDINGS CO.,   LTD.
11000879Method and apparatus   for treating substrateSEMES CO., LTD.
11000923Tool and method of   reflow--
11000935Polishing pad that   minimizes occurrence of defects and process for preparing the sameSKC SOLMICS CO., LTD.
11001061Method for   manufacturing microfluid delivery deviceSTMICROELECTRONICS   S.R.L.
11001078Interchangeable guide   head for transfer mechanismROHINNI, LLC
11001442Stocking system--
11001504Compound   semiconductor and manufacturing method thereofLG CHEM, LTD.
11001522Methods for thinning   glassCORNING INCORPORATED
11001535Transferring   nanostructures from wafers to transparent substratesAPPLIED MATERIALS,   INC.
11001599N-alkyl substituted   cyclic and oligomeric perhydridosilazanes, methods of preparation thereof,   and silicon nitride films formed therefromGELEST TECHNOLOGIES,   INC.
11001732Polishing slurry   compositionDONGJIN SEMICHEM CO.,   LTD.
11001733Compositions for   polishing cobalt and low-K material surfacesFUJIMI INCORPORATED
11001785Systems and methods   for particulate removal using polymeric microstructuresYALE UNIVERSITY
11001923Method of   manufacturing semiconductor device and recording mediumKOKUSAI ELECTRIC   CORPORATION
11001924Substrate processing   apparatus, nozzle base, and manufacturing method for semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
11001930Method of   manufacturing wiring boardSHINKO ELECTRIC   INDUSTRIES CO, LTD.
11001940GaN single crystal   and method for manufacturing GaN single crystalMITSUBISHI CHEMICAL   CORPORATION
11002527In-plane sensor   misalignment measuring device using capacitive sensingINVENSENSE, INC.
11002656Substrate processing   apparatus, substrate processing system, and substrate processing methodTOKYO ELECTRON   LIMITED
11002704Biosensor devices and   methods of forming the same--
11002788Circuit test   structure--
11002908Fabrication and   self-aligned local functionalization of nanocups and various plasmonic   nanostructures on flexible substrates for implantable and sensing   applicationsCALIFORNIA INSTITUTE   OF TECHNOLOGY
11002927Package structure--
11003073Photocurable   composition for imprint, method for producing film using the same, method for   producing optical component using the same, method for producing circuit   board using the same, and method for producing electronic component using the   sameCANON KABUSHIKI   KAISHA
11003074Pattern formation   methods and photoresist pattern overcoat compositionsROHM AND HAAS   ELECTRONIC MATERIALS LLC
11003075Apparatus and method   for generating physical unclonable function by modifying photo mask of   semiconductor processICTK HOLDINGS CO.,   LTD.
11003076Extreme ultraviolet   photoresist and method--
11003078Compositions for   forming a protective film against basic aqueous hydrogen peroxide solution,   and pattern formation methodNISSAN CHEMICAL   CORPORATION
11003079Composition for film   formation, film, resist underlayer film-forming method, production method of   patterned substrate, and compoundJSR CORPORATION
11003082Method for forming   semiconductor structure--
11003084Method for forming   semiconductor structure--
11003091Method of fabricating   reticle--
11003094Substrate holder,   lithographic apparatus, device manufacturing method, and method of   manufacturing a substrate holderASML NETHERLANDS B.V.
11003149Substrate processing   systems, apparatus, and methods with substrate carrier and purge chamber   environmental controlsAPPLIED MATERIALS,   INC.
11003164Methods for aligning   a physical layer to a pattern formed via multi-patterning, and associated   systemsMICRON TECHNOLOGY,   INC.
11004530Testing   through-silicon-viasRAMBUS INC.
11004658Plasma processing   apparatus and plasma processing methodHITACHI HIGH-TECH   CORPORATION
11004661Process chamber for   cyclic and selective material removal and etchingAPPLIED MATERIALS,   INC.
11004664Heat transfer medium   supply system and substrate processing apparatusTOKYO ELECTRON   LIMITED
11004665Plasma processing   apparatusSHIBAURA MECHATRONICS   CORPORATION
11004674Substrate treatment   method and substrate treatment equipmentORGANO CORPORATION
11004675Substrate cleaning   composition, substrate treating method, and substrate treating apparatusSEMES CO., LTD.
11004676Method for   manufacturing semiconductor device, non-transitory computer-readable   recording medium, and substrate processing apparatusKOKUSAI ELECTRIC   CORPORATION
11004677Method for forming   metal oxide layer, and plasma-enhanced chemical vapor deposition deviceSAMSUNG DISPLAY CO.,   LTD.
11004678Atomic layer   deposition sealing integration for nanosheet complementary metal oxide   semiconductor with replacement spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004679Method and system for   supplying chemical liquid in semiconductor fabrication--
11004680Semiconductor device   package thermal conduitTEXAS INSTRUMENTS   INCORPORATED
11004681Defect-free   heterogeneous substratesHEWLETT PACKARD   ENTERPRISE DEVELOPMENT LP
11004682Laser annealing   apparatus, laser annealing method, and maskSAKAI DISPLAY   PRODUCTS CORPORATION
11004683Imprint apparatus,   imprint method, and method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
11004684Forming method of   hard maskTOKYO ELECTRON   LIMITED
11004685Multi-layer   structures and methods of forming--
11004686Bonding method,   bonding device, and holding memberNIKON CORPORATION
11004687Gate contact over   active processesAPPLIED MATERIALS,   INC.
11004688FinFET device and   method of forming--
11004689Thermal silicon etchAPPLIED MATERIALS,   INC.
11004690Method for making a   well disposed over a sensorLIFE TECHNOLOGIES   CORPORATION
11004691Mechanism for   manufacturing semiconductor device--
11004692Method for   ultra-shallow etching using neutral beam processing based on gas cluster ion   beam technologyEXOGENESIS   CORPORATION
11004693Light-irradiation   heat treatment method and heat treatment apparatusSCREEN HOLDINGS CO.,   LTD.
110046943D semiconductor   device and structureMONOLITHIC 3D INC.
11004695Power semiconductor   module arrangement having a base plate and a contact elementINFINEON TECHNOLOGIES   AG
11004696Method for   manufacturing power diode--
11004697Semiconductor device   with a multi-layered encapsulant and associated systems, devices, and methodsMICRON TECHNOLOGY,   INC.
11004698Power module packageSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11004699Electronic device and   method for manufacturing the sameOMRON CORPORATION
11004700Temporary   post-assisted embedding of semiconductor diesINFINEON TECHNOLOGIES   AG
11004701Break-in apparatus,   break-in system and storage mediaEBARA CORPORATION
11004702Film processing unit   and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11004703Gas flow guiding   device for semiconductor processing apparatus and method of using the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11004704Finned rotor coverAPPLIED MATERIALS,   INC.
11004705Chip transfer device   and chip transferring method using the sameSAMSUNG ELECTRONICS   CO., LTD.
11004706Substrate treating   apparatusSCREEN SEMICONDUCTOR   SOLUTIONS CO., LTD.
11004707Substrate processing   apparatus and methodPICOSUN OY
11004708Core configuration   with alternating posts for in-situ electromagnetic induction monitoring   systemAPPLIED MATERIALS,   INC.
11004709Method for monitoring   gas in wafer processing system--
11004710Wafer placement error   detection based on measuring a current through an electrostatic chuck and   solution for interventionAPPLIED MATERIALS,   INC.
11004711Automated wafer   monitoring--
11004712Method of inspecting   semiconductor wafer, inspection system for performing the same, and method of   fabricating semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11004713Robot arm device and   method for transferring wafer--
11004714Load portTDK CORPORATION
11004715Substrate supporting   deviceNGK SPARK PLUG CO.,   LTD.
11004716Electrostatic chuck   assembly and semiconductor manufacturing apparatus including the sameSAMSUNG ELECTRONICS   CO., LTD.
11004717Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
11004718Sectional porous   carrier forming a temporary impervious support--
11004719Methods for producing   a 3D semiconductor memory device and structureMONOLITHIC 3D INC.
11004720System and method for   ring frame cleaning and inspection--
11004721Micro device transfer   head--
11004722Lift pin assembly--
11004723Wafer production   methodSILTECTRA GMBH
11004724FETS and methods of   forming FETS--
11004725Method of forming a   FinFET device with gaps in the source/drain region--
11004726Stairstep structures   in multilevel circuitry, and method for forming the same--
11004727Method for   fabricating electrode and semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11004728Semiconductor die   having edge with multiple gradients and method for forming the same--
11004729Method of   manufacturing semiconductor devices--
11004730Methods of forming   conductive features using a vacuum environment--
11004731Semiconductor deviceKIOXIA CORPORATION
11004732Method of   manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11004733Protection structures   for bonded wafers--
11004734Metal-based etch-stop   layer--
11004735Conductive   interconnect having a semi-liner and no top surface recessINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004736Integrated circuit   having a single damascene wiring networkINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004737Field effect device   with reduced capacitance and resistance in source/drain contacts at reduced   gate pitchINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004738Capacitance reduction   by metal cut design--
11004739Gate contact   structure over active gate and method to fabricate sameINTEL CORPORATION
11004740Structure and method   for interconnection with self-alignment--
11004741Profile of through   via protrusion in 3DIC interconnect--
11004742Methods and apparatus   for an improved integrated circuit packageTEXAS INSTRUMENTS   INCORPORATED
11004743Dicing method and   laser processing apparatusKIOXIA CORPORATION
11004744Wafer processing   method for dividing a wafer along predefined division linesDISCO CORPORATION
11004745Semiconductor device   convex source/drain region--
11004746Method for forming a   semiconductor structure using dehydrating chemical, and method for forming a   semiconductor structure--
11004747Fin critical   dimension loading optimization--
11004748Semiconductor devices   with wide gate-to-gate spacingGLOBALFOUNDRIES U.S.   INC.
11004749Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11004750Middle of the line   contact formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004751Vertical transistor   having reduced edge fin variationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004752Fin field-effect   transistorSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11004753Display device and   method of manufacturing the display device--
11004754X-ray topographic   apparatus and substrate processing system using the apparatusSAMSUNG ELECTRONICS   CO., LTD.
11004755Apparatus and method   for the minimization of undercut during a UBM etch processVEECO INSTRUMENTS   INC.
11004757Bonded structuresINVENSAS BONDING   TECHNOLOGIES, INC.
11004758Integrated circuit   package and method--
11004760Chip structure   operating method including heating elements to reduce temperature variationSAMSUNG ELECTRONICS   CO., LTD.
11004762Semiconductor device,   vehicle-mounted semiconductor device, and vehicle-mounted control deviceHITACHI AUTOMOTIVE   SYSTEMS, LTD.
11004764Semiconductor package   having symmetrically arranged power terminals and method for producing the   sameINFINEON TECHNOLOGIES   AG
11004769Metal inverse opal   substrate with integrated jet cooling in electronic modulesTOYOTA MOTOR   ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
11004770Phase changing   on-chip thermal heat sinkINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004771Cooling devices,   packaged semiconductor devices, and methods of packaging semiconductor   devices--
11004772Cooling structure,   cooling structure manufacturing method, power amplifier, and transmitterNEC CORPORATION
11004773Porous barrier layer   for improving reliability of through-substrate via structures and methods of   forming the sameSANDISK TECHNOLOGIES   LLC
11004774Fabricating   field-effect transistors with body contacts between source, gate and drain   assembliesSKYWORKS SOLUTIONS,   INC.
11004776Semiconductor device   with frame having arms and related methodsSTMICROELECTRONICS,   INC.
11004779Semiconductor device   package and a method of manufacturing the same--
11004780Hard macro having   blockage sites, integrated circuit including same and method of routing   through a hard macroQUALCOMM INCORPORATED
11004782Semiconductor device   with internal and external electrode and method of manufacturingROHM CO., LTD.
11004786Package structure and   method of forming the same--
11004790Method of   manufacturing an interconnect without dielectric exclusion zones by thermal   decomposition of a sacrificial filler materialINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004791Semiconductor chip   with stacked conductor lines and air gapsADVANCED MICRO   DEVICES, INC.
11004793Method of forming an   interconnect structure having an air gap and structure thereof--
11004794Partial barrier free   vias for cobalt-based interconnects and methods of fabrication thereof--
11004795Semiconductor   structure and manufacturing method thereof--
11004797Package structure,   semiconductor package and method of fabricating the same--
11004798Apparatuses including   conductive structure layoutsMICRON TECHNOLOGY,   INC.
11004799Package structure and   manufacturing method thereof--
11004801Semiconductor devices   and methods of manufacturing semiconductor devicesAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11004802Reliability extreme   temperature integrated circuits and method for producing the sameUNITED STATES OF   AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE   ADMINISTRATION
11004803Dummy dies for   reducing warpage in packages--
11004805Semiconductor device   and method of fabricating same including two seal rings--
11004806Semiconductor device,   manufacturing method of semiconductor device, integrated substrate, and   electronic deviceSONY CORPORATION
11004807Method of producing   laminated substrate, method of producing semiconductor module, laminated   substrate, and semiconductor moduleFUJI ELECTRIC CO.,   LTD.
11004809Chip package with   antenna element--
11004810Semiconductor package   structure--
11004813Semiconductor device   and manufacturing method of semiconductor deviceLAPIS SEMICONDUCTOR   CO., LTD.
11004815Semiconductor deviceDENSO CORPORATION
11004816Hetero-integrated   structure--
11004818Package with passive   devices and method of forming the same--
11004819Prevention of   bridging between solder jointsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004820Apparatus and method   for filling a ball grid arrayAURIGIN TECHNOLOGY   PTE LTD
11004821Wire bonding method   and wire bonding apparatusSHINKAWA LTD.
11004823Chip assembly and   method of manufacturing thereofINFINEON TECHNOLOGIES   AG
110048263DIC formation with   dies bonded to formed RDLs--
11004828Methods and apparatus   for integrated gang bonding and encapsulation of stacked microelectronic   devicesMICRON TECHNOLOGY,   INC.
11004832System, structure,   and method of manufacturing a semiconductor substrate stack--
11004838Packaged die and RDL   with bonding structures therebetween--
11004839Trench power MOSFET   with integrated-schottky in non-active areaRENESAS ELECTRONICS   AMERICA INC.
11004841Semiconductor device   having multiple gate padsVISHAY SILICONIX, LLC
11004842System and method of   fabricating ESD FinFET with improved metal landing in the drain--
11004844Recessed STI as the   gate dielectric of HV device--
11004845Semiconductor device   and manufacturing method thereof--
11004846Enlarging spacer   thickness by forming a dielectric layer over a recessed interlayer dielectric--
11004847Semiconductor device   and fabricating the same--
11004848Composite transistor   having overlapping active regions and control electrodeSONY CORPORATION
11004850Vertical fin field   effect transistor devices with a replacement metal gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004851Complementary   transistor and semiconductor deviceSONY CORPORATION
11004852Semiconductor   structure--
11004854Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11004855Buried metal track   and methods forming same--
11004856Stacked vertical   transistor memory cell with epi connectionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004858Semiconductor device   and manufacturing method thereof--
11004860Non-volatile memory   device and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11004862Semiconductor device   and method of manufacturing the sameSK HYNIX INC.
11004863Non-volatile memory   with gate all around thin film transistor and method of manufacturing the   same--
11004866Vertical-type memory   deviceSAMSUNG ELECTRONICS   CO., LTD.
11004867Embedded   ferroelectric memory in high-k first technology--
11004868Memory field-effect   transistors and methods of manufacturing the sameINTEL CORPORATION
11004872Display substrate   including a nano-imprint pattern and method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
11004875Methods of   manufacturing electronic structuresPRAGMATIC PRINTING   LTD.
11004876Method for producing   a semiconductor chip and semiconductor chipOSRAM OLED GMBH
11004882Method for   manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11004889Method of fabricating   image sensorSAMSUNG ELECTRONICS   CO., LTD.
11004895Pixel or display with   sub pixels selected by antifuse programmingBLACK PEAK LLC
11004902Circuit element,   storage device, electronic equipment, method of writing information into   circuit element, and method of reading information from circuit elementSONY CORPORATION
11004930High density   three-dimensional integrated capacitorsTESSERA, INC.
11004934Semiconductor device   including a liner layer between a channel and a source/drain epitaxial layer--
11004937Semiconductor device   and manufacturing method thereof--
11004938Semiconductor   substrate structure and power semiconductor deviceROHM CO., LTD.
11004939Semiconductor device   having a junction portion contacting a Schottky metalROHM CO., LTD.
11004941Silicon carbide   epitaxial substrate having grooves extending along main surface and method of   manufacturing silicon carbide semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11004943Porous and nanoporous   semiconductor materials and manufacture thereofMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11004944Gate cut device   fabrication with extended height gatesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11004947Nonvolatile storage   elementASAHI KASEI   MICRODEVICES CORPORATION
11004948Three-dimensional   memory devices and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11004950Integrated circuit   metal gate structure--
11004952High-electron   mobility transistor and fabrication method thereof--
11004953Mask-free methods of   forming structures in a semiconductor deviceGLOBALFOUNDRIES U.S.   INC.
11004954Epitaxial buffer to   reduce sub-channel leakage in MOS transistorsINTEL CORPORATION
11004956Manufacturing method   of semiconductor deviceSK HYNIX INC.
11004957Manufacturing methods   of inorganic thin film transistors (TFTs) and flexible display devicesWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11004958Method of   manufacturing a semiconductor device and a semiconductor device--
11004959Semiconductor device   structure and method for forming the same--
11004960Semiconductor device   and manufacturing method thereof--
11004962Integrated circuit   including at least one nano-ridge transistorIMEC VZW
11004963Insulated gate   bipolar transistor having first and second field stop zone portions and   manufacturing methodINFINEON TECHNOLOGIES   AG
11004964Semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11004970Mirror device   structure for power MOSFET and method of manufactureNXP USA, INC.
11004972Semiconductor device   having conducting member for electrically coupling gate structure to   underlying substrate of SOI structureGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11004973Semiconductor device   with contamination improvement--
11004976Semiconductor device   including MOS transistor having silicided source/drain region and method of   fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11004977Method for depositing   a group IV semiconductor and related semiconductor device structuresASM IP HOLDING B.V.
11004985Semiconductor device   having multi-thickness nanowireSAMSUNG ELECTRONICS   CO., LTD.
11005003Method for producing   an optoelectronic semiconductor chip and optoelectronic semiconductor chipOSRAM OLED GMBH
11005014Optics formation   using pick-up toolsFACEBOOK   TECHNOLOGIES, LLC
11005018Semiconductor   continuous array layer--
11005066Organic electronic   device using adhesive film encapsulation technology, and method of   manufacturing sameDONGJIN SEMICHEM CO.,   LTD.
11005149Metaconductor skins   for low loss RF conductorsUNIVERSITY OF FLORIDA   RESEARCH FOUNDATION, INCORPORATED
11005231Strain-balanced   semiconductor structureIQE PIC
11006514Three-dimensional   decoupling integration within hole in motherboardINTEL CORPORATION
11007497Gas jetting apparatusTOSHIBA   MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION
11007605Laser processing   apparatusDISCO CORPORATION
11007619Carrier head membrane   with regions of different roughnessAPPLIED MATERIALS,   INC.
11007620Method and apparatus   for cleaning process monitoring--
11008180Swirl flow-forming   body and suction deviceHARMOTEC CO., LTD.
11008482Polishing composition   and polishing method using the same--
11008513Composition for   etching--
11008648CuO/Se composite filmSHAANXI NORMAL   UNIVERSITY
11008654Apparatus and method   for spatial atomic layer deposition--
11008655Components such as   edge rings including chemical vapor deposition (CVD) diamond coating with   high purity SP3 bonds for plasma processing systemsLAM RESEARCH   CORPORATION
11008669Apparatus for holding   a substrateACM RESEARCH   (SHANGHAI) INC.
11009455Precursor delivery   system and methods related theretoAPPLIED MATERIALS,   INC.
11009538Micro resonator array   systemAPPLIED MATERIALS,   INC.
11009787Mask blank, phase   shift mask, and method for manufacturing semiconductor deviceHOYA CORPORATION
11009789Pattern formation   method and method for manufacturing polarizing plateDEXERIALS CORPORATION
11009794Anti-reflection   optical substrates and methods of manufactureASML HOLDING N.V.
11009795Aqueous solution for   resist pattern coating and pattern forming methods using the sameNISSAN CHEMICAL   CORPORATION
11009796Method for forming   semiconductor structure--
11009798Wafer alignment   markers, systems, and related methodsMICRON TECHNOLOGY,   INC.
11010526Method and structure   for mandrel and spacer patterning--
11010580Fingerprint sensor in   InFO structure and formation method--
11011224Memory device and   method for forming the same--
11011347Plasma processing   apparatusTOKYO ELECTRON   LIMITED
11011351Monoenergetic ion   generation for controlled etchLAM RESEARCH   CORPORATION
11011353Systems and methods   for performing edge ring characterizationLAM RESEARCH   CORPORATION
11011369Carbon film forming   method, carbon film forming apparatus, and storage mediumTOKYO ELECTRON   LIMITED
11011370Method for   manufacturing semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
11011371SiBN film for   conformal hermetic dielectric encapsulation without direct RF exposure to   underlying structure materialAPPLIED MATERIALS,   INC.
11011372Semiconductor devices   and methods of manufacture--
11011373Engineered substrate   structures for power and RF applicationsQROMIS, INC.
11011374Group III nitride   semiconductor substrate and method for manufacturing group III nitride   semiconductor substrateFURUKAWA CO., LTD.
11011375Hybrid template area   selective epitaxy (HTASE)INTERNATIONAL   BUSINESS MACHINES CORPORATION
11011376Method of   manufacturing semiconductor structure with an epitaxial layer--
11011377Method for   fabricating a semiconductor deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011378Atom implantation for   reduction of compressive stressMICRON TECHNOLOGY,   INC.
11011379Capped ALD films for   doping fin-shaped channel regions of 3-D IC transistorsLAM RESEARCH   CORPORATION
11011380High-electron-mobility   transistor and manufacturing method thereof--
11011381Patterning platinum   by alloying and etching platinum alloyTEXAS INSTRUMENTS   INCORPORATED
11011382Fin profile   improvement for high performance transistor--
11011383Etching methodTOKYO ELECTRON   LIMITED
11011384Gapfill using   reactive annealAPPLIED MATERIALS,   INC.
11011385CMP-friendly coatings   for planar recessing or removing of variable-height layers--
11011386Etching method and   plasma treatment deviceTOKYO ELECTRON   LIMITED
11011387Wet etching of   samarium selenium for piezoelectric processingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011388Plasma apparatus for   high aspect ratio selective lateral etch using cyclic passivation and etchingLAM RESEARCH   CORPORATION
11011389Additively   manufactured flexible interposerTHE BOEING COMPANY
11011390Micro device   stabilization postAPPLE INC.
11011391Semiconductor   structure and method for fabricating the same--
11011392Stiction-free drying   process with contaminant removal for high-aspect ratio semiconductor device   structuresAPPLIED MATERIALS,   INC.
11011393Cutting apparatusDISCO CORPORATION
11011394System and method for   annealing die and wafer--
11011395Cover structure for a   light source, light illuminating apparatus having the sameSAMSUNG ELECTRONICS   CO., LTD.
11011396Customized smart   devices and touchscreen devices and cleanspace manufacturing methods to make   them--
11011397Wafer soak   temperature readback and control via thermocouple embedded end effector for   semiconductor processing equipmentAXCELIS TECHNOLOGIES,   INC.
11011398Fume determination   method, substrate processing method, and substrate processing equipmentSCREEN HOLDINGS CO.,   LTD.
11011399Substrate storing   containerMIRAIAL CO., LTD.
11011400Substrate-storing   containerSUMCO CORPORATION
11011401Modular pressurized   workstation--
11011402Transport system of   semiconductor fabrication facility, associated movable container and method--
11011403Transport container   automatic clamping mechanismNATIONAL INSTITUTE OF   ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
11011404Ceramic structure,   member for substrate-holding apparatus, and method for producing the ceramic   structureNGK INSULATORS, LTD.
11011405Apparatus for   supporting substrate having gas supply hole and method of manufacturing sameSEMES CO., LTD.
11011406Method of processing   a substrateDISCO CORPORATION
11011407Wafer processing   method using a ring frame and a polyolefin sheetDISCO CORPORATION
11011408Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11011409Devices with backside   metal structures and methods of formation thereofINFINEON TECHNOLOGIES   AG
11011410Substrate having two   semiconductor materials on insulatorSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11011411Semiconductor wafer   having integrated circuits with bottom local interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011412Semiconductor   structure and method for the forming sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11011413Interconnect   structures and methods of forming the same--
11011414Multi-barrier   deposition for air gap formation--
11011415Airgap vias in   electrical interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011416Semiconductor   structure and method for forming a semiconductor structureSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11011417Method and structure   of metal cutINTERNATIONAL   BUSINESS MACHINES CORPORATION
110114183D IC method and   deviceINVENSAS BONDING   TECHNOLOGIES, INC.
11011419Method for forming   interconnect structure--
11011420Conductive   interconnect structures incorporating negative thermal expansion materials   and associated systems, devices, and methodsMICRON TECHNOLOGY,   INC.
11011421Semiconductor device   having voids and method of forming same--
11011422Self-aligned   wrap-around trench contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011423Semiconductor device   and method of using a standardized carrier in semiconductor packagingSTATS CHIPPAC PTE.   LTD.
11011424Hybrid wafer dicing   approach using a spatially multi-focused laser beam laser scribing process   and plasma etch processAPPLIED MATERIALS,   INC.
11011425Production of a 3D   circuit with upper level transistor provided with a gate dielectric derived   from a substrate transferCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11011426Semiconductor device   and manufacturing method thereof--
11011427System and method for   widening fin widths for small pitch FinFET devices--
11011428Method for   fabricating a semiconductor device--
11011429Minimize   middle-of-line contact line shortsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011430Semiconductor device   and method for fabricating the same--
11011431Semiconductor   structure and manufacturing method thereof--
11011432Vertical   silicon/silicon-germanium transistors with multiple threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011433NMOS and PMOS   transistor gates with hafnium oxide layers and lanthanum oxide layers--
11011435Apparatus and method   inspecting bonded semiconductor diceASM TECHNOLOGY   SINGAPORE PTE LTD
11011436Substrate processing   apparatus, control method of substrate processing apparatus and substrate   processing systemTOKYO ELECTRON   LIMITED
11011437Method and apparatus   for determining width-to-length ratio of channel region of thin film   transistorHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11011438Display deviceSAMSUNG DISPLAY CO.,   LTD.
11011440Semiconductor element   bonding body, semiconductor device, and method of manufacturing semiconductor   element bonding bodyMITSUBISHI ELECTRIC   CORPORATION
11011444Semiconductor package   structure--
11011446Semiconductor device   and method of making a semiconductor deviceNEXPERIA B.V.
11011447Semiconductor package   and method for forming the same--
11011448IC package including   multi-chip unit with bonded integrated heat spreaderINTEL CORPORATION
11011450Preparation method of   a ceramic module for power semiconductor integrated packagingXI'AN BAIXIN CHUANGDA   ELECTRONIC TECHNOLOGY CO., LTD.
11011451Integrated circuit   package and method--
11011453Cooling apparatus,   semiconductor module, vehicle, and manufacturing methodFUJI ELECTRIC CO.,   LTD.
11011456Lead frames including   lead posts in different planesINFINEON TECHNOLOGIES   AG
11011457Wiring substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11011458Circuit board   structure and manufacturing method thereof--
11011460Package structure,   package-on-package structure and manufacturing method thereof--
11011463Dielectric   helmet-based approaches for back end of line (BEOL) interconnect fabrication   and structures resulting therefromINTEL CORPORATION
11011464Package structures   and method of forming the same--
11011465Single crystal   silicon carbide substrate, method of manufacturing single crystal silicon   carbide substrate, and semiconductor laserHITACHI POWER   SOLUTIONS CO., LTD.
11011466Integrated circuit   package with integrated voltage regulatorADVANCED MICRO   DEVICES, INC.
11011467Method of forming   interconnection structure--
11011468Semiconductor   structure and method for manufacturing the same--
11011469Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11011470Microelectronic   package with mold-integrated componentsINTEL CORPORATION
11011471Semiconductor deviceLONGITUDE LICENSING   LIMITED
11011472Self-aligned register   structure for base polysilicon and preparation method thereofJIANGSU
11011473Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11011476Lead frame surface   finishingSTMICROELECTRONICS   INTERNATIONAL N.V.
11011481Configurable resistorINTEL CORPORATION
11011483Nickel alloy for   semiconductor packagingTEXAS INSTRUMENTS   INCORPORATED
11011490Assembly comprising   hybrid interconnecting means including intermediate interconnecting elements   and sintered metal joints, and manufacturing processCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11011494Layer structures for   making direct metal-to-metal bonds at low temperatures in microelectronicsINVENSAS BONDING   TECHNOLOGIES, INC.
11011495Multiple-die   integrated circuit with integrated voltage regulatorADVANCED MICRO   DEVICES, INC.
11011496Semiconductor device   packages and methods of manufacturing the same--
11011499Stacked device,   stacked structure, and method of manufacturing stacked deviceFUJIFILM CORPORATION
11011501Package structure,   package-on-package structure and method of fabricating the same--
11011502Semiconductor packageNEPES CO., LTD.
110115073D semiconductor   device and structureMONOLITHIC 3D INC.
11011511Electrostatic   discharge protection devicesSAMSUNG ELECTRONICS   CO., LTD.
11011513Integrating a   junction field effect transistor into a vertical field effect transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011515Normally off III   nitride transistorTEXAS INSTRUMENTS   INCORPORATED
11011516Integrated circuit   device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11011517Semiconductor   structure including first FinFET devices for low power applications and   second FinFET devices for high power applicationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011518Semiconductor device   and method of manufacturing the sameSONY CORPORATION
11011519Semiconductor device   including gate structure having device isolation filmSAMSUNG ELECTRONICS   CO., LTD.
11011520Semiconductor DRAM   cell structure having low leakage capacitor--
11011524Semiconductor   arrangement with capacitor--
11011525Landing pad structure   and method of manufacturing the same--
11011526Methods of   manufacturing semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11011527Semiconductor   structure and static random access memory, and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11011528Asymmetric gate edge   spacing for SRAM structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011529Memory arrays   comprising vertically-alternating tiers of insulative material and memory   cells and methods of forming a memory array comprising memory cells   individually comprising a transistor and a capacitorMICRON TECHNOLOGY,   INC.
11011530Memory cell,   nonvolatile semiconductor storage device, and method for manufacturing   nonvolatile semiconductor storage deviceFLOADIA CORPORATION
11011531Replacement control   gate methods and apparatusesMICRON TECHNOLOGY,   INC.
11011534Multi-level cell   thin-film transistor memory and method of fabricating the sameFUDAN UNIVERSITY
11011535Semiconductor device   with integrated memory devices and MOS devices and process of making the same--
11011538Transistors and   arrays of elevationally-extending strings of memory cellsMICRON TECHNOLOGY,   INC.
11011539Multi-stack   three-dimensional memory devices and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11011540Three-dimensional   memory devices and fabrication methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11011546Semiconductor   integrated circuit deviceSOCIONEXT INC.
11011547Method for forming a   microelectronic deviceX-FAB FRANCE
11011548Electronic device and   method of manufacturing the sameMURATA MANUFACTURING   CO., LTD.
11011549Thin film transistor,   method for manufacturing the same, and semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11011551Array substrate with   a plurality of different signal linesBOE TECHNOLOGY GROUP   CO., LTD.
11011552Method for   manufacturing a display substrate comprising interconnected first and second   wiringsSAMSUNG DISPLAY CO.,   LTD.
11011555Fabricating   integrated light-emitting pixel arrays for displays--
11011566Bonding pad on a back   side illuminated image sensor--
11011599Stretchable display   panel and stretchable display device including the sameLG DISPLAY CO., LTD.
11011601Narrow gap device   with parallel releasing structure--
11011602Circuits employing   adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET   source/drain parasitic capacitance, and related fabrication methodsQUALCOMM INCORPORATED
11011603Preparation of   nanocrystals with mixtures of organic ligandsLIFE TECHNOLOGIES   CORPORATION
11011604Semiconductor device   with recessed source/drain contacts and a gate contact positioned above the   active regionGLOBALFOUNDRIES U.S.   INC.
11011605Diamond semiconductor   deviceEVINCE TECHNOLOGY   LTD.
11011606Semiconductor   component having a SiC semiconductor body and method for producing a   semiconductor componentINFINEON TECHNOLOGIES   AG
11011608Semiconductor   structure and method for forming the sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11011609Method of   manufacturing a semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11011610Plate design to   decrease noise in semiconductor devices--
11011611Semiconductor device   with low resistivity contact structure--
11011613Flexible substrate   with high dielectric-constant film and manufacturing method thereofWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11011614High electron   mobility transistor (HEMT) device and method of forming same--
11011615Transistor with   contacted deep well regionSILANNA ASIA PTE LTD
11011616Gate line plug   structures for advanced integrated circuit structure fabricationINTEL CORPORATION
11011617Formation of a   partial air-gap spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011618Circuit devices with   gate seals--
11011619Method and related   apparatus for reducing gate-induced drain leakage in semiconductor devices--
11011620Techniques for   increasing channel region tensile strain in n-MOS devicesINTEL CORPORATION
11011622Closely packed   vertical transistors with reduced contact resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011623Method for increasing   germanium concentration of FIN and resulting semiconductor device--
11011624Vertical transport   field-effect transistor (VFET) with dual top spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011625Liner for a bi-layer   gate helmet and the fabrication thereof--
11011626Fin field-effect   transistor with reduced parasitic capacitance and reduced variabilityINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011627Semiconductor   structure and method for the forming sameSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
11011628Method for making   thin film transistor with nanowires as masksTSINGHUA UNIVERSITY
11011630Semiconductor waferSUMITOMO CHEMICAL   COMPANY, LIMITED
11011634Elongated   source/drain region structure in finFET device--
11011635Method of forming   conformal epitaxial semiconductor cladding material over a fin field effect   transistor (FINFET) deviceAPPLIED MATERIALS,   INC.
11011636Fin field effect   transistor (FinFET) device structure with hard mask layer over gate structure   and method for forming the same--
11011640Fin field effect   transistorSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11011643Nanosheet FET   including encapsulated all-around source/drain contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011646TFT structure based   on flexible multi-layer graphene quantum carbon substrate material and method   for manufacturing sameGUANG DONG DONGBOND   TECHNOLOGY CO., LTD.
11011647Semiconductor devices   comprising channel materialsMICRON TECHNOLOGY,   INC.
11011649Oxide semiconductor   device and method of manufacturing the same--
11011650Thin-film transistor   having hydrogen-blocking layer and display apparatus including the sameLG DISPLAY CO., LTD.
11011651Tight pitch stack   nanowire isolationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11011676PVD buffer layers for   LED fabricationAPPLIED MATERIALS,   INC.
11011716Photodetectors and   photovoltaic devicesKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11011717Photodetectors and   photovoltaic devicesKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11012008Electrostatic chuck   deviceSUMITOMO OSAKA CEMENT   CO., LTD.
11013068Multilayer heating   bodyNGK SPARK PLUG CO.,   LTD.
11014103Substrate processing   apparatus and substrate processing method--
11014127Removing a residual   photo-mask fence in photolithographyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11014203System for applying   interface materials--
11014215Chemical mechanical   polishing process--
11014256Semiconductor memory   device and method for manufacturing sameKIOXIA CORPORATION
11014853Y<sub>2</sub>O<sub>3</sub>&#x2014;ZrO<sub>2   </sub>erosion resistant material for chamber components in plasma   environmentsAPPLIED MATERIALS,   INC.
11015019Epoxy resin,   production method, epoxy resin composition and cured product of sameDIC CORPORATION
11015082Crack-resistant   polysiloxane dielectric planarizing compositions, methods and filmsHONEYWELL   INTERNATIONAL INC.
11015086Polishing slurry and   polishing materialMITSUI MINING &   SMELTING CO., LTD.
11015087Polishing   composition, method for producing polishing composition, polishing method,   and method for producing semiconductor substrateFUJIMI INCORPORATED
11015089Polyimide film for   semiconductor package reflow process, and manufacturing method thereforIPI TECH INC.
11015098Polishing compositionFUJIMI INCORPORATED
11015243Method and apparatus   for forming layer, metal oxide transistor and fabrication method thereofIUCF-HYU   (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY)
11015245Gas-phase reactor and   system having exhaust plenum and components thereofASM IP HOLDING B.V.
11015248Substrate processing   apparatus and method of manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
11015260Method for   controlling electrochemical deposition to avoid defects in interconnect   structures--
11015261Substrate holder and   plating apparatusEBARA CORPORATION
11015768Method of   manufacturing light source deviceNICHIA CORPORATION
11016035Smart defect   calibration system and the method thereof--
11016139Test assembly and   test deviceCHONGQING HKC   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11016142Adjustment method of   inspection system and auxiliary element thereforTOKYO ELECTRON   LIMITED
11016255Coaxial wire and   optical fiber trace via hybrid structures and methods to manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11016382Mask blanks, phase   shift mask, method for manufacturing phase shift mask, and method for   manufacturing semiconductor deviceHOYA CORPORATION
11016386Photoresist   composition and method of forming photoresist pattern--
11016398Integrated circuit   overlay test patterns and method thereof--
11017143Method for modeling   excess current in irradiated bipolar junction transistorsARIZONA BOARD OF   REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY
11017522Inspection and   cleaning system and method for the same--
11017524Thickness measurement   of substrate using color metrologyAPPLIED MATERIALS,   INC.
11017852Method of forming   memory device--
11017979Method of ion   implantation and an apparatus for the sameSHANGHAI HUALI   MICROELECTRONICS CORPORATION
11017983RF power amplifierRENO TECHNOLOGIES,   INC.
11017984Ceramic coated quartz   lid for processing chamberAPPLIED MATERIALS,   INC.
11017985Plasma processing   apparatus, impedance matching method, and plasma processing methodTOKYO ELECTRON   LIMITED
11017987Etching method and   etching processing apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11017995Composition for TiN   hard mask removal and etch residue cleaningVERSUM MATERIALS US,   LLC
11017996Automated particle   removal systemASM TECHNOLOGY   SINGAPORE PTE LTD
11017997Methods and apparatus   for low temperature silicon nitride filmsAPPLIED MATERIALS,   INC.
11017998Precursors and   flowable CVD methods for making low-K films to fill surface featuresVERSUM MATERIALS US,   LLC
11017999Method and structure   for forming bulk FinFET with uniform channel heightINTERNATIONAL   BUSINESS MACHINES CORPORATION
11018000Electronic apparatus   and manufacturing method of the sameJAPAN DISPLAY INC.
11018001Method of growing   two-dimensional transition metal dichalcogenide thin film and method of   manufacturing device including the sameSAMSUNG ELECTRONICS   CO., LTD.
11018002Method for   selectively depositing a Group IV semiconductor and related semiconductor   device structuresASM IP HOLDING B.V.
11018003Method of selective   silicon germanium epitaxy at low temperaturesAPPLIED MATERIALS,   INC.
11018004Method of   manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11018005Patterning method and   patterned structure--
11018006Method for patterning   a semiconductor structure--
11018007Self aligned pattern   formation post spacer etchback in tight pitch configurationsTESSERA, INC.
11018008Manufacturing method   of a semiconductor device with efficient edge structureSTMICROELECTRONICS   S.R.L.
11018009Tuning work function   of p-metal work function films through vapor depositionAPPLIED MATERIALS,   INC.
11018010Mask layout,   semiconductor device and manufacturing method using the sameMAGNACHIP   SEMICONDUCTOR, LTD.
11018011Methods of forming   contact features in semiconductor devices--
11018012Contact structures   with deposited silicide layers--
11018013Semiconductor device   manufacturing method and semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
11018014Dry etching methodHITACHI HIGH-TECH   CORPORATION
11018015Composition for   forming organic film, substrate for manufacturing semiconductor device,   method for forming organic film, and patterning processSHIN-ETSU CHEMICAL   CO., LTD.
11018016Hybrid evolutionary   algorithm for triple-patterningSYNOPSYS, INC.
11018017Substrate treatment   methodSCREEN HOLDINGS CO.,   LTD.
11018018Superstrate and   methods of using the sameCANON KABUSHIKI   KAISHA
11018019Semiconductor   structure and manufacturing method thereof--
11018020Method of fabricating   an integrated circuit device by using a block copolymer to form a   self-assembly layerSAMSUNG ELECTRONICS   CO., LTD.
11018021Curing photo resist   for improving etching selectivity--
11018022Method for forming   semiconductor device structure having oxide layer--
11018023Defect reduction of   semiconductor layers and semiconductor devices by anneal and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11018024Method of fabricating   embedded tracesNXP USA, INC.
11018025Redistribution lines   having stacking vias--
11018026Interposer,   semiconductor package, and method of fabricating interposerSAMSUNG ELECTRONICS   CO., LTD.
11018027Interconnect   structure--
11018028Method of applying   conductive adhesive and manufacturing device using the same--
11018029Method for producing   an at least partly packaged semiconductor waferUNITED MONOLITHIC   SEMICONDUCTORS GMBH
11018030Fan-out wafer level   chip-scale packages and methods of manufactureSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11018031Cutting apparatusDISCO CORPORATION
11018032High pressure and   high temperature anneal chamberAPPLIED MATERIALS,   INC.
11018033Substrate processing   apparatus, method of manufacturing semiconductor device, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11018034Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11018035Substrate processing   systemTOKYO ELECTRON   LIMITED
11018036Carrier adapter   insert apparatus and carrier adapter insert detection methodsAPPLIED MATERIALS,   INC.
11018037Optical reticle load   port--
11018038Apparatus for sawing   a semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11018039Electrostatic chuck   which reduces arc dischargeTOTO LTD.
11018040Carrier assisted   substrate method of manufacturing an electronic device and electronic device   produced therebyAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11018041Chip transferring   method--
110180423D semiconductor   memory device and structureMONOLITHIC 3D INC.
11018043Wafer processing   method using a ring frame and a polyester sheetDISCO CORPORATION
11018044Wafer expanding   method and wafer expanding apparatusDISCO CORPORATION
11018045Deposition apparatus   including upper shower head and lower shower headSAMSUNG ELECTRONICS   CO., LTD.
11018046Substrate processing   apparatus including edge ringSAMSUNG ELECTRONICS   CO., LTD.
11018047Hybrid lift pinASM IP HOLDING B.V.
11018048Ceramic pedestal   having atomic protective layerWATLOW ELECTRIC   MANUFACTURING COMPANY
11018049Manufacturing method   of isolation structure--
11018050Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11018051Power semiconductor   device with reliably verifiable p-contact and methodINFINEON TECHNOLOGIES   DRESDEN GMBH & CO. KG
11018052Interconnect   structure and method of forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11018053Semiconductor   structure with material modification and low resistance plug--
11018054Integrated circuit   interconnectsINTEL CORPORATION
11018055Physical vapor   deposition process for semiconductor interconnection structures--
11018056Encapsulated solder   TSV insertion interconnectMICRON TECHNOLOGY,   INC.
11018057Semiconductor devices--
11018058Wafer processing   method for dividing a wafer along predefined division lines using polyester   sheetDISCO CORPORATION
11018059SiC substrate   processing methodDISCO CORPORATION
11018060Semiconductor device   having deep trench structure and method of manufacturing thereofKEY FOUNDRY CO., LTD.
11018061Strain enhancement   for FinFETs--
11018062Multivalent oxide cap   for multiple work function gate stacks on high mobility channel materialsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11018063Method and apparatus   for nanoscale-dimension measurement using a diffraction pattern filterSANDISK TECHNOLOGIES   LLC
11018064Multiple-tool   parameter set configuration and misregistration measurement system and methodKLA CORPORATION
11018065Semiconductor device   structure with magnetic element in testing region--
11018067Semiconductor device   and method of manufacturing a semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11018068Methods and   apparatuses for packaging an ultrasound-on-a-chipBUTTERFLY NETWORK,   INC.
11018069Underfill control   structures and method--
11018070Semiconductor die,   manufacturing method thereof, and semiconductor package--
11018072Semiconductor package   having overlapping electrically conductive regions and method for producing   the sameINFINEON TECHNOLOGIES   AG
11018073Heat spreading device   and method--
11018078Method of producing   electronic components, corresponding electronic componentSTMICROELECTRONICS   S.R.L.
11018079Land structure for   semiconductor package and method thereforAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11018080Semiconductor package   and method of forming the sameAGENCY FOR SCIENCE,   TECHNOLOGY AND RESEARCH
11018081Heterogeneous fan-out   structure and method of manufacture--
11018082Space transformer and   manufacturing method thereof--
11018083Semiconductor package   and manufacturing method thereof--
11018085Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11018086Passive devices in   package-on-package structures and methods for forming the same--
11018087Metal interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11018088Dummy features in   redistribution layers (RDLS) and methods of forming same--
11018091Eliminate   sawing-induced peeling through forming trenches--
11018092Thinned semiconductor   waferSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11018093Magnetic shielding of   STT-MRAM in multichip packaging and method of manufacturing the sameGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11018095Semiconductor   structure--
11018096Crack sensor for   sensing cracks in a solder pad, and method for production quality controlSTMICROELECTRONICS   (CROLLES 2) SAS
11018097Electronic component   guard ringINTEL CORPORATION
11018100Semiconductor device   having a passivation layer--
11018102Semiconductor product   with interlocking metal-to-metal bonds and method for manufacturing thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11018104Semiconductor   structure and method for manufacturing the same--
11018105Semiconductor device   and method of manufacturing the sameCYPRESS SEMICONDUCTOR   CORPORATION
11018106Semiconductor device   including solder bracing material with a rough surface, and manufacturing   method thereof--
11018107Semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11018108Method of fabricating   semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11018111Wafer level derived   flip chip packageTEXAS INSTRUMENTS   INCORPORATED
11018112Bonding method of   semiconductor chip and bonding apparatus of semiconductor chipKABUSHIKI KAISHA   TOSHIBA
11018113Memory module,   semiconductor package including the same, and manufacturing method thereof--
11018114Monolithic silicon   bridge stack including a hybrid baseband die supporting processors and memoryINTEL IP CORPORATION
11018115Semiconductor package   having a high reliabilitySAMSUNG ELECTRONICS   CO., LTD.
11018116Method to form a 3D   semiconductor device and structureMONOLITHIC 3D INC.
11018120Semiconductor device   package with stress buffering layer and method for manufacturing the same--
11018124Embedded memory   device and method for embedding memory device in a substrateINTEL CORPORATION
11018126IC with test   structures and e-beam pads embedded within a contiguous standard cell areaPDF SOLUTIONS, INC.
11018130Method to mitigate   signal feed through ESD elementsXILINX, INC.
11018131Semiconductor device   and fabricating method thereof--
11018132Method of fabricating   semiconductor device--
110181333D integrated circuitMONOLITHIC 3D INC.
11018134Semiconductor device   and method for manufacturing the same--
11018135Three-dimensional   devices having reduced contact lengthMICRON TECHNOLOGY,   INC.
11018138Methods for forming   dynamic random-access devices by implanting a drain through a spacer opening   at the bottom of angled structuresAPPLIED MATERIALS,   INC.
11018140Semiconductor device   and method for manufacturing the same--
11018143Antifuse OTP   structures with hybrid low-voltage devicesZHUHAI CHUANGFEIXIN   TECHNOLOGY CO., LTD.
11018147Method of forming   split gate memory cells with thinned tunnel oxideSILICON STORAGE   TECHNOLOGY, INC.
11018148Semiconductor memory   device and method for manufacturing sameTOSHIBA MEMORY   CORPORATION
11018151Three-dimensional   flat NAND memory device including wavy word lines and method of making the   sameSANDISK TECHNOLOGIES   LLC
11018154Memory device and   method for fabricating the same--
11018155Vertical string of   memory cells individually comprising a programmable charge storage transistor   comprising a control gate and a charge storage structure and method of   forming a vertical string of memory cells individually comprising a   programmable charge storage transistor comprising a control gate and a charge   storage structureMICRON TECHNOLOGY,   INC.
11018157Local interconnect   structure--
11018167Method and system for   aging process on transistors in a display panelBOE TECHNOLOGY GROUP   CO., LTD.
11018179Semiconductor   structure--
11018181Solid-state imaging   deviceKABUSHIKI KAISHA   TOSHIBA
11018188Three-dimensional   stackable multi-layer cross-point memory with bipolar junction transistor   selectorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
110181913D semiconductor   device and structureMONOLITHIC 3D INC.
11018210Display deviceSAMSUNG DISPLAY CO.,   LTD.
11018215Package and   manufacturing method thereof--
11018217Semiconductor device   and method for manufacturing the sameTOSHIBA MEMORY   CORPORATION
11018218Narrow gap device   with parallel releasing structure--
11018219P-type MOSFET and   method for manufacturing sameSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
11018220Device isolation   design rules for HAST improvementMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
11018221Air gap regions of a   semiconductor deviceGLOBALFOUNDRIES U.S.   INC.
11018223Methods for forming   device isolation for semiconductor applicationsAPPLIED MATERIALS,   INC.
11018224Semiconductor device   with epitaxial source/drain--
11018225III-V extension by   high temperature plasma dopingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11018227Semiconductor storage   device, method of controlling semiconductor storage device, computer program   product, and method of fabricating semiconductor storage deviceKABUSHIKI KAISHA   TOSHIBA
11018229Methods of forming   semiconductor structuresMICRON TECHNOLOGY,   INC.
11018231Method to make   buried, highly conductive p-type III-nitride layersYALE UNIVERSITY
11018232Semiconductor device   and fabrication method thereof--
11018233Flash memory cell   structure with step-shaped floating gate--
11018234Semiconductor device   and manufacturing method thereof--
11018236Thin film transistor,   array substrate, display panel and method for manufacturing thin film   transistorHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11018237Method for depinning   the fermi level of a semiconductor at an electrical junction and devices   incorporating such junctionsACORN SEMI, LLC
11018239Semiconductor device   and manufacturing method thereof--
11018240Vertical field effect   transistor with reduced parasitic capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11018241Polysilicon design   for replacement gate technology--
11018242Gate spacer structure   of FinFET device--
11018244Lateral trench   transistor deviceINFINEON TECHNOLOGIES   DRESDEN GMBH & CO. KG
11018245Epitaxial structures   for fin-like field effect transistors--
11018246Integrated circuit   with a fin and gate structure and method making the same--
11018247Semiconductor device   with a base link region and method thereforNXP USA, INC.
11018248Semiconductor device   and method for manufacturing the sameKABUSHIKI KAISHA   TOSHIBA
11018254Fabrication of   vertical fin transistor with multiple threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11018255Devices and systems   with string drivers including high band gap material and methods of formationMICRON TECHNOLOGY,   INC.
11018256Selective internal   gate structure for ferroelectric semiconductor devices--
11018257Semiconductor device   structure having a plurality of threshold voltages and method of forming the   same--
11018258Device of dielectric   layer--
11018259Semiconductor device   comprising gate structure and doped gate spacer--
11018260Non-volatile memory   device with reduced area--
11018264Three-dimensional   nanoribbon-based logicINTEL CORPORATION
11018265Transient-voltage-suppression   diode structure and manufacturing method thereof--
11018272Methods for forming   metal electrodes concurrently on silicon regions of opposite polarityIMEC VZW
11018294Method for regulating   phase transformation of hydrogen-containing transition metal oxideTSINGHUA UNIVERSITY
11018295Non-volatile memory   structure with positioned dopingHEFEI RELIANCE MEMORY   LIMITED
11018713Radio frequency   shielding within a semiconductor packageINTEL IP CORPORATION
11020760Substrate processing   apparatus and precursor gas nozzleKOKUSAI ELECTRIC   CORPORATION
11020776Substrate cleaning   method and substrate cleaning apparatusSCREEN HOLDINGS CO.,   LTD.
11020777Substrate treating   apparatusSEMES CO., LTD.
11020778Photoresist removal   method using residue gas analyzer--
11020811Solder removal from   semiconductor devicesMICRON TECHNOLOGY,   INC.
11020821Cutting device for   thin semiconductor wafer and cutting method thereof--
11020837Monolithic platen--
11020852Substrate transport   apparatus with independent accessory feedthroughBROOKS AUTOMATION,   INC.
11020950Device and method for   bonding substratesEV GROUP E. THALLNER   GMBH
11020951Device and method for   bonding substratesEV GROUP E. THALLNER   GMBH
11020952Device and method for   bonding substratesEV GROUP E. THALLNER   GMBH
11020953Device and method for   bonding substratesEV GROUP E. THALLNER   GMBH
11021616Self-exposure method   for surface of conductive particles anchored in polymer layer, method of   fabricating anisotropic conductive film using the self-exposure method and   the anisotropic conductive filmKOREA ADVANCED   INSTITUTE OF SCIENCE AND TECHNOLOGY
11021786Copper passivationTEXAS INSTRUMENTS   INCORPORATED
11021788Sputtering methodPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11021791Film forming   apparatus, film forming method, and method for manufacturing a semiconductor   device using the film forming apparatusSAMSUNG ELECTRONICS   CO., LTD.
11021794Graphite susceptorAPPLIED MATERIALS,   INC.
11021795Multi zone spot   heating in epiAPPLIED MATERIALS,   INC.
11021796Gas injectors and   wafer processing apparatuses having the sameSAMSUNG ELECTRONICS   CO., LTD.
11022224Valve device, flow   control method using the same, and semiconductor manufacturing methodFUJIKIN INCORPORATED
11022437Leveling sensor, load   port including the same, and method of leveling a load port--
11022566Examination of a   semiconductor specimenAPPLIED MATERIALS   ISRAEL LTD.
11022642Semiconductor yield   predictionPDF SOLUTIONS, INC.
11022874Chromeless phase   shift mask structure and process--
11022875Mask blank, phase   shift mask, and method of manufacturing semiconductor deviceHOYA CORPORATION
11022877Etch processing   system having reflective endpoint detectionAPPLIED MATERIALS,   INC.
11022878Critical dimension   uniformity--
11022882Compound and   composition for forming organic filmSHIN-ETSU CHEMICAL   CO., LTD.
11022884Silicon-containing   resist underlayer film-forming composition having halogenated sulfonylalkyl   groupNISSAN CHEMICAL   INDUSTRIES, LTD.
11022886Bottom-up material   formation for planarization--
11022887Tunable adhesion of   EUV photoresist on oxide surfaceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11023011Semiconductor device   for attaching to a flexible display and a method of manufacturing the same--
11023641Isolated wells for   resistor devices--
11023648Puzzle-based pattern   analysis and classificationSIEMENS INDUSTRY   SOFTWARE INC.
11023651Optical proximity   correction (OPC) modeling methods and methods for manufacturing semiconductor   device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11024369Static random-access   memory cell designINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024486Semiconductor   processing systems having multiple plasma configurationsAPPLIED MATERIALS,   INC.
11024488Film-forming method,   manufacturing method of electronic device, and plasma atomic layer deposition   apparatusTHE JAPAN STEEL   WORKS, LTD.
11024501Carrier-assisted   method for parting crystalline material along laser damage regionCREE, INC.
11024502Semiconductor devices   and methods for forming semiconductor devicesINFINEON TECHNOLOGIES   AG
11024503Laser annealing   device, mask, thin film transistor, and laser annealing methodSAKAI DISPLAY   PRODUCTS CORPORATION
11024504Semiconductor   structure and manufacturing method thereof--
11024505Gate structure   passivating species drive-in method and structure formed thereby--
11024506Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11024507Substrate liquid   processing apparatus, substrate liquid processing method, and   computer-redable storage medium having substrate liquid processing program   stored thereinTOKYO ELECTRON   LIMITED
11024508Independent control   of etching and passivation gas components for highly selective silicon   oxide/silicon nitride etchingTOKYO ELECTRON   LIMITED
11024509Method of fabricating   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11024510Pattern forming   method and method of manufacturing semiconductor deviceKIOXIA CORPORATION
11024511Patterning method--
11024512Selective etch   formulation for silicon oxideINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024513Methods for   minimizing sidewall damage during low k etch processesAIR LIQUIDE   ELECTRONICS U.S. LP
11024514Etching method and   etching apparatusTOKYO ELECTRON   LIMITED
11024515Systems and methods   for in SITU maintenance of a thin hardmask during an etch process--
11024516Display device and   method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11024517Apparatus and   transfer unit which measures weight remaining on a substrateSEMES CO., LTD.
11024518Substrate processing   apparatus, substrate processing method and recording mediumTOKYO ELECTRON   LIMITED
11024519Substrate processing   apparatus, substrate processing method and computer readable recording mediumTOKYO ELECTRON   LIMITED
11024520Substrate processing   apparatusEBARA CORPORATION
11024521Heat treatment method   for managing dummy waferSCREEN HOLDINGS CO.,   LTD.
11024522Virtual sensor for   spatially resolved wafer temperature controlAPPLIED MATERIALS,   INC.
11024523Substrate processing   apparatus and methodASM IP HOLDING B.V.
11024524Heat treatment method   and heat treatment apparatus for managing dummy waferSCREEN HOLDINGS CO.,   LTD.
11024525Diffusion temperature   shock monitorANALOG DEVICES   INTERNATIONAL UNLIMITED COMPANY
11024526Robot with gas flow   sensor coupled to robot armBROOKS AUTOMATION   (GERMANY) GMBH
11024527Methods and apparatus   for novel fabricators with Cleanspace--
11024528Electrostatic chuck   device having focus ringSUMITOMO OSAKA CEMENT   CO., LTD.
11024529System and method for   residual voltage control of electrostatic chucking assembliesAPPLIED MATERIALS,   INC.
11024530Method for the   bonding and debonding of substratesEV GROUP E. THALLNER   GMBH
11024531Optimized low energy   / high productivity deposition systemLAM RESEARCH   CORPORATION
11024532Electrostatic chuck   design for cooling-gas light-up preventionLAM RESEARCH   CORPORATION
11024533Methods of forming   interconnect structures using via holes filled with dielectric film--
11024534Semiconductor device   having opening and via hole and method for manufacturing the sameWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11024535Method for filling   recessed features in semiconductor devices with a low-resistivity metalTOKYO ELECTRON   LIMITED
11024536Contact interlayer   dielectric replacement with improved SAC cap retentionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024537Methods and apparatus   for hybrid feature metallizationAPPLIED MATERIALS,   INC.
11024538Hardened plug for   improved shorting marginINTEL CORPORATION
11024539Self-aligned cut   process for self-aligned via process windowINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024540Fin field-effect   transistor device and method of forming the same--
11024541Process for molding a   back side wafer singulation guideQORVO US, INC.
11024542Manufacturing method   of device chipDISCO CORPORATION
11024543Wafer processing   method including applying a polyester sheet to a waferDISCO CORPORATION
11024544Assembly for 3D   circuit with superposed transistor levelsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11024545Semiconductor   arrangement and method of manufacture--
11024546Vertical field effect   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024547Method and structure   for forming vertical transistors with shared gates and separate gatesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024548Complementary MOS   FETS vertically arranged and including multiple dielectric layers surrounding   the MOS FETS--
11024549Semiconductor device   and manufacturing method thereof--
11024550Semiconductor device   and method--
11024551Metal replacement   vertical interconnections for buried capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024552Device arrangement   structure assembly having adhesive tape layer--
11024553Semiconductor   structure and manufacturing method thereof--
11024555Semiconductor   substrate, semiconductor package, and method for forming the same--
11024559Semiconductor package   with electromagnetic interference shielding structuresINTEL CORPORATION
11024560Semiconductor   structure and manufacturing method thereof--
11024561Semiconductor device   and method of forming a fan-out PoP device with PWB vertical interconnect   unitsSTATS CHIPPAC PTE.   LTD.
11024563Semiconductor device   and manufacturing method thereofABLIC INC.
11024564Packaged electronic   device with film isolated power stackTEXAS INSTRUMENTS   INCORPORATED
11024565Direct selective   adhesion promotor platingINFINEON TECHNOLOGIES   AG
11024567SMD diode taking a   runner as body and manufacturing method thereofSIYANG GRANDE   ELECTRONICS CO., LTD.
11024569Semiconductor package   device and method of manufacturing the same--
11024573Substrate structure   with high-density wiring and manufacturing method thereof--
11024575Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11024576Semiconductor package   with underfill between a sensor coil and a semiconductor dieTEXAS INSTRUMENTS   INCORPORATED
11024579Dual power structure   with connection pins--
11024580Random cut patterning--
11024581Semiconductor   packages and methods of manufacturing the same--
11024582Semiconductor device   and manufacturing method thereof--
11024585Integrated circuit   packaging system with shielding and method of manufacture thereofSTATS CHIPPAC PTE.   LTD.
11024586Semiconductor device   package and method of manufacturing the same--
11024588Power integrated   moduleDELTA ELECTRONICS   (SHANGHAI) CO., LTD.
11024595Thermocompression   bond tips and related apparatus and methodsMICRON TECHNOLOGY,   INC.
11024596Bonding apparatus and   bonding methodSHINKAWA LTD.
11024600Unified semiconductor   devices having programmable logic device and heterogeneous memories and   methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11024603Manufacturing method   and a related stackable chip package--
11024605Integrated circuit   package and method--
11024606Semiconductor device   and manufacturing method thereof--
11024607Method for   interconnecting stacked semiconductor devicesINTEL CORPORATION
11024608Structures and   methods for electrical connection of micro-devices and substratesX DISPLAY COMPANY   TECHNOLOGY LIMITED
11024609Four-in-one mini-LED   module, display screen and manufacturing methodSHENZHEN ZHIXUNDA   OPTOELECTRONICS CO., LTD.
11024611Micro-LED array   transfer method, manufacturing method and display deviceGOERTEK INC.
11024616Package structure and   method of manufacturing the same--
11024619Semiconductor   manufacturing apparatusTOSHIBA MEMORY   CORPORATION
11024626Apparatus and   circuits including transistors with different threshold voltages and methods   of fabricating the same--
11024627High-K metal gate   transistor structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11024628Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11024629Semiconductor device   comprising gate structure sidewalls having different anglesMICRON TECHNOLOGY,   INC.
11024630Memory cells, methods   of forming an array of two transistor-one capacitor memory cells, and methods   used in fabricating integrated circuitryMICRON TECHNOLOGY,   INC.
11024633SRAM cell word line   structure with reduced RC effects--
11024634Semiconductor device   having an inter-layer via (ILV), and method of making same--
11024635Three-dimensional   flat NAND memory device having curved memory elements and methods of making   the sameSANDISK TECHNOLOGIES   LLC
11024636Vertical 3D stack NOR   deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024637Embedded non-volatile   memory--
11024644Integrated assemblies   having vertically-spaced channel material segments, and methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
11024645Three-dimensional   memory device containing a silicon nitride ring in an opening in a memory   film and method of making the sameSANDISK TECHNOLOGIES   LLC
11024649Integrated circuit   with resurf region biasing under buried insulator layersTEXAS INSTRUMENTS   INCORPORATED
11024650FinFET device and a   method for fabricating the same--
11024652Flexible display   device and method of manufacturing the sameLG DISPLAY CO., LTD.
11024656Active matrix   substrate, optical shutter substrate, display device, and method for   manufacturing active matrix substrateSHARP KABUSHIKI   KAISHA
11024661Solid-state image   pickup device having pixel separation wallSONY CORPORATION
11024671Resistive random   access memory device--
110246733D semiconductor   device and structureMONOLITHIC 3D INC.
11024679Color filter   substrate having a filter layer disposed on quantum dot layerSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11024685Electroluminescent   display deviceLG DISPLAY CO., LTD.
11024687Array substrate with   a pixel defining layer with groove between sub-pixel areasYUNGU (GU'AN)   TECHNOLOGY CO., LTD.
11024701Integrated electronic   component suitable for broadband biasingMURATA INTEGRATED   PASSIVE SOLUTIONS
11024703Semiconductor device   and a method for fabricating the same--
11024708Semiconductor device   and method for manufacturing the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11024709Vertical fin field   effect transistor with air gap spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024710Vertically oriented   planar structures of transition metal chalcogenides for advanced electronic   and optoelectronic systemsTHE PENN STATE   RESEARCH FOUNDATION
11024711Nanosheet FET bottom   isolationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024713Gradient doping to   lower leakage in low band gap material devicesINTEL CORPORATION
11024714Nanowire transistor   fabrication with hardmask layersSONY CORPORATION
11024715FinFET gate cut after   dummy gate removalTESSERA, INC.
11024716Semiconductor   structure and method for forming the same--
11024718Semiconductor device   and method of forming the same--
11024719Semiconductor device   and production method thereofTOSHIBA MEMORY   CORPORATION
11024720Non-self aligned   contact semiconductor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024721Semiconductor device   and manufacturing method thereof--
11024723Semiconductor device--
11024724Vertical FET with   differential top spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024731Power module for   supporting high current densitiesCREE, INC.
11024732Lateral MOSFET with   dielectric isolation trench--
11024735Methods of forming   integrated circuitryMICRON TECHNOLOGY,   INC.
11024736Transistor and   methods of forming integrated circuitryMICRON TECHNOLOGY,   INC.
11024737Etching fin core to   provide fin doublingINTEL CORPORATION
11024738Measurement of top   contact resistance in vertical field-effect transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024739Fin field effect   transistor including a single diffusion break with a multi-layer dummy gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024740Asymmetric channel   threshold voltageINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024744Semiconductor device   and method for manufacturing the sameSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11024746Gate all-around   deviceAPPLIED MATERRIALS,   INC.
11024748Nonvolatile memory   device including two-dimensional material and apparatus including the   nonvolatile memory deviceSAMSUNG ELECTRONICS   CO., LTD.
11024749Dual channel   transistor device and methods of forming the same--
11024753PERC solar cell   capable of improving photoelectric conversion efficiency and preparation   method thereofGUANGDONG AIKO SOLAR   ENERGY TECHNOLOGY CO., LTD.
11024758Layer structure for a   thin-film solar cell and production methodZENTRUM FUER   SONNENENERGIE- UND WASSERSTOFF-FORSCHUNG BADEN-WUERTTEMBERG
11024762Substrate processing   system, substrate conveying device and conveying methodMILPITAS
11024785Light-emitting diode   packagesCREELED, INC.
11024798Protective   passivation layer for magnetic tunnel junctions--
11024801Diffusion layer for   magnetic tunnel junctions--
11024803Methods for   fabricating artificial neural networks (ANN) based on doped semiconductor   resistive random access memory (RRAM) elementsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11024820Photo-patterned   emissive layer containing passivated quantum dots, arrangement of   light-emitting devices including same, and method of making sameSHARP KABUSHIKI   KAISHA
11024954Semiconductor package   with antenna and fabrication method thereof--
110249793D IC antenna array   with laminated high-k dielectric--
11025029Monolithic III-V   nanolaser on silicon with blanket growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
11026341Method of changing a   switching module using pressure-applying deviceLSIS CO., LTD.
11027310Fluid deposition   apparatus and method--
11027394Load cup and chemical   mechanical polishing apparatus and method of manufacturing including the sameSAMSUNG ELECTRONICS   CO., LTD.
11027395Polishing apparatus,   polishing method, and machine learning apparatusEBARA CORPORATION
11027437Apparatus, system and   method for providing a conformable vacuum cup for an end effectorJABIL INC.
11027949Transport vehicle and   transport methodMURATA MACHINERY,   LTD.
11028005Glass for   semiconductor processingAGC INC.
11028022Copper-ceramic bonded   body and insulation circuit substrateMITSUBISHI MATERIALS   CORPORATION
11028201Polymer compositionLG CHEM, LTD.
11028321Etching composition,   method for etching insulating layer of semiconductor devices and method for   preparing semiconductor devicesSK INNOVATION CO.,   LTD.
11028340Composition for   surface treatment, method for producing the same, surface treatment method   using composition for surface treatment, and method for producing   semiconductor substrateFUJIMI INCORPORATED
11028343Cleaning agent   composition for substrate for semiconductor deviceKAO CORPORATION
11028473Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11028477Bottom-up gap-fill by   surface poisoning treatmentAPPLIED MATERIALS,   INC.
11028481Substrate treating   apparatus and methodJUSUNG ENGINEERING   CO., LTD.
11028483Plating method,   plating apparatus and recording mediumTOKYO ELECTRON   LIMITED
11028488Etching composition,   a method of etching a metal barrier layer and a metal layer using the same,   and method of manufacturing semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11029278Ion sensor based on   differential measurement, and production methodCONSEJO SUPERIOR DE   INVESTIGACIONES CIENTIFICAS (CSIC)
11029596Film mask, method for   manufacturing same, and method for forming pattern using film mask and   pattern formed therebyLG CHEM, LTD.
11029597Method for producing   pattern laminate, method for producing reversal pattern, and pattern laminateFUJIFILM CORPORATION
11029599Chemically amplified   positive photoresist composition and pattern forming method using sameMERCK PATENT GMBH
11029603Chemical replacement   system--
11029673Generating robust   machine learning predictions for semiconductor manufacturing processesPDF SOLUTIONS, INC.
11030348Circuit edit and   obfuscation for trusted chip fabricationUNIVERSITY OF FLORIDA   RESEARCH FOUNDATION, INCORPORATED
11030373System for generating   standard cell layout having engineering change order (ECO) cells--
11030734Mirror die image   recognition system, reference die setting system, and mirror die image   recognition methodFUJI CORPORATION
11031167Giant perpendicular   magnetic anisotropy in Fe/GaN thin films for data storage and memory devicesUNIVERSITY OF NEW   HAMPSHIRE
11031213Microwave output   device and plasma processing deviceTOKYO ELECTRON   LIMITED
11031214Batch type substrate   processing apparatusEUGENE TECHNOLOGY   CO., LTD.
11031215Vacuum pump   protection against deposition byproduct buildupLAM RESEARCH   CORPORATION
11031233High lateral to   vertical ratio etch process for device manufacturingAPPLIED MATERIALS,   INC.
11031234Wafer processing   method including applying a polyolefin sheet to a waferDISCO CORPORATION
11031235Substrate processing   apparatusSCREEN HOLDINGS CO.,   LTD.
11031236Method for improving   surface of semiconductor device--
11031237Aromatic amino   siloxane functionalized materials for use in capping porous dielectricsMERCK PATENT GMBH
11031238Silicon carbide   stacked substrate and manufacturing method thereofHITACHI METALS, LTD.
11031239Germanium nanosheets   and methods of forming the same--
11031240Method for growing   gallium nitride based on graphene and magnetron sputtered aluminum nitrideXIDIAN UNIVERSITY
11031241Method of growing   doped group IV materialsAPPLIED MATERIALS,   INC.
11031242Methods for   depositing a boron doped silicon germanium filmASM IP HOLDING B.V.
11031244Modification of   SNO<sub>2 </sub>surface for EUV lithographyLAM RESEARCH   CORPORATION
11031245Tin oxide thin film   spacers in semiconductor device manufacturingLAN RESEARCH   CORPORATION
11031246EUV pattern transfer   with ion implantation and reduced impact of resist residueINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031247Method and apparatus   for depositing a monolayer on a three dimensional structureVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11031248Alternating hardmasks   for tight-pitch line formationTESSERA, INC.
11031249Electronic device   with a gate insulating film and a cap layer of silicon nitride having   crystallinitySUMITOMO CHEMICAL   COMPANY, LIMITED
11031250Semiconductor   structures of more uniform thicknessINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031251Self-aligned   planarization of low-k dielectrics and method for producing the sameGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11031252Heat shield for   chamber door and devices manufactured using same--
11031253Etching using an   electrolyzed chloride solutionIMEC VZW
11031254Method for   manufacturing a semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11031255Stack frame for   electrical connections and the method to fabricate thereof--
11031256Semiconductor device   with tiered pillar and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11031257Method for   manufacturing power device coolerNIPPON LIGHT METAL   COMPANY, LTD.
11031258Semiconductor   packages with patterns of die-specific informationMICRON TECHNOLOGY,   INC.
11031259Method of   manufacturing an electronic device and electronic device manufactured therebyAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11031260Hydrogen fluoride   vapor phase corrosion methodJIANGSU LEUVEN   INSTRUMENTS CO LTD
11031261Liquid processing   apparatusTOKYO ELECTRON   LIMITED
11031262Loadlock integrated   bevel etcher systemAPPLIED MATERIALS,   INC.
11031263Laser stripping   mass-transfer device and method for microdevices based on winding processHUAZHONG UNIVERSITY   OF SCIENCE AND TECHNOLOGY
11031264Semiconductor device   manufacturing system--
11031265Load port moduleBROOKS AUTOMATION,   INC.
11031266Wafer handling   equipment and method thereof--
11031267Method and system of   measuring air-tightness and container measured thereby--
11031268Device for in situ   thermal control and transfer of a monolayer or thin filmPURDUE RESEARCH   FOUNDATION
11031269Substrate transport   robot, substrate transport system, and substrate transport methodKAWASAKI JUKOGYO   KABUSHIKI KAISHA
11031270Substrate processing   apparatus, substrate holder and mounting toolKOKUSAI ELECTRIC   CORPORATION
11031271Heater system,   ceramic heater, plasma treatment system, and adsorption systemKYOCERA CORPORATION
11031272Micro device   electrostatic chuck with diffusion blocking layerMIKRO MESA TECHNOLOGY   CO., LTD.
11031273Physical vapor   deposition (PVD) electrostatic chuck with improved thermal coupling for   temperature sensitive processesAPPLIED MATERIALS,   INC.
11031274Semiconductor device   packages and method for manufacturing the same--
110312753D semiconductor   device and structure with memoryMONOLITHIC 3D INC.
11031276Wafer expanding   method and wafer expanding apparatusDISCO CORPORATION
11031277Processing apparatusDISCO CORPORATION
11031278Suction memberKYOCERA CORPORATION
11031279Semiconductor device   with reduced trench loading effect--
11031280Isolation regions   including two layers and method forming same--
11031281Semiconductor devices   and methods of fabricating a deep trench isolation structureGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11031282Three-dimensional   memory devices with deep isolation structuresYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11031283Trench isolation   interfacesMICRON TECHNOLOGY,   INC.
11031284Semiconductor device   and method of forming the same--
11031285Diffusion barrier   collar for interconnectsINVENSAS BONDING   TECHNOLOGIES, INC.
11031286Conductive feature   formation and structure--
11031287Fully self-aligned   via with selective bilayer dielectric regrowthTOKYO ELECTRON   LIMITED
11031288Passive components in   vias in a stacked integrated circuit packageINTEL CORPORATION
11031289Semiconductor package   and methods of forming the same--
11031290Semiconductor   structure with cutting depth control and method for fabricating the same--
11031291Semiconductor   structure and method of forming the same--
11031292Multi-gate device and   related methods--
11031293Method for   fabricating a semiconductor device--
11031294Semiconductor device   and a method for fabricating the same--
11031295Gate cap last for   self-aligned contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
110312963D vertical FET with   top and bottom gate contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031297Multiple gate length   vertical field-effect-transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031298Semiconductor device   and method--
11031299FinFET device with   different liners for PFET and NFET and method of fabricating thereof--
11031300Semiconductor   structure and method for manufacturing the same--
11031301Gate formation scheme   for n-type and p-type transistors having separately tuned threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031302High-k metal gate and   method for fabricating the same--
11031303Deep trench isolation   structure and method of making the same--
11031304Method of   manufacturing semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11031305Laterally adjacent   and diverse group III-N transistorsINTEL CORPORATION
11031306Quality control   method of position measurement light source, semiconductor manufacturing   apparatus, and method for manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
11031307Semiconductor   package, buffer wafer for semiconductor package, and method of manufacturing   semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11031308Connectivity   detection for wafer-to-wafer alignment and bondingSANDISK TECHNOLOGIES   LLC
11031310Chip packageQUALCOMM INCORPORATED
11031311Packaged   semiconductor device with multilayer stress bufferTEXAS INSTRUMENTS   INCORPORATED
11031314Spacer structure for   double-sided-cooled power module and method of manufacturing the sameHYUNDAI MOTOR COMPANY
11031317Direct bonded metal   substrates with encapsulated phase change materials and electronic assemblies   incorporating the sameTOYOTA MOTOR   ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
11031318Encapsulated phase   change porous layerTOYOTA JIDOSHA   KABUSHIKI KAISHA
11031319Thermal interface   materials with adhesive selant for electronic componentsHEWLETT-PACKARD   DEVELOPMENT COMPANY, L.P.
11031320Structures and   methods for reducing process charging damages--
11031321Semiconductor device   having a die pad with a dam-like configurationINFINEON TECHNOLOGIES   AG
11031325Low-stress   passivation layer--
11031326Wiring structure,   electronic device and method for manufacturing the same--
11031327Through vias and   methods of formation thereofINFINEON TECHNOLOGIES   AG
11031329Method of fabricating   packaging substrate--
11031330Electroconductive   substrate, electronic device and display deviceTDK CORPORATION
11031334Semiconductor device   including a conductive feature over an active region--
11031336Semiconductor memory   device having contact element of rectangular shape--
11031337Forming dual   metallization interconnect structures in single metallization levelINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031338Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11031339Metal interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031340Semiconductor device   including a multilayer etch stop layerSAMSUNG ELECTRONICS   CO., LTD.
11031342Semiconductor package   and method--
11031344Package having   redistribution layer structure with protective layer and method of   fabricating the same--
11031345Integrated circuit   package and method of forming sameMEDTRONIC, INC.
11031346Advanced wafer   security method including pattern and wafer verificationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031350Leadframe with pad   anchoring members and method of forming the sameSTMICROELECTRONICS,   INC.
11031351Method of   manufacturing a semiconductor device--
11031352Routing design of   dummy metal cap and redistribution line--
11031354Mixing organic   materials into hybrid packages--
11031357Semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11031358Overhang model for   reducing passivation stress and method for producing the sameMARVELL ASIA PTE,   LTD.
11031360Techniques for an   inductor at a second level interfaceINTEL CORPORATION
11031361Semiconductor bonding   structure and method of manufacturing the same--
110313623D-interconnectINVENSAS CORPORATION
11031369Apparatus for bond   wave propagation control--
11031370Semiconductor device   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11031375Semiconductor devices   having a conductive pillar and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11031379Stray inductance   reduction in packaged semiconductor devicesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11031385Standard cell for   removing routing interference between adjacent pins and device including the   sameSAMSUNG ELECTRONICS   CO., LTD.
11031387PN diodes and   connected group III-N devices and their methods of fabricationINTEL CORPORATION
11031388Semiconductor   structure and driving chipSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
11031389Semiconductor   structures over active region and methods of forming the structuresGLOBALFOUNDRIES U.S.   INC.
11031390Bidirectional switch   having back to back field effect transistorsALPHA AND OMEGA   SEMICONDUCTOR INCORPORATED
11031391Method for   manufacturing a FinFET device--
11031392Integrated circuit   device having a work function control layer with a step portion located on an   element isolation layerSAMSUNG ELECTRONICS   CO., LTD.
11031393III-V fins by aspect   ratio trapping and self-aligned etch to remove rough epitaxy surfaceINTERNATIONAL   BUSINESS MACHINES CORPORATION
110313943D semiconductor   device and structureMONOLITHIC 3D INC.
11031395Method of forming   high performance MOSFETs having varying channel structures--
11031396Spacer for dual epi   CMOS devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11031397Multi-gate device   integration with separated Fin-like field effect transistor cells and   gate-all-around transistor cells--
11031398Structure and method   for semiconductor device--
11031399Semiconductor device   and manufacturing method of the sameSONY CORPORATION
11031403Semiconductor device   and manufacturing method of semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11031406Semiconductor devices   having silicon/germanium active regions with different germanium   concentrationsGLOBALFOUNDRIES U.S.   INC.
11031408Semiconductor device   and method of producing semiconductor deviceLAPIS SEMICONDUCTOR   CO., LTD.
11031409Cell boundary   structure for embedded memory--
11031413Three-dimensional   memory devices and fabricating methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11031416Semiconductor storage   device and method for manufacturing semiconductor storage deviceTOSHIBA MEMORY   CORPORATION
11031418Integrated circuit   structure and method with hybrid orientation for FinFET--
11031429Semiconductor device,   solid-state image pickup element, image pickup device, and electronic   apparatusSONY CORPORATION
11031431Semiconductor device,   method of manufacturing semiconductor device, and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11031433Back-side illuminated   image sensorSTMICROELECTRONICS   (CROLLES) SAS
11031456Rolled-up   electromagnetic component for on-chip applications and method of making a   rolled-up electromagnetic componentTHE BOARD OF TRUSTEES   OF THE UNIVERSITY OF ILLINOIS
11031459Semiconductor device   including a capacitor and method of manufacturing semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11031461Manufacture of   robust, high-performance devicesGENESIC SEMICONDUCTOR   INC.
11031464Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11031465Semiconductor device   incorporating epitaxial layer field stop zoneALPHA AND OMEGA   SEMICONDUCTOR (CAYMAN) LTD.
11031466Method of forming   oxygen inserted Si-layers in power semiconductor devicesINFINEON TECHNOLOGIES   AUSTRIA AG
11031467Field effect   transistor based on vertically integrated gate-all-round multiple nanowire   channelsKOREA ADVANCED   INSTITUTE OF SCIENCE AND TECHNOLOGY
11031468Germanium nitride   layers on semiconductor structures, and methods for forming the same--
11031469Semiconductor device,   manufacturing method thereof, and electronic device including the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11031475Self-standing GaN   substrate, GaN crystal, method for producing GaN single crystal, and method   for producing semiconductor deviceMITSUBISHI CHEMICAL   CORPORATION
11031476Semiconductor device   and method for fabricating the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11031477Method for   fabricating semiconductor structure--
11031478Semiconductor device   having body contacts with dielectric spacers and corresponding methods of   manufactureINFINEON TECHNOLOGIES   AUSTRIA AG
11031480Semiconductor device,   comprising an insulated gate field effect transistor connected in series with   a field effect transistorK. EKLUND INNOVATION
11031481Semiconductor device   and method of manufacturing the same--
11031482Gate electrode having   a capping layerINTEL CORPORATION
11031483Forming semiconductor   devices in silicon carbideINFINEON TECHNOLOGIES   AG
11031484Silicided gate   structuresGLOBALFOUNDRIES U.S.   INC.
11031486Semiconductor device   and methods of manufacture--
11031487Contact over active   gate structures for advanced integrated circuit structure fabricationINTEL CORPORATION
11031488Semiconductor device   structure with barrier layer and method for forming the same--
11031489Semiconductor device--
11031490Fabrication of field   effect transistors with ferroelectric materials--
11031492Semiconductor   structure comprising III-N materialEXAGAN
11031494Silicon carbide   semiconductor device having a gate electrode formed in a trench structureINFINEON TECHNOLOGIES   AG
11031496MOSFET and   manufacturing method thereof--
11031497Semiconductor device   and method for manufacturing the sameSILERGY SEMICONDUCTOR   TECHNOLOGY (HANGZHOU) LTD
11031498Semiconductor   structure with improved source drain epitaxy--
11031500Gate resistance   improvement and method thereof--
11031501Isolation structure   having different distances to adjacent FinFET devices--
11031503Non-planar gate thin   film transistorINTEL CORPORATION
11031505Transistor and its   manufacturing processX-FAB FRANCE
11031506Semiconductor device   including transistor using oxide semiconductorSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11031507Semiconductor device   and method of manufactureCOMPTEK SOLUTIONS OY
11031508Semiconductor device   with treated interfacial layer on silicon germanium--
11031509Memory device and   manufacturing method thereof--
11031510Impact ionization   semiconductor device and manufacturing method thereof--
11031524Optoelectronic   component having a layer with lateral offset inclined side surfacesOSRAM OLED GMBH
11031546Method of integration   of a magnetoresistive structureEVERSPIN   TECHNOLOGIES, INC.
11031552PCM RF switch with   PCM contacts having slot lower portionsNEWPORT FAB, LLC
11031554Method for   manufacturing a pass-through deviceCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11031556Systems and methods   for phase change material based thermal assessment--
11031675Antenna moduleSAMSUNG   ELECTRO-MECHANICS CO. LTD.
11031699Antenna with graded   dielectirc and method of making the sameINTEL IP CORPORATION
11031833Solid-state imaging   device, method of manufacturing solid-state imaging device, and electronic   apparatusSONY CORPORATION
11031883Method of   manufacturing actuatorHONDA MOTOR CO., LTD.
11031907Method and system for   monitoring the quality of photovoltaic cellsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11032472Image-capturing   device and image-capturing method--
11032629Microelectromechanical   microphoneSTMICROELECTRONICS   S.R.L.
11032911Embedded component   package structure and manufacturing method thereof--
11032915Single-layer circuit   board, multi-layer circuit board, and manufacturing methods thereforRICHVIEW ELECTRONICS   CO., LTD.
11032942Structure for a heat   transfer interface and method of manufacturing the sameALCATEL LUCENT
11032944Crushable heat sink   for electronic devicesINTEL CORPORATION
11032945Heat shield assembly   for an epitaxy chamberAPPLIED MATERIALS,   INC.
11033862Method of   manufacturing partially freestanding two-dimensional crystal film and device   comprising such a filmKONINKLIJKE PHILIPS   N.V.
11033929Application methodSCREEN HOLDINGS CO.,   LTD.
11033930Methods and apparatus   for cryogenic gas stream assisted SAM-based selective depositionAPPLIED MATERIALS,   INC.
11034057Planarization   process, apparatus and method of manufacturing an articleCANON KABUSHIKI   KAISHA
11034058Method for   manufacturing silicon carbide substrate, method for manufacturing silicon   carbide epitaxial substrate, and method for manufacturing silicon carbide   semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11034176Gas enclosure   assembly and systemKATEEVA, INC.
11034590BaSnO<sub>3   </sub>thin flim and low-temperature preparation method thereforKOREA RESEARCH   INSTITUTE OF CHEMICAL TECHNOLOGY
11034847Hardmask composition,   method of forming pattern using hardmask composition, and hardmask formed   from hardmask compositionSAMSUNG ELECTRONICS   CO., LTD.
11034859Barrier ruthenium   chemical mechanical polishing slurryFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
11034860Polishing agent,   stock solution for polishing agent, and polishing methodSHOWA DENKO MATERIALS   CO., LTD.
11034861Polishing   compositions containing charged abrasiveFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
11034862Polishing composition   and method utilizing abrasive particles treated with an aminosilaneCMC MATERIALS, INC.
11034864Adhesive film having   adhesive resin layers and method of manufacturing electronic apparatus using   the adhesive filmMITSUI CHEMICALS   TOHCELLO, INC.
11035034Film formation   method, vacuum processing apparatus, method of manufacturing semiconductor   light emitting element, semiconductor light emitting element, method of   manufacturing semiconductor electronic element, semiconductor electronic   element, and illuminating apparatusCANON ANELVA   CORPORATION
11035037Substrate processing   apparatus and metal memberKOKUSAI ELECTRIC   CORPORATION
11035039Compositions and   methods for depositing silicon nitride filmsVERSUM MATERIALS US,   LLC
11035048Cobalt filling of   interconnectsMACDERMID ENTHONE   INC.
11035055Group 13 nitride   layer, composite substrate, and functional elementNGK INSULATORS, LTD.
11035625Adjustable heat sink   fin spacingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11035666Inspection-guided   critical site selection for critical dimension measurementKLA-TENCOR   CORPORATION
11035709CMOS thermal fluid   flow sensing device employing a flow sensor and a pressure sensor on a single   membraneCAMBRIDGE ENTERPRISE   LIMITED
11035721Substrate processing   apparatus, vibration detection system and non-transitory computer-readable   recording mediumKOKUSAI ELECTRIC   CORPORATION
11035804System and method for   x-ray imaging and classification of volume defectsKLA CORPORATION
11036002Photonic   communication platformLIGHTMATTER, INC.
11036125Substrate positioning   apparatus and methodsAPPLIED MATERIALS,   INC.
11036129Photomask and method   for forming the same--
11036137Method for forming   semiconductor structure--
11036138Substrate processing   apparatus, substrate processing method, and computer-readable recording   mediumTOKYO ELECTRON   LIMITED
11036139Sacrificial film   forming method, substrate treatment method, and substrate treatment deviceSCREEN HOLDINGS CO.,   LTD.
11036142Substrate processing   method and substrate processing deviceSCREEN HOLDINGS CO.,   LTD.
11036202Real-time health   monitoring of semiconductor manufacturing equipmentLAM RESEARCH   CORPORATION
11037756Precision substrate   material multi-processing using miniature-column charged particle beam arrays--
11037760Temperature   controller, temperature measurer, and plasma processing apparatus including   the sameSAMSUNG ELECTRONICS   CO., LTD.
11037765Resonant structure   for electron cyclotron resonant (ECR) plasma ionizationTOKYO ELECTRON   LIMITED
11037766Substrate support   apparatus and plasma processing apparatus having the sameSAMSUNG ELECTRONICS   CO., LTD.
11037767Substrate support,   substrate processing apparatus, substrate processing system, and method of   detecting erosion of adhesive in substrate supportTOKYO ELECTRON   LIMITED
11037768Methods and apparatus   for controlling ion fraction in physical vapor deposition processesAPPLIED MATERIALS,   INC.
11037779Gas residue removalMICRON TECHNOLOGY,   INC.
11037780Method for   manufacturing semiconductor device with helium-containing gasASM IP HOLDING B.V.
11037781Device and method for   high pressure anneal--
11037782Heterostructure   semiconductor device and manufacturing methodCOMPTEK SOLUTIONS OY
11037783Field effect   transistor using transition metal dichalcogenide and a method for forming the   same--
11037784Amorphous carbon   layer opening processLAM RESEARCH   CORPORATION
11037785Method for   fabricating pattern of cured product and methods for manufacturing optical   component, circuit board and quartz mold replica as well as coating material   for imprint pretreatment and cured product thereofCANON KABUSHIKI   KAISHA
11037786Patterning material   film stack with metal-containing top coat for enhanced sensitivity in extreme   ultraviolet (EUV) lithographyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037787Method of   semiconductor device fabrication--
11037788Integration of device   regionsAPPLIED MATERIALS,   INC.
11037789Cut last self-aligned   litho-etch patterning--
11037790Pressurizing device   and pressurizing methodNIKKISO CO., LTD.
11037791Substrate holder, a   method for holding a substrate with a substrate holder, and a plating   apparatusEBARA CORPORATION
11037792Semiconductor   structure etching solution and method for fabricating a semiconductor   structure using the same etching solution--
11037793Method of plasma   etchingSPTS TECHNOLOGIES   LIMITED
11037794Methods for   multiple-patterning nanosphere lithography for fabrication of periodic   three-dimensional hierarchical nanostructuresTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
11037795Planarization of   dielectric topography and stopping in dielectricINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037796Manufacturing method   of semiconductor device structure--
11037797Arrays of   elevationally-extending strings of memory cells and methods used in forming   an array of elevationally-extending strings of memory cellsMICRON TECHNOLOGY,   INC.
11037798Self-limiting cyclic   etch method for carbon-based filmsTOKYO ELECTRON   LIMITED
11037799Metal heterojunction   structure with capping metal layer--
11037800Patterning methodsMICRON TECHNOLOGY,   INC.
11037801Fabrication methods   of patterned metal film layer, thin film transistor and display substrateBOE TECHNOLOGY GROUP   CO., LTD.
11037802Package substrate   having copper alloy sputter seed layer and high density interconnectsINTEL CORPORATION
11037803Method for making   redistribution circuit structureCENTURY TECHNOLOGY   (SHENZHEN) CORPORATION LIMITED
11037804Methods and apparatus   for cleaning substratesACM RESEARCH, INC.
11037805Wafer cleaning   apparatus and method of cleaning wafer--
11037806Plasma processing   method, plasma processing apparatus and method of manufacturing semiconductor   device using the apparatusSAMSUNG ELECTRONICS   CO., LTD.
11037807Apparatus for   treating substrateSEMES CO., LTD.
11037808Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11037809Transfer device and   method for transferring substrate without unexpected rotation--
11037810Teaching methodTOKYO ELECTRON   LIMITED
11037811Electrostatic chuck   and semiconductor/liquid crystal manufacturing equipmentSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11037812Method for a transfer   print between substratesX-FAB SEMICONDUCTOR   FOUNDRIES GMBH
11037813Wafer processing   methodDISCO CORPORATION
11037814Wafer processing   method using a ring frame with a polyester sheet with no adhesive layerDISCO CORPORATION
11037815Dechuck control   method and plasma processing apparatusTOKYO ELECTRON   LIMITED
11037816Transistor device   with sinker contacts and methods for manufacturing the sameTEXAS INSTRUMENTS   INCORPORATED
11037817Apparatus with   multi-wafer based device and method for forming suchINTEL CORPORATION
11037818Semiconductor   structure having epitaxial structure and method for forming the same--
11037819Wafer level chip   scale packaging intermediate structure apparatus and method--
11037820Method for forming   vias and method for forming contacts in vias--
11037821Multiple patterning   with self-alignment provided by spacersGLOBALFOUNDRIES U.S.   INC.
11037822Svia using a single   damascene interconnectINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037823Method of   manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
11037824Semiconductor device   and method for manufacturing the same--
11037825Selective removal   process to create high aspect ratio fully self-aligned viaMICROMATERIALS LLC
11037826Semiconductor device   having merged epitaxial features with arc-like bottom surface and method of   making the same--
11037827Asymmetric   source/drain epitaxy--
11037828Method of   manufacturing a semiconductor device and a semiconductor device--
11037829Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11037830Method of   manufacturing semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11037831Gate structure and   method--
11037832Threshold voltage   adjustment by inner spacer material selectionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037833Fabrication method of   semiconductor device with spacer trimming process--
11037834Simple contact over   gate on active areaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037835Isolation   manufacturing method for semiconductor structures--
11037836Semiconductor device   and transistor thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11037837Epitaxial   source/drain and methods of forming same--
11037838In-situ integrated   chambersAPPLIED MATERIALS,   INC.
11037839Integrated structure   and manufacturing method thereofROCKLEY PHOTONICS   LIMITED
11037840Method of   manufacturing semiconductor apparatus including measuring a film thickness of   an SOG filmMITSUBISHI ELECTRIC   CORPORATION
11037841Light emitting diode   (LED) test apparatus and method of manufactureAPPLE INC.
11037842Semiconductor device   with inspection patternsSAMSUNG ELECTRONICS   CO., LTD.
11037843Apparatuses and   methods for TSV resistance and short measurement in a stacked deviceMICRON TECHNOLOGY,   INC.
11037844Power semiconductor   device and method of manufacturing the same, and power conversion deviceMITSUBISHI ELECTRIC   CORPORATION
11037846Semiconductor package   structure and method of manufacturing the same--
11037847Method of   manufacturing semiconductor module and semiconductor moduleRENESAS ELECTRONICS   CORPORATION
11037848Semiconductor module   and semiconductor module manufacturing methodFUJI ELECTRIC CO.,   LTD.
11037849Semiconductor   structure and manufacturing method thereof--
11037850Passivation structure   and methods of manufacturing a semiconductor device including the sameSAMSUNG ELECTRONICS   CO., LTD.
11037851Nitrogen-rich silicon   nitride films for thin film transistorsAPPLIED MATERIALS,   INC.
11037853Semiconductor package   structure and method of manufacturing the same--
11037854Thermal dissipation   through seal rings in 3DIC structure--
11037856Semiconductor chip   package comprising a leadframe connected to a substrate and a semiconductor   chip, and a method for fabricating the sameINFINEON TECHNOLOGIES   AG
11037858Semiconductor module,   method for manufacturing the same, and power conversion deviceMITSUBISHI ELECTRIC   CORPORATION
11037860Multi layer thermal   interface materialINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037861Interconnect   structure for package-on-package devices--
11037863Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11037864Lead frame for   improving adhesive fillets on semiconductor die cornersSTMICROELECTRONICS,   INC.
11037865Semiconductor with   external electrodeROHM CO., LTD.
11037866Semiconductor device   and method of manufacturing the sameABLIC INC.
11037868Semiconductor device   package and method of manufacturing the same--
11037869Package structure and   preparation method thereof--
11037870Electronic module,   lead frame and manufacturing method for electronic moduleSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
11037872Semiconductor devices   and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11037873Hermetic barrier for   semiconductor deviceMARVELL GOVERNMENT   SOLUTIONS, LLC.
11037875Forming dual   metallization interconnect structures in single metallization levelINTERNATIONAL   BUSINESS MACHINES CORPORATION
11037877Package structure and   method of manufacturing the same--
11037878Semiconductor device   with EMI protection liners and method for fabricating the same--
11037880Semiconductor package   and antenna module including the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
11037881Component carrier   with face-up and face-down embedded componentsAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11037882Overlay mark--
11037883Regulator circuit   package techniquesANALOG DEVICES   INTERNATIONAL UNLIMITED COMPANY
11037885Semiconductor   packaging device comprising a shield structure--
11037886Semiconductor   structure of work unit module--
11037888Nitride-based   electronic device and method for manufacturing sameWAVICE INC.
11037891Device package--
11037898Semiconductor device   package and method for manufacturing the same--
11037899Package structures   and methods of forming the same--
11037900Chip bonding device   and bonding method thereofSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
11037901Semiconductor element   bonding apparatus and semiconductor element bonding methodTOYOTA JIDOSHA   KABUSHIKI KAISHA
11037903Plasma etch   singulated semiconductor packages and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11037904Singulation and   bonding methods and structures formed thereby--
11037905Formation of stacked   vertical transport field effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
110379063D IC package with   RDL interposer and related methodGLOBALFOUNDRIES U.S.   INC.
11037907Semiconductor package   and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11037908Bonded die assembly   containing partially filled through-substrate via structures and methods for   making the sameSANDISK TECHNOLOGIES   LLC
11037919Techniques for   processing devicesINVENSAS BONDING   TECHNOLOGIES, INC.
11037923Through gate fin   isolationINTEL CORPORATION
11037924Method for forming   source/drain contacts--
11037925Structure and method   of integrated circuit having decouple capacitance--
11037926Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11037927Circuit device and   electronic apparatusSEIKO EPSON   CORPORATION
11037928Methods and   apparatuses including an active area of a tap intersected by a boundary of a   wellMICRON TECHNOLOGY,   INC.
11037932Semiconductor   arrangement having capacitor separated from active region--
11037936Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11037937SRAM bit cells formed   with dummy structuresGLOBALFOUNDRIES U.S.   INC.
11037940Integrated circuit   constructions comprising memory and methods used in the formation of   integrated circuitry comprising memoryMICRON TECHNOLOGY,   INC.
11037941Method for forming an   integrated circuit and an integrated circuit--
11037943Three-dimensional   memory device having on-pitch drain select gate electrodes and method of   making the sameSANDISK TECHNOLOGIES   LLC
11037944Memory arrays and   methods used in forming a memory array comprising strings of memory cells and   operative through-array-viasMICRON TECHNOLOGY,   INC.
11037947Array of pillars   located in a uniform pattern--
11037948Semiconductor storage   device and method for manufacturing semiconductor storage deviceTOSHIBA MEMORY   CORPORATION
11037949Embedded memory using   SOI structures and methods--
11037950Semiconductor memory   device, semiconductor device, and method of manufacturing semiconductor   deviceSAMSUNG ELECTRONICS   CO., LTD.
11037951Void formation in   charge trap structuresMICRON TECHNOLOGY,   INC.
11037952Peripheral circuitry   under array memory device and method of fabricating thereof--
11037953Semiconductor device   and method of manufacturing the sameSK HYNIX INC.
11037954Three dimensional   flash memory element with middle source-drain line and manufacturing method   thereofSAMSUNG ELECTRONICS   CO., LTD.
11037955Semiconductor device   and manufacturing method thereofSK HYNIX INC.
11037956Integrated assemblies   having charge-trapping material arranged in vertically-spaced segments, and   methods of forming integrated assembliesMICRON TECHNOLOGY,   INC.
11037959Method of producing   array substrate, array substrate, and display apparatusBOE TECHNOLOGY GROUP   CO., LTD.
11037963Thin film transistor   and method for manufacturing the same, and display device including the sameLG DISPLAY CO., LTD.
11038001Active matrix   substrate and method for producing sameSHARP KABUSHIKI   KAISHA
11038010Capacitor structure   and method of making the same--
11038011Metal-insulator-metal   capacitors including nanofibersGLOBALFOUNDRIES U.S.   INC.
11038012Capacitor device and   manufacturing method therefor--
11038013Back-end-of-line   compatible metal-insulator-metal on-chip decoupling capacitorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038014Semiconductor device   having plug and metal line--
11038015Non-planar field   effect transistor devices with low-resistance metallic gate structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038017Bipolar-transistor   device and corresponding fabrication processSTMICROELECTRONICS   (CROLLES 2) SAS
11038019Power semiconductor   device and method for manufacturing the sameMAGNACHIP   SEMICONDUCTOR, LTD.
11038020Silicon carbide   semiconductor device and method of manufacturing a silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11038023III-nitride material   semiconductor structures on conductive silicon substratesMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
11038024Self-standing GaN   substrate, GaN crystal, method for producing GaN single crystal, and method   for producing semiconductor deviceMITSUBISHI CHEMICAL   CORPORATION
11038026Crystalline   multilayer structure and semiconductor deviceFLOSFIA INC.
11038028Semiconductor device   and manufacturing methodINFINEON TECHNOLOGIES   AG
11038029Semiconductor device   structure and method for forming the same--
11038030Transistor having low   capacitance field plate structureRAYTHEON COMPANY
11038032Method for   auto-aligned manufacturing of a VDMOS transistor, and auto-aligned VDMOS   transistorSTMICROELECTRONICS   S.R.L.
11038033Integrated circuitsHEWLETT-PACKARD   DEVELOPMENT COMPANY, L.P.
11038034Method and related   apparatus for integrating electronic memory in an integrated chip--
11038036Separate epitaxy   layers for nanowire stack GAA device--
11038038Transistors and   methods of forming transistorsMICRON TECHNOLOGY,   INC.
11038039Method of forming a   semiconductor deviceIMEC VZW
11038040Fin field effect   transistor devices with robust gate isolationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038041Composite spacer   enabling uniform doping in recessed fin devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038042Forming gate last   vertical FET with self-aligned spacers and junctionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038043Semiconductor device   and manufacturing method thereof--
11038044Semiconductor device   and manufacturing method thereof--
11038046High electron   mobility transistor with trench isolation structure capable of applying   stress and method of manufacturing the same--
11038048Gallium   nitride-on-silicon devices--
11038050Semiconductor device   and method of manufacturing the sameROHM CO., LTD.
11038052Semiconductor   arrangement with one or more semiconductor columns--
11038053Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11038054Asymmetric source and   drain structures in semiconductor devices--
11038055Method and structure   of improving contact resistance for passive and long channel devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038057Semiconductor device   with high-quality epitaxial layer and method of manufacturing the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11038058Semiconductor device   structure and method for forming the same--
11038059Semiconductor device   and method of forming the same--
11038060Semiconductor device   with embedded sigma-shaped structure and method for preparing the same--
11038061Semiconductor device   structure and method for forming the same--
11038063Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11038065Semiconductor device,   manufacturing method of the semiconductor device, or display device including   the semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11038081Method of separating   light emitting devices formed on a substrate waferLUMILEDS LLC
11038082Method of separating   light emitting devices formed on a substrate waferLUMILEDS LLC
11038085Light-emitting device   with a plurality of electrodes on a semiconductor stack--
11038086Semiconductor   light-emitting element and manufacturing method thereforSEMICON LIGHT CO.,   LTD.
11038088Light emitting diode   package--
11038093Wirebond cross-talk   reduction for quantum computing chipsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11038095Systems and methods   for fabrication of superconducting integrated circuitsD-WAVE SYSTEMS INC.
11038110Method for   manufacturing electronic device and method for removing impurity using sameEWHA   UNIVERSITY&#X2014;INDUSTRY COLLABORATION FOUNDATION
11038701Method for securing   an integrated circuit during fabricationCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11039532Printed circuit board   and manufacturing method thereofSAMSUNG ELECTRONICS   CO., LTD.
11040415Pulse train annealing   method and apparatusAPPLIED MATERIALS,   INC.
11040837Method for   manufacturing display deviceSAMSUNG DISPLAY CO.,   LTD.
11040988Method for producing   an organic electronic component, and organic electronic componentNOVALED GMBH
11040989Tris(disilanyl)amineJIANGSU NATA   OPTO-ELECTRONIC MATERIALS CO., LTD.
11041087CoatingsP2I LTD
11041096Polishing agent,   polishing method, and liquid additive for polishingAGC INC.
11041236Method for direct   patterned growth of atomic layer metal dichalcogenides with pre-defined widthHONDA MOTOR CO., LTD.
11041239Film forming method   for SiC filmTOKYO ELECTRON   LIMITED
11041240Substrate processing   apparatus, method of manufacturing semiconductor device, and recording mediumHITACHI KOKUSAI   ELECTRIC, INC.
11041241Plasma processing   apparatus and temperature control methodTOKYO ELECTRON   LIMITED
11041242Gas shower head with   plural hole patterns and with corresponding different plural hole densities   and film formation method--
11041253Silicon wafers by   epitaxial depositionSVAGOS TECHNIK, INC.
11041254Chamfered silicon   carbide substrate and method of chamferingSICRYSTAL GMBH
11041567Elastomeric sealPRECISION POLYMER   ENGINEERING LTD.
11041766Bonded assembly with   integrated temperature sensing in bond layerWATLOW ELECTRIC   MANUFACTURING COMPANY
11042084Photomask including   fiducial mark, method of patterning the photomask and method of making   semiconductor device using the photomask--
11042085Pellicle film,   pellicle frame, pellicle, method for producing same, original plate for light   exposure, light exposure apparatus and method for manufacturing semiconductor   deviceMITSUI CHEMICALS,   INC.
11042091Spin-on inorganic   oxide containing composition useful as hard masks and filling materials with   improved thermal stabilityMERCK PATENT GMBH
11042140Adaptive control for   a power generatorMKS INSTRUMENTS, INC.
11042148System and method for   scheduling semiconductor lot to fabrication tool--
11042981Methods and systems   for printed circuit board design based on automatic correctionsSVXR, INC.
11043151Surface treated   abrasive particles for tungsten buff applicationsCMC MATERIALS, INC.
11043251Magnetic tunnel   junction device and method of forming same--
11043285Bioinformatics   systems, apparatus, and methods executed on an integrated circuit processing   platformEDICO GENOME   CORPORATION
11043361Symmetric VHF source   for a plasma reactorAPPLIED MATERIALS,   INC.
11043372High-density low   temperature carbon films for hardmask and other patterning applicationsAPPLIED MATERIALS,   INC.
11043373Interconnect system   with improved low-k dielectrics--
11043374Silacycloalkane   compounds and methods for depositing silicon containing films using sameVERSUM MATERIALS US,   LLC
11043375Plasma deposition of   carbon hardmaskAPPLIED MATERIALS,   INC.
11043376Semiconductor device   and method--
11043377Method of   manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
11043378Systems and methods   for inhibiting detectivity, metal particle contamination, and film growth on   wafersTOKYO ELECTRON   LIMITED
11043379Conformal carbon film   depositionAPPLIED MATERIALS,   INC.
11043380Techniques to   engineer nanoscale patterned features using ionsVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11043381Directional   patterning method--
11043382Diamond semiconductor   system and methodAKHAN SEMICONDUCTOR,   INC.
11043383Electrical contact   connection on silicon carbide substrateINFINEON TECHNOLOGIES   AG
11043384Method of   manufacturing a semiconductor device by using ion beam techniqueINFINEON TECHNOLOGIES   AG
11043385Semiconductor device   and method of forming the same--
11043386Enhanced spatial ALD   of metals through controlled precursor mixingAPPLIED MATERIALS,   INC.
11043387Methods and apparatus   for processing a substrateAPPLIED MATERIALS,   INC.
11043388Integrated circuit   fabrication system with adjustable gas injector and method utilizing the same--
11043389Substrate processing   methodTOKYO ELECTRON   LIMITED
11043390Mask for protecting a   semiconductor material for localized etching applicationsCENTRE NATIONAL DE LA   RECHERCHE SCIENTIFIQUE
11043391Etching method and   etching processing apparatusTOKYO ELECTRON   LIMITED
11043392Method of   manufacturing semiconductor device, substrate processing apparatus and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11043393Ozone treatment for   selective silicon nitride etch over siliconMATTSON TECHNOLOGY,   INC.
11043394Techniques and   apparatus for selective shaping of mask features using angled beamsAPPLIED MATERIALS,   INC.
11043395Methods for   processing semiconductor wafers having a polycrystalline finish--
11043396Chemical mechanical   polish slurry and method of manufacture--
11043397Method of forming a   pattern and method of manufacturing a semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11043398Substrate processing   deviceSCREEN HOLDINGS CO.,   LTD.
11043399Substrate processing   apparatus and operation method of substrate processing apparatusTOKYO ELECTRON   LIMITED
11043400Movable and removable   process kitAPPLIED MATERIALS,   INC.
11043401Ceramic memberNGK SPARK PLUG CO.,   LTD.
11043402Cooling unit, heat   insulating structure, and substrate processing apparatusKOKUSAI ELECTRIC   CORPORATION
11043403Substrate support   unit and substrate processing apparatus having the same including reflective   member configured to reflect light toward substrateSEMES CO., LTD.
11043404Method for PUF   generation using variations in transistor threshold voltage and subthreshold   leakage current--
11043405Particle reduction in   semiconductor fabrication--
11043406Two piece shutter   disk assembly with self-centering featureAPPLIED MATERIALS,   INC.
11043407Planarization   process, apparatus and method of manufacturing an articleCANON KABUSHIKI   KAISHA
11043408Method of forming   FinFET devices with embedded air gaps--
11043409Method of forming   contacts to an embedded semiconductor die and related semiconductor packagesINFINEON TECHNOLOGIES   AG
11043410Packages with   through-vias having tapered ends--
11043411Integration of air   spacer with self-aligned contact in transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043412Methods of forming   microelectronic devices, and related microelectronic devices and electronic   systemsMICRON TECHNOLOGY,   INC.
11043413Barrier layer   formation for conductive feature--
11043414Microelectronic   devices with conductive contacts to silicide regions, and related devicesMICRON TECHNOLOGY,   INC.
11043415Enhanced cobalt   agglomeration resistance and gap-fill performance by ruthenium dopingAPPLIED MATERIALS,   INC.
11043416Gradient atomic layer   deposition--
11043417Line structure for   fan-out circuit and manufacturing method thereof, and photomask pattern for   fan-out circuit--
11043418Middle of the line   self-aligned direct pattern contactsGLOBALFOUNDRIES U.S.   INC.
11043419Semiconductor device   and manufacturing method thereofTOSHIBA MEMORY   CORPORATION
11043420Fan-out wafer level   packaging of semiconductor devicesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11043421Wafer processing   methodDISCO CORPORATION
11043422Jet ablation die   singulation systems and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11043423Threshold voltage   adjustment for a gate-all-around semiconductor structure--
11043424Increase the volume   of epitaxy regions--
11043425Methods of reducing   parasitic capacitance in semiconductor devices--
11043426Dummy MOL removal for   performance enhancement--
11043427Method of manufacture   of a FinFET device--
11043428Method for designing   layout of semiconductor device and method for manufacturing semiconductor   device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11043429Semiconductor fins   with dielectric isolation at fin bottomINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043430Semiconductor device   having work-function metal and method of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
11043431Integrated circuit   devices with well regions--
11043432Radio-frequency   switching devices having improved voltage handling capabilitySKYWORKS SOLUTIONS,   INC.
11043433Method of inspecting   surface and method of manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11043434Method of   manufacturing a semiconductor deviceABLIC INC.
11043435Semiconductor die   with hybrid wire bond padsINNOGRIT TECHNOLOGIES   CO., LTD.
11043436Semiconductor device,   manufacturing method, imaging device, and electronic apparatus for enabling   component mounting with high flatnessSONY CORPORATION
11043437Transparent substrate   with light blocking edge exclusion zoneAPPLIED MATERIALS,   INC.
11043441Fan-out semiconductor   packageSAMSUNG ELECTRONICS   CO., LTD.
11043445Semiconductor device   having a through silicon via and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11043446Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11043448Semiconductor device   with vertically separated openings and manufacturing method thereofWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11043450Anti-fuse structure   and method for fabricating same, as well as semiconductor deviceCHANGXIN MEMORY   TECHNOLOGIES, INC.
11043451Electrical fuse   and/or resistor structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043453Method of preventing   pattern collapse--
11043454Low resistivity   interconnects with doped barrier layer for integrated circuitsSAMSUNG ELECTRONICS   CO., LTD.
11043455Three-dimensional   memory device including self-aligned dielectric isolation regions for   connection via structures and method of making the sameSANDISK TECHNOLOGIES   LLC
11043457Embedded multi-die   interconnect bridge packages with lithotgraphically formed bumps and methods   of assembling sameINTEL CORPORATION
11043458Method of   manufacturing an electronic device comprising a conductive pad on a   protruding-through electrodeAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11043460Measurement method of   overlay mark structure--
11043462Solderless   interconnection structure and method of forming same--
11043463Interconnect   structures and methods of forming same--
11043464Semiconductor device   having upper and lower redistribution layersAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11043467Flip chip backside   die grounding techniquesTEXAS INSTRUMENTS   INCORPORATED
11043469Method of forming   three dimensional semiconductor structure--
11043470Inductor design in   active 3D stacking technologyXILINX, INC.
11043471Mixed-orientation   multi-die integrated circuit package with at least one vertically-mounted dieMICROCHIP TECHNOLOGY   INCORPORATED
11043473Integrated circuit   including a first semiconductor wafer and a second semiconductor wafer,   semiconductor device including a first semiconductor wafer and a second   semiconductor wafer and method of manufacturing same--
11043476Displaying apparatus   having light emitting device, method of manufacturing the same and method of   transferring light emitting deviceSEOUL SEMICONDUCTOR   CO., LTD.
11043477Power converter   monolithically integrating transistors, carrier, and componentsTEXAS INSTRUMENTS   INCORPORATED
11043478Integrated circuit   bridge for photonics and electrical chip integrationCISCO TECHNOLOGY,   INC.
11043480Forming and/or   configuring stacked diesXILINX, INC.
11043481Method of   manufacturing semiconductor package structure--
11043482Semiconductor   component, package structure and manufacturing method thereof--
11043483Semiconductor device   in a level shifter with electrostatic discharge (ESD) protection circuit and   semiconductor chipMAGNACHIP   SEMICONDUCTOR, LTD.
11043489Semiconductor device   and manufacturing method thereof--
11043490Semiconductor device   having a dielectric dummy gate--
11043491Semiconductor device   and a method for fabricating the same--
11043492Self-aligned gate   edge trigate and finFET devicesINTEL CORPORATION
11043493Stacked nanosheet   complementary metal oxide semiconductor field effect transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043494Structure and method   for equal substrate to channel height between N and P fin-FETsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043495Integrated circuit   semiconductor device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11043496Thin film transistors   and related fabrication techniquesMICRON TECHNOLOGY,   INC.
11043501Embedded SRAM and   methods of forming the same--
11043504Method for   fabricating word lines of NAND memory and NAND memory comprising word lines   fabricated by adopting the sameSHANGHAI HUALI   MICROELECTRONICS CORPORATION
11043505Three-dimensional   memory device having multi-deck structure and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11043515Display substrate,   manufacturing method thereof, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11043517Semiconductor crystal   substrate, infrared detector, method for producing semiconductor crystal   substrate, and method for producing infrared detectorFUJITSU LIMITED
11043519Image capturing   apparatus comprising silicon nitride layer of different chlorine   concentration, camera, and method of manufacturing image capturing apparatusCANON KABUSHIKI   KAISHA
11043522Stacked substrate   structure with inter-tier interconnection--
11043523Multilevel   semiconductor device and structure with image sensorsMONOLITHIC 3D INC.
11043524Device-bonded body,   image pickup module, endoscope and method for manufacturing device-bonded   bodyOLYMPUS CORPORATION
11043530Light-emitting   component having light-absorbing layer, light-emitting device, and image   forming apparatusFUJIFILM BUSINESS   INNOVATION CORP.
11043533Switch and method for   fabricating the same, and resistive memory cell and electronic device,   including the sameSK HYNIX INC.
11043553Integrated circuit   deviceSAMSUNG ELECTRONICS   CO., LTD.
11043555Semiconductor device   and manufacturing method of the sameFUJI ELECTRIC CO.,   LTD.
11043556Local epitaxy   nanofilms for nanowire stack GAA device--
11043558Source/drain metal   contact and formation thereof--
11043559Method for   manufacturing semiconductor device--
11043560Silicon carbide   semiconductor component comprising trench gate structures and shielding   regionsINFINEON TECHNOLOGIES   AG
11043561Multi-gate device and   method of fabrication thereof--
11043565Three-dimensional   memory device with source contacts connected by an adhesion layer and methods   for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11043566Semiconductor   structures in a wide gate pitch region of semiconductor devicesGLOBALFOUNDRIES U.S.   INC.
11043567Semiconductor device   and manufacturing method thereof--
11043568Semiconductor devices   having gate structures with skirt regionsSAMSUNG ELECTRONICS   CO., LTD.
11043570Semiconductor device   and manufacturing method thereof--
11043571Insulated gate field   effect transistor having passivated schottky barriers to the channelACORN SEMI, LLC
11043572Metal gate structure   and methods thereof--
11043573Method of fabricating   tantalum nitride barrier layer and semiconductor device thereof--
11043574Method of   manufacturing a HEMT device with reduced gate leakage current, and HEMT   deviceSTMICROELECTRONICS   S.R.L.
11043576FinFET device and   method--
11043577Semiconductor device   and method of manufacturing the same--
11043578Nanowire stack GAA   device with inner spacer--
11043579Method for   manufacturing semiconductor device--
11043580Method of   manufacturing semiconductor devices--
11043581Nanosheet   channel-to-source and drain isolationTESSERA, INC.
11043586Semiconductor device,   method for manufacturing semiconductor device, inverter circuit, drive   device, vehicle, and elevatorKABUSHIKI KAISHA   TOSHIBA
11043587Fabrication of   vertical fin transistor with multiple threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043589Semiconductor deviceROHM CO., LTD.
11043591FeFET transistorSTMICROELECTRONICS   (CROLLES 2) SAS
11043593Semiconductor device   and manufacturing method thereof--
11043595Cut metal gate in   memory macro edge and middle strap--
11043596Semiconductor device   and fabrication method thereof--
11043597Method for reducing   contact resistance in semiconductor structures--
11043598Vertical field effect   transistor with low-resistance bottom source-drain contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
11043599Semiconductor device   and method for producing sameSHARP KABUSHIKI   KAISHA
11043600Semiconductor device   provided with oxide semiconductor TFTSHARP KABUSHIKI   KAISHA
11043602Schottky barrier   diodeTAMURA CORPORATION
11043606Solar cell edge   interconnectsZHEJIANG KAIYING NEW   MATERIALS CO., LTD.
11043630Method of   manufacturing a magnetoresistive deviceEVERSPIN   TECHNOLOGIES, INC.
11043756Structure for radio   frequency applicationsSOITEC
11043792Method for GaN   vertical microcavity surface emitting laser (VCSEL)YALE UNIVERSITY
11044798ESD protection   composite structure, ESD protection device, and manufacturing method thereof--
11044812Component carrier   with adhesion promoting shape of wiring structureAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11046051Metal-on-ceramic   substratesMATERION CORPORATION
11046869Polishing liquid,   polishing liquid set, and substrate polishing methodSHOWA DENKO MATERIALS   CO., LTD.
11046910Cleaning solution   compositionKANTO KAGAKU   KABUSHIKI KAISHA
11047035Protective yttria   coating for semiconductor equipment partsAPPLIED MATERIALS,   INC.
11047040Dual selective   depositionASM IP HOLDING B.V.
11047042Synthesis and use of   precursors for ALD of molybdenum or tungsten containing thin filmsASM IP HOLDING B.V.
11047044Film forming   apparatus and film forming methodTOKYO ELECTRON   LIMITED
11047045Precursor supply   unit, substrate processing system, and method of fabricating semiconductor   device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11047048Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11047050Semiconductor tool   having controllable ambient environment processing zones--
11047792Semiconductor device   inspection method and semiconductor device inspection apparatusHAMAMATSU PHOTONICS   K.K.
11047795Calibration chucks   for optical probe systems, optical probe systems including the calibration   chucks, and methods of utilizing the optical probe systemsFORMFACTOR, INC.
11047800Method of evaluating   carbon concentration of silicon sample, method of evaluating silicon wafer   manufacturing process, method of manufacturing silicon wafer, method of   manufacturing silicon single crystal ingot, silicon single crystal ingot and   silicon waferSUMCO CORPORATION
11047822Sensor deviceHITACHI AUTOMOTIVE   SYSTEMS, LTD.
11047900Method for   manufacturing electronic apparatus, adhesive film for manufacturing   electronic apparatus, and electronic component testing apparatusMITSUI CHEMICALS   TOHCELLO, INC.
11048158Method for extreme   ultraviolet lithography mask treatment--
11048160Mask blank, phase   shift mask and method for manufacturing semiconductor deviceHOYA CORPORATION
11048162Method and apparatus   for neutral beam processing based on gas cluster ion beam technologyEXOGENESIS   CORPORATION
11048170Apparatus for   dispensing liquid material and method for fabricating semiconductor device--
11048176Substrate processing   apparatus and article manufacturing methodCANON KABUSHIKI   KAISHA
11049691Ion beam quality   control using a movable mass resolving deviceVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11049692Methods for tuning   plasma potential using variable mode plasma chamberMATTSON TECHNOLOGY,   INC.
11049693Systems and methods   for achieving peak ion energy enhancement with a low angular spreadLAM RESEARCH   CORPORATION
11049695Metal contact landing   structureMICROMATERIALS LLC
11049698Dual-channel   showerhead with improved profileAPPLIED MATERIALS,   INC.
11049699Gas box for CVD   chamberAPPLIED MATERIALS,   INC.
11049700Atmospheric plasma   processing systems and methods for manufacture of microelectronic workpiecesTOKYO ELECTRON   LIMITED
11049714Silyl substituted   organoamines as precursors for high growth rate silicon-containing filmsVERSUM MATERIALS US,   LLC
11049715Method for   manufacturing a semiconductor structure--
11049716Gap fill using   carbon-based filmsLAM RESEARCH   CORPORATION
11049717Method for   fabricating ultra-thin graphite film on silicon carbide substrate from   siloxane-coupling-group-containing polyamic acid solution--
11049718Fabrication of group   III-nitride semiconductor devicesSUZHOU HAN HUA   SEMICONDUCTOR CO., LTD.
11049719Epitaxy system   integrated with high selectivity oxide removal and high temperature   contaminant removalAPPLIED MATERIALS,   INC.
11049720Removable opaque   coating for accurate optical topography measurements on top surfaces of   transparent filmsKLA CORPORATION
11049721Method and process   for forming memory hole patternsTOKYO ELECTRON   LIMITED
11049722Methods and materials   for modifying the threshold voltage of metal oxide stacksAPPLIED MATERIALS,   INC.
11049723Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
11049724Method for producing   patterns in a substrateCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11049725Method for etching   deep, high-aspect ratio features into silicon carbide and gallium nitrideCORPORATION FOR   NATIONAL RESEARCH INITIATIVES
11049726Methods and systems   for advanced ion control for etching processesLAM RESEARCH   CORPORATION
11049727Interleaved structure   for molecular manipulationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049728Boron-doped amorphous   carbon hard mask and related methodsENTEGRIS, INC.
11049729Dry etching method,   semiconductor device manufacturing method, and chamber cleaning methodCENTRAL GLASS   COMPANY, LIMITED
11049730Workpiece processing   methodTOKYO ELECTRON   LIMITED
11049731Methods for film   modificationAPPLIED MATERIALS,   INC.
11049732Heat treatment method   and heat treatment apparatus that manage heat treatment of dummy waferSCREEN HOLDINGS CO.,   LTD.
11049733Method for   manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11049734Method of packaging   chip and chip package structurePEP INNOVATION PTE.   LTD.
11049735Methods and apparatus   for conserving electronic device manufacturing resourcesAPPLIED MATERIALS,   INC.
11049736Circulating EFEMTDK CORPORATION
11049737Apparatus and method   for treating substrateSEMES CO. LTD.
11049738Substrate heating   deviceTOKYO ELECTRON   LIMITED
11049739Ashing apparatus,   ashing method and recording mediumTOKYO ELECTRON   LIMITED
11049740Reconfigurable   mainframe with replaceable interface plateAPPLIED MATERIALS,   INC.
11049741Systems for   integrated decomposition and scanning of a semiconducting waferELEMENTAL SCIENTIFIC,   INC.
11049742Substrate processing   apparatus, method of manufacturing semiconductor device, and thermocouple   supportKOKUSAI ELECTRIC   CORPORATION
11049743Substrate processing   apparatus, flow rate control method, and storage medium storing flow rate   control programTOKYO ELECTRON LMITED
11049744Optimizing   semiconductor binning by feed-forward process adjustmentINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049745Defect-location   determination using correction loop for pixel alignmentKLA CORPORATION
11049746Substrate cassetteSUSS MICROTEC   LITHOGRAPHY GMBH
11049747SiC freestanding film   structureADMAP INC.
11049748SeparatorACHILLES CORPORATION
11049749Substrate treating   apparatusSCREEN HOLDINGS CO.,   LTD.
11049750Container storage   add-on for bare workpiece stockerBROOKS CCS GMBH
11049751Cassette supply   system to store and handle cassettes and processing apparatus equipped   therewithASM IP HOLDING B.V.
11049752Apparatus and method   for calibrating wafer bonding apparatusWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11049754Method for   controlling semiconductor processSAMSUNG ELECTRONICS   CO., LTD.
11049755Semiconductor   substrate supports with embedded RF shieldAPPLIED MATERIALS,   INC.
11049756Thermal pad for etch   rate uniformity--
11049757Wafer processing   method including applying a polyester sheet to a waferDISCO CORPORATION
11049758Substrate placing   apparatus and substrate placing methodTOKYO ELECTRON   LIMITED
11049759Micro LED transfer   headPOINT ENGINEERING   CO., LTD.
11049760Universal process kitAPPLIED MATERIALS,   INC.
11049761Shutter disk for   physical vapor deposition chamberAPPLIED MATERIALS,   INC.
11049762Electronic circuit   comprising electrical insulation trenchesCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11049763Multi-patterning to   form vias with straight profiles--
11049764Method for   fabricating a semiconductor device--
11049765Semiconductor device--
11049766Etch stop layer for   semiconductor structureXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11049767Semiconductor device   and methods of manufacturing thereof--
11049768Methods of forming   microelectronic devices, and related microelectronic devices, and electronic   systemsMICRON TECHNOLOGY,   INC.
11049769Self-aligned   interconnection for integrated circuitsMICRON TECHNOLOGY,   INC.
11049770Methods and apparatus   for fabrication of self aligning interconnect structureAPPLIED MATERIALS,   INC.
11049771Semiconductor device   and fingerprint identification device--
11049772Wafer processing   method including applying a polyester sheet to a waferDISCO CORPORATION
11049773Art trench spacers to   enable fin release for non-lattice matched channelsINTEL CORPORATION
11049774Hybrid source drain   regions formed based on same Fin and methods forming same--
11049775Semiconductor device   having FinFET with work function layers and method of manufacturing the same--
11049776Semiconductor memory   device having chip-to-chip bonding structureSK HYNIX INC.
11049777Ceramic combo lid   with selective and edge metallizationsMATERION CORPORATION
11049779Carrier for chip   packaging and manufacturing method thereof--
11049781Chip-scale package   device--
11049784Semiconductor device   for use in harsh mediaMELEXIS TECHNOLOGIES   NV
11049785Semiconductor device   and fabrication method thereofFUJI ELECTRIC CO.,   LTD.
11049787Semiconductor device   and method of manufacturing the sameMITSUBISHI ELECTRIC   CORPORATION
11049789Semiconductor   microcoolerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049790Electrically   insulating thermal interface on the discontinuity of an encapsulation   structureINFINEON TECHNOLOGIES   AG
11049791Heat spreading layer   integrated within a composite IC die structure and methods of forming the   sameINTEL CORPORATION
11049792Package structure for   semiconductor devicesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11049797Method for   manufacturing a semiconductor structure comprising a semiconductor device   layer formed on a tem, porary substrate having a graded SiGe etch stop layer   therebetween--
11049798Embedded bridge with   through-silicon ViasINTEL CORPORATION
11049799Semiconductor   structure and method for forming the same--
11049800Semiconductor device   package with grooved substrateTEXAS INSTRUMENTS   INCORPORATED
11049801Encapsulated vertical   interconnects for high-speed applications and methods of assembling sameINTEL CORPORATION
11049802Semiconductor device   and method of manufacture--
11049805Semiconductor package   and method--
11049809Semiconductor device   having a reduced pitch between lead-out wiringsLONGITUDE LICENSING   LIMITED
11049810Integrated circuit   device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11049811Forming interlayer   dielectric material by spin-on metal oxide deposition--
11049812Semiconductor devices   and methods of forming the same--
11049813Interconnection   structure, fabricating method thereof, and semiconductor device using the   same--
11049814Semiconductor device   including a through contact extending between sub-chips and method of   fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11049816Alignment mark and   semiconductor device, and fabrication methods thereofNINGBO SEMICONDUCTOR   INTERNATIONAL CORPORATION
11049820Crack suppression   structure for HV isolation componentTEXAS INSTRUMENTS   INCORPORATED
11049823Integrated circuit   package apparatus deployed with antenna and method for manufacturing   integrated circuit package apparatusHUAWEI TECHNOLOGIES   CO., LTD.
11049825Method for producing   semiconductor deviceSHOWA DENKO MATERIALS   CO., LTD.
11049827Semiconductor devices   including a thick metal layer and a bumpSAMSUNG ELECTRONICS   CO., LTD.
11049828Electronic device   with interconnection structure oblate ellipsoid-shaped apertureAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11049830Level shifting   between interconnected chips having different voltage potentialsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049831Circuit substrateMURATA MANUFACTURING   CO., LTD.
11049832Formation method of   package structure with warpage-control element--
11049833Semiconductor   packages with an intermetallic layerSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11049834Hybrid bonding using   dummy bonding contactsYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11049835Semiconductor moduleMURATA MANUFACTURING   CO., LTD.
11049840Bonding deviceOSAKA UNIVERSITY
11049843Semiconductor   packagesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11049844Semiconductor wafer   having trenches with varied dimensions for multi-chip modulesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049845Semiconductor device   having wires connecting connection padsLONGITUDE LICENSING   LIMITED
11049846Integrated circuit   chip, method of manufacturing the integrated circuit chip, and integrated   circuit package and display apparatus including the integrated circuit chipSAMSUNG ELECTRONICS   CO., LTD.
11049856Semiconductor deviceNUVOTON TECHNOLOGY   CORPORATION JAPAN
11049857Nanosheet CMOS   semiconductor device and the method of manufacturing the sameSIEN (QINGDAO)   INTEGRATED CIRCUITS CO., LTD.
11049858Vertical fin field   effect transistor devices with a replacement metal gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049860Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11049866Three-dimensional   memory devices having through array contacts and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11049868Stacked type   semiconductor memory deviceTOSHIBA MEMORY   CORPORATION
11049869Semiconductor device   with recess and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11049871Semiconductor storage   device and manufacturing method of semiconductor storage deviceTOSHIBA MEMORY   CORPORATION
11049873Epitaxial   monocrystalline channel for storage transistors in 3-dimensional memory   structures and methods for formation thereofSUNRISE MEMORY   CORPORATION
11049874NOR-type memory   device and method of fabricating the same--
11049876Three-dimensional   memory device containing through-memory-level contact via structuresSANDISK TECHNOLOGIES   LLC
11049878Semiconductor memory   device and method for manufacturing sameTOSHIBA MEMORY   CORPORATION
11049881Method for   manufacturing a top-gate self-aligned indium-tin-zinc oxide thin-film   transistorSOUTH CHINA   UNIVERSITY OF TECHNOLOGY
11049883COA type array   substrate and method for measuring via size on color-resist layerSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11049887Layer stack for   display applicationsAPPLIED MATERIALS,   INC.
11049889Method for preparing   array substrate by stripping first photo-resist layer through wet etching   before forming ohm contact layer and active layerBEIJING BOE DISPLAY   TECHNOLOGY CO., LTD.
11049890Stacked field-effect   transistors having proximity electrodesSKYWORKS SOLUTIONS,   INC.
11049931Semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11049932Semiconductor   isolation structures comprising shallow trench and deep trench isolationGLOBALFOUNDRIES U.S.   INC.
11049934Transistor comprising   a matrix of nanowires and methods of making such a transistorGLOBALFOUNDRIES U.S.   INC.
11049935Non-planar field   effect transistor devices with low-resistance metallic gate structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049936High ruggedness   heterojunction bipolar transistor structure--
11049937Gate structures for   semiconductor devices--
11049940Method and structure   for forming silicon germanium finFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049943Method for forming   III-nitride semiconductor device and the III-nitride semiconductor deviceSUZHOU HAN HUA   SEMICONDUCTOR CO., LTD.
11049945Semiconductor device   structure and method for forming the same--
11049946Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11049949Gate-all-around   gradient-doped nano-sheet complementary inverter and method of making the   sameSIEN (QINGDAO)   INTEGRATED CIRCUITS CO., LTD.
11049950Trench power   seminconductor device and manufacturing method thereof--
11049951Coating liquid for   forming oxide or oxynitride insulator film, oxide or oxynitride insulator   film, field-effect transistor, and method for producing the sameRICOH COMPANY, LTD.
11049953Nanosheet transistorTESSERA, INC.
11049957LDMOS device with   sinker linkMONOLITHIC POWER   SYSTEMS, INC.
11049958Semiconductor power   device and manufacturing method thereof--
11049959FinFET with dummy   fins and methods of making the same--
11049960Gallium nitride (GaN)   based transistor with multiple p-GaN blocksTEXAS INSTRUMENTS   INCORPORATED
11049966Silicon carbide   semiconductor device and method of manufacturing the sameDENSO CORPORATION
11049967DMOS transistor   having thick gate oxide and STI and method of fabricatingTEXAS INSTRUMENTS   INCORPORATED
11049968Semiconductor device   and method of manufacturing a semiconductor deviceX-FAB SEMICONDUCTOR   FOUNDRIES GMBH
11049969Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11049970Semiconductor device   and manufacturing method thereof--
11049972Formation method of   semiconductor device with low resistance contact--
11049973Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11049975Dual-gate thin film   transistor, manufacturing method thereof, array substrate and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11049976Thin-film transistor,   oxide semiconductor film, and sputtering targetULVAC, INC.
11049978Organic   light-emitting display apparatusSAMSUNG DISPLAY CO.,   LTD.
11049979Long channel   nanosheet FET having tri-layer spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11049999Template, nitride   semiconductor ultraviolet light-emitting element, and method of manufacturing   templateSOKO KAGAKU CO., LTD.
11050020Methods of forming   devices including multi-portion linersMICRON TECHNOLOGY,   INC.
11050030OLED display and OLED   display deviceWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11050034Quantum dot light   emitting diode and quantum dot display device including the sameLG DISPLAY CO., LTD.
11050045Display device and   method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
11050153Encapsulating low-k   dielectric blocks along with dies in an encapsulant to form antennas--
11050155Microelectronic   devices designed with mold patterning to create package-level components for   high frequency communication systemsINTEL CORPORATION
11050329Method for   manufacturing a winding coreMURATA MANUFACTURING   CO., LTD.
11050365Piezoelectric driving   device, electronic component conveyance apparatus, robot, projector, and   printerSEIKO EPSON   CORPORATION
11050407Electronic devices   formed in a cavity between substratesSKYWORKS SOLUTIONS,   INC.
11051391Thermally highly   conductive coating on base structure accommodating a componentAT&S (CHINA) CO.   LTD.
11052432Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11052455Caulking jig and   manufacturing method using sameNHK SPRING CO., LTD.
11052513Pneumatic-fixation   connecting device--
11052647Direct additive   synthesis of diamond semiconductorLOCKHEED MARTIN   CORPORATION
11052926Overhead transport   system and overhead transport vehicleMURATA MACHINERY,   LTD.
11053074Transport vehicle   system and transport vehicle arrangement method in transport vehicle systemMURATA MACHINERY,   LTD.
11053339Polyurethane for   polishing layer, polishing layer including polyurethane and modification   method of the polishing layer, polishing pad, and polishing methodKURARAY CO., LTD.
11053438Fluoride-based   phosphors for light emitting deviceINDUSTRY-ACADEMIA   COOPERATION GROUP OF SEJONG UNIVERSITY
11053440Silicon nitride   etching composition and methodENTEGRIS, INC.
11053457Cleaning composition   for semiconductor substrateJSR CORPORATION
11053580Techniques for   selective deposition using angled ionsVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11053582Support including an   electrostatic substrate carrierION BEAM SERVICES
11053583Particle reduction   during sputtering depositionCORNING INCORPORATED
11053592Substrate temperature   monitoringAPPLIED MATERIALS,   INC.
11053594Microetchant for   copper and method for producing wiring boardMEC COMPANY LTD.
11053607Silicon carbide   epitaxial substrate and method for manufacturing silicon carbide   semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11054174Semiconductor process   by-product collecting deviceMILAEBO CO., LTD.
11054184Methods and apparatus   for processing a substrate to remove moisture and/or residueAPPLIED MATERIALS,   INC.
11054317Method and apparatus   for direct measurement of chucking force on an electrostatic chuckAPPLIED MATERIALS,   INC.
11054387Semiconductor devices   with ion-sensitive field effect transistorGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11054463Method and system for   measuring thermal stability factor of magnetic tunnel junction device,   semiconductor integrated circuit, and production management method for   semiconductor integrated circuitTOHOKU UNIVERSITY
11054468Segmented digital die   ringMICRON TECHNOLOGY,   INC.
11054707Method of   manufacturing via hole, method of manufacturing array substrate, and array   substrateBOE TECHNOLOGY GROUP   CO., LTD.
11054735Mask blank, phase   shift mask, method for manufacturing phase shift mask, and method for   manufacturing semiconductor deviceHOYA CORPORATION
11054739Imprint apparatus,   control method, imprint method and manufacturing methodCANON KABUSHIKI   KAISHA
11054749Photoresist stripping   composition and methodVERSUM MATERIALS US,   LLC
11054752Device metrology   targets and methodsKLA CORPORATION
11054753Overlay monitoringAPPLIED MATERIALS   ISRAEL LTD.
11054801Data processing   method, data processing apparatus, data processing system, and recording   medium having recorded therein data processing programSCREEN HOLDINGS CO.,   LTD.
11054803Motor drive,   production system and method thereof with quality measuring and mechanism   diagnosing functions using real and virtual system modules--
11055459Heterogeneous   miniaturization platformINTERNATIONAL   BUSINESS MACHINES CORPORATION
11055631Automated meta   parameter search for invariant based anomaly detectors in log analyticsNEC CORPORATION
11056309Method and device for   implanting ions in wafersMI2-FACTORY GMBH
11056317Microwave plasma   source, microwave plasma processing apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
11056321Metal contamination   reduction in substrate processing systems with transformer coupled plasmaLAM RESEARCH   CORPORATION
11056322Method and apparatus   for determining process rateLAM RESEARCH   CORPORATION
11056334Wafer processing   method using a ring frame and a polyester sheetDISCO CORPORATION
11056335Substrate processing   apparatusTOKYO ELECTRON   LIMITED
11056337Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11056338Method for printing   wide bandgap semiconductor materialsTHE JOHNS HOPKINS   UNIVERSITY
11056339Thin film electrode   separation method using thermal expansion coefficientOSONG MEDICAL   INNOVATION FOUNDATION
11056340Direct bonding   processCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11056341Optical semiconductor   element and method of manufacturing the sameSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11056342Method for   silicidation of semiconductor device, and corresponding semiconductor deviceSTMICROELECTRONICS   (CROLLES 2) SAS
11056343Providing a temporary   protective layer on a graphene sheetCARDEA BIO, INC.
11056344Layer forming methodASM IP HOLDING B.V.
11056345Method for   manufacturing semiconductor deviceASM IP HOLDING B.V.
11056346Wafer processing   methodDISCO CORPORATION
11056347Method for dry   etching compound materialsTOKYO ELECTRON   LIMITED
11056348Bonding surfaces for   microelectronicsINVENSAS BONDING   TECHNOLOGIES, INC.
11056349Method of fabricating   semiconductor device, vacuum processing apparatus and substrate processing   apparatusTOKYO ELECTRON   LIMITED
11056350Retaining ring having   inner surfaces with facetsAPPLIED MATERIALS,   INC.
11056351Process monitor for   wafer thinningSYNAPTICS   INCORPORATED
11056352Magnetic slurry for   highly efficient CMP--
11056353Method and structure   for wet etch utilizing etch protection layer comprising boron and carbonASM IP HOLDING B.V.
11056354Semiconductor   separation deviceLUMENTUM TECHNOLOGY   UK LIMITED
11056355Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11056356Fluid viscosity   control during wafer bondingINTEL CORPORATION
11056357Substrate processing   apparatus and substrate processing apparatus assembling methodTOKYO ELECTRON   LIMITED
11056358Wafer cleaning   apparatus and method--
11056359Cleaning apparatus   and substrate processing apparatusEBARA CORPORATION
11056360Substrate liquid   processing apparatus and method, and computer-redable storage medium stored   with substrate liquid processing programTOKYO ELECTRON   LIMITED
11056361Laminate processing   methodDISCO CORPORATION
11056362Wafer heating and   holding mechanism and method for rotary table, and wafer rotating and holding   deviceMIMASU SEMICONDUCTOR   INDUSTRY CO., LTD.
11056363Electromagnetic tool   for transferring micro devices and methods of transferLUX NOUR   TECHNOLOGIES, INC.
11056364Method for substrate   thinning--
11056365Fault detection   method in semiconductor fabrication facility--
11056366Sample transport   device with integrated metrologyKLA CORPORATION
11056367Buffer unit, and   apparatus for treating substrate with the unitSEMES CO., LTD.
11056368Chip transferring   method and the apparatus thereof--
11056369Substrate holding   apparatusSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11056370Method for processing   workpieceTOKYO ELECTRON   LIMITED
11056371Tool and method for   cleaning electrostatic chuck--
11056372Low temperature   biasable substrate supportAPPLIED MATERIALS,   INC.
110563733D fanout stackingAPPLE INC.
11056374Protective member   forming methodDISCO CORPORATION
11056375Micro LED carrier   board--
11056376Removing an organic   sacrificial material from a two-dimensional materialIMEC VZW
11056377Collet inspection in   a semiconductor pick and place apparatusASM TECHNOLOGY   SINGAPORE PTE LTD
11056378Workpiece holding   method and workpiece processing methodDISCO CORPORATION
11056379Clamp assembly--
11056380Wafer positioning   pedestal for semiconductor processingLAM RESEARCH   CORPORATION
11056381Method for producing   bonded SOI waferSHIN-ETSU HANDOTAI   CO., LTD.
11056382Cavity formation   within and under semiconductor devicesGLOBALFOUNDRIES U.S.   INC.
11056383Forming array   contacts in semiconductor memoriesMICRON TECHNOLOGY,   INC.
11056384Method for forming   contact plug--
11056385Selective formation   of metallic films on metallic surfacesASM INTERNATIONAL   N.V.
11056386Two-dimensional (2D)   self-aligned contact (or via) to enable further device scalingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056387Method for forming   three-dimensional integrated wiring structure and semiconductor structure   thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11056388Mask-integrated   surface protective tapeFURUKAWA ELECTRIC   CO., LTD.
11056389Method of manufacture   of group III nitride semiconductorPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11056390Structures and   methods for reliable packagesINVENSAS CORPORATION
11056391Subtractive vFET   process flow with replacement metal gate and metallic source/drainINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056392FinFET devices having   gate stacks with protruding parts and method of forming the same--
11056393Method for FinFET   fabrication and structure thereof--
11056394Methods for   fabricating FinFETs having different fin numbers and corresponding FinFETs   thereof--
11056395Transistor metal gate   and method of manufacture--
11056396Gate-all-around   devices having gate dielectric layers of varying thicknesses and method of   forming the same--
11056397Directional spacer   removal for integrated circuit structuresINTEL CORPORATION
11056398Forming interconnect   without gate cut isolation blocking opening formationGLOBALFOUNDRIES U.S.   INC.
11056399Source and drain EPI   protective spacer during single diffusion break formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056400Semiconductor device   and method--
11056401Semiconductor device   and method for manufacturing the same--
11056402Integrated circuit   chip and manufacturing method therefor, and gate drive circuitCSMC TECHNOLOGIES   FAB1 CO., LTD.
11056403Wafer with beveled   edge region and method for analyzing shape of the sameSK SILTRON CO., LTD.
11056404Evaluating a hole   formed in an intermediate productAPPLIED MATERIALS   ISRAEL LTD.
11056405Methods and systems   for controlling wafer fabrication processLAM RESEARCH   CORPORATION
11056406Stack of multiple   deposited semiconductor layersAPPLIED MATERIALS,   INC.
11056407Semiconductor chips   including through electrodes and methods of testing the through electrodesSK HYNIX INC.
11056410Method of   manufacturing semiconductor package using alignment mark on waferNATIONAL INSTITUTE OF   ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
11056411Chip packaging   structure--
11056412Semiconductor package   and manufacturing method thereof--
11056419Semiconductor device   having backside interconnect structure on through substrate via and method of   forming the same--
11056425Structural   enhancement of Cu nanowiresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056426Metallization   interconnect structure formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056428Semiconductor device   extension insulation--
11056429Semiconductor device   including a porous dielectric layer, and method of forming the semiconductor   deviceTESSERA, INC.
11056430Thin film based   semiconductor devices and methods of forming a thin film based semiconductor   deviceGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11056434Semiconductor device   having specified p-type dopant concentration profile--
11056435Semiconductor package   with chamfered pads--
11056436Integrated fan-out   structure with rugged interconnect--
11056437Panel-level chip   device and packaging method thereofSHANGHAI AVIC OPTO   ELECTRONICS CO., LTD.
11056438Semiconductor   packages and method of forming the same--
11056439Optical chip ID   definition using nanoimprint lithographyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056440Methods of   manufacturing semiconductor device and semiconductor device--
11056443Apparatuses   exhibiting enhanced stress resistance and planarity, and related methodsMICRON TECHNOLOGY,   INC.
11056444Surface-mount   thin-film components having terminals configured for visual inspectionAVX CORPORATION
11056445Package structure   with buffer layer sandwiched between encapsulation layer and semiconductor   substrate--
11056446Semiconductor package   device and semiconductor process--
11056447Power module having   at least one power semiconductorSIEMENS   AKTIENGESELLSCHAFT
11056448Covert gates to   protect gate-level semiconductorsUNIVERSITY OF FLORIDA   RESEARCH FOUNDATION, INCORPORATED
11056449Guard ring structures   and their methods of fabricationINTEL CORPORATION
11056450Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11056451Semiconductor device   manufacturing method and semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
11056453Stackable fully   molded semiconductor structure with vertical interconnectsDECA TECHNOLOGIES   USA, INC.
11056455Negative fillet for   mounting an integrated device die to a carrierANALOG DEVICES, INC.
11056457Semiconductor device   with bond wire reinforcement structureNXP USA, INC.
11056458Package comprising   chip contact element of two different electrically conductive materialsINFINEON TECHNOLOGIES   AG
11056461Method of   manufacturing fan-out wafer level packageSAMSUNG ELECTRONICS   CO., LTD.
11056463Arrangement of   penetrating electrode interconnectionsSONY CORPORATION
11056464Packages with metal   line crack prevention design--
11056465Semiconductor package   having singular wire bond on bonding padsINTEL CORPORATION
11056466Package on package   thermal transfer systems and methodsINTEL CORPORATION
110564683D semiconductor   device and structureMONOLITHIC 3D INC.
11056470Electronic package   and method for fabricating the same--
11056471Semiconductor device   and method of manufacture--
11056474Semiconductor   package, semiconductor device and method of forming the same--
11056475Semiconductor moduleFUJI ELECTRIC CO.,   LTD.
11056477Semiconductor device   having a first cell row and a second cell rowSOCIONEXT INC.
11056478Metal gate structure   cutting process--
11056479Chip, manufacturing   method, and mobile terminalGUANGDONG OPPO MOBILE   TELECOMMUNICATIONS CORP., LTD.
11056483Heterolithic   microwave integrated circuits including gallium-nitride devices on intrinsic   semiconductorMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
11056485Semiconductor device   having three-dimensional structure and method of manufacturing the sameSK HYNIX INC.
11056486Semiconductor device   with multiple threshold voltage and method of fabricating the same--
11056487Single diffusion   break local interconnectQUALCOMM INCORPORATED
11056490Process enhancement   using double sided epitaxial on substrateTEXAS INSTRUMENTS   INCORPORATED
11056491Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11056493Semiconductor   structures with deep trench capacitor and methods of manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056498Semiconductor device   and manufacturing method thereforSHANGHAI HUALI   MICROELECTRONICS CORPORATION
11056501Three-dimensional   NAND memory device with source line comprising metallic and semiconductor   layersTOSHIBA MEMORY   CORPORATION
11056504Memory device--
11056505Integrated assemblies   having one or more modifying substances distributed within semiconductor   material, and methods of forming integrated assembliesMICRON TECHNOLOGY,   INC.
11056506Semiconductor device   including stack structure and trenchesSAMSUNG ELECTRONICS   CO., LTD.
11056509Display device having   a plurality of thin-film transistors with different semiconductorsLG DISPLAY CO., LTD.
11056512Device substrate--
11056521Imaging device and   image sensorNIKON CORPORATION
11056526Imaging device camera   system and driving method of the sameSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11056530Semiconductor   structure with metal connection layerSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11056531Method of fabricating   a monolithic sensor device from a layered structureTHE UNIVERSITY COURT   OF THE UNIVERSITY OF GLASGOW
11056532Techniques for   monolithic co-integration of polycrystalline thin-film bulk acoustic   resonator devices and monocrystalline III-N semiconductor transistor devicesINTEL CORPORATION
11056537Self-aligned gate   contact integration with metal resistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056558Semiconductor device   and semiconductor memory deviceTOSHIBA MEMORY   CORPORATION
11056560Hetero-epitaxial   output device array with serial connectionsHANGZHOU HAICUN   INFORMATION TECHNOLOGY CO., LTD.
11056561Silicided   source/drain terminals for field-effect transistorsGLOBALFOUNDRIES   DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG.
11056563Semiconductor device,   semiconductor module, and packaged semiconductor deviceNUVOTON TECHNOLOGY   CORPORATION JAPAN
11056564Method of   manufacturing a memory device--
11056565Flash memory device   and methodLONGITUDE FLASH   MEMORY SOLUTIONS LTD.
11056566Split gate memory   device and method of fabricating the same--
11056567Method of forming a   doped metal carbide film on a substrate and related semiconductor device   structuresASM IP HOLDING B.V.
11056568Method for   manufacturing horizontal-gate-all-around devices with different number of   nanowires--
11056569Method for depinning   the fermi level of a semiconductor at an electrical junction and devices   incorporating such junctionsACORN SEMI, LLC
11056570Nanosheet transistor   with dual inner airgap spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056572Semiconductor device   and method for manufacturing the sameSUZHOU HAN HUA   SEMICONDUCTOR CO., LTD.
11056573Implantation and   annealing for semiconductor device--
11056575Power semiconductor   device with alternating source region and body contact region and   manufacturing method thereofMAGNACHIP   SEMICONDUCTOR, LTD.
11056576Method of   manufacturing semiconductor device--
11056578Method of forming   shaped source/drain epitaxial layers of a semiconductor device--
11056580Semiconductor device   and manufacturing method thereofINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11056583OR gate based on   electron interferenceMITSUBISHI ELECTRIC   RESEARCH LABORATORIES, INC.
11056585Small pitch super   junction MOSFET structure and methodIPOWER SEMICONDUCTOR
11056586Techniques for   fabricating charge balanced (CB) trench-metal-oxide-semiconductor   field-effect transistor (MOSFET) devicesGENERAL ELECTRIC   COMPANY
11056588Vertical transport   field effect transistor with bottom source/drainINTERNATIONAL   BUSINESS MACHINES CORPORATION
11056591Epitaxial structures   of semiconductor devices that are independent of local pattern densityGLOBALFOUNDRIES U.S.   INC.
11056593Semiconductor devices   with metal contacts including crystalline alloysINTEL CORPORATION
11056594Semiconductor device   having fin structures--
11056596Semiconductor device   and method of manufacturing the sameHYUNDAI MOTOR COMPANY
11056602Device, system, and   method for selectively tuning nanoparticles with grapheneTHE UNITED STATES OF   AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY
11056612Light emitting   elementNICHIA CORPORATION
11056627Light emitting deviceNICHIA CORPORATION
11056635Electronic component   housing package, electronic device, and electronic moduleKYOCERA CORPORATION
11056669Flip-chip light   emitting diode and manufacturing method thereofXIAMEN SANAN   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11056683Electrode plate   processing deviceCONTEMPORARY AMPEREX   TECHNOLOGY CO., LIMITED
11056704Hybrid active   material structures for electrochemical cellsGRU ENERGY LAB INC.
11057963Lamp infrared   radiation profile control by lamp filament design and positioningAPPLIED MATERIALS,   INC.
11057992Connection structureMIKUNI ELECTRON   CORPORATION
11057996Circuit board, method   of manufacturing circuit board, and electronic deviceFUJITSU INTERCONNECT   TECHNOLOGIES LIMITED
11058007Component carrier   with two component carrier portions and a component being embedded in a blind   opening of one of the component carrier portionsAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11059061Apparatus for   increasing flux from an ampouleAPPLIED MATERIALS,   INC.
11059137Tool set for use in   position adjustment of positioning pinsEBARA CORPORATION
11059183Apparatus, system and   method for providing an end effectorJABIL INC.
11059187Apparatus, system and   method for providing a vacuum ejector for an end effectorJABIL INC.
11059280Device and method for   bonding substratesEV GROUP E. THALLNER   GMBH
11059996Production method of   polishing compositionFUJIMI INCORPORATED
11059997Polishing compositionJGC CATALYSTS AND   CHEMICALS LTD.
11060051Composition for   rinsing or cleaning a surface with ceria particles adheredFUJIMI INCORPORATED
11060182Method of forming   metal layer, semiconductor device and method of fabricating sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
11060183Apparatuses, systems   and methods for applying protective coatings to electronic device assemblies--
11060189Method to enable high   temperature processing without chamber driftingAPPLIED MATERIALS,   INC.
11060190Substrate processing   apparatus and control systemKOKUSAI ELECTRIC   CORPORATION
11060770Cooling systemTOKYO ELECTRON   LIMITED
11060845Polarization   measurements of metrology targets and corresponding target designsKLA CORPORATION
11060983Evaluation method of   silicon waferGLOBALWAFERS JAPAN   CO., LTD.
11061064Semiconductor device   and method for detecting cracks--
11061071Wafer inspection   system, wafer inspection apparatus and proberTOKYO ELECTRON   LIMITED
11061146Nanosheet radiation   dosimeterINTERNATIONAL   BUSINESS MACHINES CORPORATION
11061315Hybrid optical and   EUV lithographyGLOBALFOUNDRIES U.S.   INC.
11061317Method of fabricating   an integrated circuit with non-printable dummy features--
11061318Lithography model   calibration--
11061324Manufacturing method   of replica template, manufacturing method of semiconductor device, and master   templateTOSHIBA MEMORY   CORPORATION
11061330Methods and   apparatuses for coating photoresistTHE INSTITUTE OF   OPTICS AND ELECTRONICS, THE CHINESE ACADEMY OF SCIENCES
11061333Manufacturing method   of semiconductor device and semiconductor processing system--
11061459Hybrid computing   module--
11062075Integrated circuit   and method for manufacturing same--
11062826Nanocomposite   magnetic materials for magnetic devices and systemsUNIVERSITY OF FLORIDA   RESEARCH FOUNDATION, INCORPORATED
11062879Face-on, gas-assisted   etching for plan-view lamellae preparationFEI COMPANY
11062881Plasma etching method   and plasma processing deviceTOKYO ELECTRON   LIMITED
11062882Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
11062883Atomic layer   deposition apparatusTHE JAPAN STEEL   WORKS, LTD.
11062884Plasma processing   apparatus and plasma processing methodHITACHI HIGH-TECH   CORPORATION
11062886Apparatus and method   for controlling wafer uniformity--
11062887High temperature RF   heater pedestalsAPPLIED MATERIALS,   INC.
11062897Metal doped carbon   based hard mask removal in semiconductor fabricationLAM RESEARCH   CORPORATION
11062898Particle removal   apparatus, particle removal system and particle removal method--
11062899Coated film removing   apparatusTOKYO ELECTRON   LIMITED
11062900Method of reducing   effective oxide thickness in a semiconductor structureAPPLIED MATERIALS,   INC.
11062901Low-k dielectric and   processes for forming same--
11062902Heterostructure   system and method of fabricating the sameRAMOT AT TEL-AVIV   UNIVERSITY LTD.
11062903Method and apparatus   for manufacturing semiconductor device--
11062904Method of forming   polysilicon film and film forming apparatusTOKYO ELECTRON   LIMITED
11062905Patterning process of   a semiconductor structure with a middle layer--
11062906Silicon implantation   in substrates and provision of silicon precursor compositions thereforENTEGRIS, INC.
11062907Nitride semiconductor   deviceFUJI ELECTRIC CO.,   LTD.
11062908Contact structure--
11062909Interconnect   structure having a carbon-containing barrier layer--
11062910Surface treatment of   silicon or silicon germanium surfaces using organic radicalsMATTSON TECHNOLOGY,   INC.
11062911Two-color   self-aligned double patterning (SADP) to yield static random access memory   (SRAM) and dense logicTESSERA, INC.
11062912Atomic layer etch   process using plasma in conjunction with a rapid thermal activation processMATTSON TECHNOLOGY,   INC.
11062913Etching process with   in-situ formation of protective layerYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11062914Removal of surface   passivationASM IP HOLDING B.V.
11062915Redistribution   structures for semiconductor packages and methods of forming the same--
11062916Method for   manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11062917Packaging method,   panel assembly, wafer package and chip packagePEP INNOVATION PTE.   LTD.
11062918Substrate processing   apparatus and method of manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPOTATION
11062919Dike for   semiconductor/LCD manufacturing and processing equipment--
11062920Ion injector and lens   system for ion beam millingLAM RESEARCH   CORPORATION
11062921Systems and methods   for aluminum-containing film removalAPPLIED MATERIALS,   INC.
11062922Substrate liquid   processing apparatusTOKYO ELECTRON   LIMITED
11062923Apparatus to control   transfer parameters during transfer of semiconductor devicesROHINNI, LLC
11062924Semiconductor   packaging apparatus and method of manufacturing semiconductor device using   the sameSAMSUNG ELECTRONICS   CO., LTD.
11062925Semiconductor   manufacturing apparatus and method of manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11062926Wafer charges   monitoring--
11062927Device and method for   contactlessly transferring at least partly ferromagnetic electronic   components from a carrier to a substrateMUEHLBAUER GMBH &   CO. KG
11062928Process optimization   using design of experiments and response surface modelsKLA CORPORATION
11062929Device and method for   treating substrates using a support roller having a porous materialSINGULUS TECHNOLOGIES   AG
11062930Substrate processing   device and substrate conveying methodTOKYO ELECTRON   LIMITED
11062931Semiconductor   apparatus with inner wafer carrier buffer and method--
11062932Method of   transferring micro devicesMIKRO MESA TECHNOLOGY   CO., LTD.
11062933Die placement and   coupling apparatusINTEL CORPORATION
11062934Vacuum chuck for   clamping workpieces, measuring devices and method for checking workpieces, in   particular wafersHELMUT FISCHER GMBH   INSTITUT F&#XFC;R ELEKTRONIK UND MESSTECHNIK
11062935Low profile wafer   manipulatorKLA CORPORATION
11062936Transfer stamps with   multiple separate pedestalsX DISPLAY COMPANY   TECHNOLOGY LIMITED
11062937Dielectric isolation   for nanosheet devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062938Semiconductor device   and a method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11062939High bias deposition   of high quality gapfillAPPLIED MATERIALS,   INC.
11062940Organometallic   precursors, methods of forming a layer using the same and methods of   manufacturing semiconductor devices using the sameSAMSUNG ELECTRONICS   CO., LTD.
11062941Contact conductive   feature formation and structure--
11062942Methods for   controllable metal and barrier-liner recessMICROMATERIALS LLC
11062943Top via interconnects   with wrap around linerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062945Methods for reducing   contact depth variation in semiconductor fabrication--
11062946Self-aligned contact   on a semiconductor deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062947Inorganic dies with   organic interconnect layers and related structuresINTEL CORPORATION
11062948Wafer processing   methodDISCO CORPORATION
11062949Method of   manufacturing power device with improved the utilization rate of wafer area--
11062950Electronic devices   and systems, and methods for making and using the sameUNITED SEMICONDUCTOR   JAPAN CO., LTD.
11062951Method of   manufacturing of a field effect transistor having a junction aligned with   spacersCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11062952Method of forming a   semiconductor structure by sacrificial layers and spacerSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11062953Semiconductor device   and method for fabricating the same--
11062954Semiconductor device   and method for fabricating the same--
11062955Vertical transistors   having uniform channel lengthINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062956Low resistance   source-drain contacts using high temperature silicidesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062957FinFET device with   wrapped-around epitaxial structure and manufacturing method thereof--
11062959Inner spacer and   junction formation for integrating extended-gate and standard-gate nanosheet   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062960Shared contact trench   comprising dual silicide layers and dual epitaxial layers for source/drain   layers of NFET and PFET devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062961Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11062962Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11062963Structure and process   of integrated circuit having latch-up suppression--
11062964Method for   manufacturing semiconductor device, and mounting apparatusSHINKAWA LTD.
11062965Flipped vertical   field-effect-transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062966Defect detection   structure of a semiconductor die, semiconductor device including the same and   method of detecting defects in semiconductor dieSAMSUNG ELECTRONICS   CO., LTD.
11062967Display deviceSAMSUNG DISPLAY CO.,   LTD.
11062968Package structure and   method for forming the same--
11062969Wafer level chip   scale package structure and manufacturing method thereofALPHA AND OMEGA   SEMICONDUCTOR (CAYMAN) LTD.
11062971Package structure and   method and equipment for forming the same--
11062974Bonded body, power   module substrate, method for manufacturing bonded body, and method for   manufacturing power module substrateMITSUBISHI MATERIALS   CORPORATION
11062975Package structures--
11062976Functional stiffener   that enables land grid array interconnections and power decouplingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062977Shield structure for   backside through substrate vias (TSVs)--
11062978Semiconductor package   and method--
11062979High-frequency device   and manufacturing method thereof--
11062980Integrated circuit   packages with wettable flanks and methods of manufacturing the sameTEXAS INSTRUMENTS   INCORPORATED
11062983Substrate for   mounting semiconductor elementOHKUCHI MATERIALS   CO., LTD.
11062984Methods for forming   semiconductor devicesMICRON TECHNOLOGY,   INC.
11062985Wiring structure   having an intermediate layer between an upper conductive structure and   conductive structure--
11062986Articles having vias   with geometry attributes and methods for fabricating the sameCORNING INCORPORATED
11062987Semiconductor device--
110629883D IC decoupling   capacitor structure and method for manufacturing the same--
11062990Semiconductor package   of using insulating frameNEPES LAWEH   CORPORATION
11062993Contacts having a   geometry to reduce resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11062994Semiconductor device   package and method of manufacturing the same--
11062995Interconnect   fabricated with flowable copperINTEL CORPORATION
11062996Embedded component   package structure and manufacturing method thereof--
11062997Method for forming   chip package structure--
11062998Semiconductor package   and manufacturing method thereof--
11062999Semiconductor package   and antenna module comprising the sameSAMSUNG ELECTRONICS   CO., LTD.
11063000Semiconductor package   authentication featureINFINEON TECHNOLOGIES   AG
11063001Semiconductor device   and method of manufacturing thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11063002Methods related to   shielded module having compression overmoldSKYWORKS SOLUTIONS,   INC.
11063003Semiconductor device   with diced semiconductor chips and method for manufacturing the same--
11063004Semiconductor device,   control device, and method for manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11063005Via rail solution for   high power electromigration--
11063006Semiconductor device   structure with fine patterns forming varied height spacer and method for   forming the same--
11063007Semiconductor device   and method of manufacture--
11063012Semiconductor   structure having buffer under bump pad and manufacturing method thereof--
11063015Semiconductor device   package and method of manufacturing the same--
11063016Integrated fan-out   package including voltage regulators and methods forming same--
11063019Package structure,   chip structure and method of fabricating the same--
11063020Semiconductor device,   manufacturing method for semiconductor device, and electronic deviceSONY CORPORATION
11063021Microelectronics   package with vertically stacked diesQORVO US, INC.
11063022Package and   manufacturing method of reconstructed wafer--
11063023Semiconductor package--
11063024Method to form a 3D   semiconductor device and structureMONLITHIC 3D INC.
11063027Semiconductor die   with improved thermal insulation between a power portion and a peripheral   portion, method of manufacturing, and package housing the dieSTMICROELECTRONICS   S.R.L.
11063032Semiconductor device   layout--
11063035Semiconductor   integrated circuit deviceSOCIONEXT INC.
11063036Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11063038Through silicon via   design for stacking integrated circuits--
11063039Semiconductor device   structure and method for forming the same--
11063040Quantum dot devicesINTEL CORPORATION
11063041Integrated circuit   device including a power supply line and method of forming the same--
11063042Method and device of   preventing merging of resist-protection-oxide (RPO) between adjacent   structures--
11063043Method for forming   fin field effect transistor (FinFet) device structure--
11063044Metal gate modulation   to improve kink effect--
11063045Semiconductor device   and method of manufacturing the same--
11063050Semiconductor device   with air gaps and method for fabricating the same--
11063052Semiconductor devices   and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION SHANGHAI, CHINA
11063053Integrated circuit   and static random access memory thereof--
11063054Methods of forming an   array comprising pairs of vertically opposed capacitors and arrays comprising   pairs of vertically opposed capacitorsMICRON TECHNOLOGY,   INC.
11063055Method of   manufacturing semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11063058Memory device with   metal gate--
11063059Semiconductor   structures including dielectric materials having differing removal ratesMICRON TECHNOLOGY,   INC.
11063060Methods of   manufacturing a vertical memory deviceSAMSUNG ELECTRONICS   CO., LTD.
11063061Semiconductor memory   device and manufacturing method thereofSK HYNIX INC.
11063063Three-dimensional   memory device containing plural work function word lines and methods of   forming the sameSANDISK TECHNOLOGIES   LLC
11063066C-axis alignment of   an oxide film over an oxide semiconductor filmSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11063069Method for   manufacturing display substrateBOE TECHNOLOGY GROUP   CO., LTD.
11063073Apparatus and methods   for curved focal plane arrayMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11063078Anti-flare   semiconductor packages and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11063081Device over   photodetector pixel sensor--
11063111Semiconductor   structure and manufacturing method for the same--
11063112DRAM interconnect   structure having ferroelectric capacitors exhibiting negative capacitanceSTMICROELECTRONICS,   INC.
11063113Capacitor and method   for fabricating the sameSHENZHEN WEITONGBO   TECHNOLOGY CO., LTD.
11063114III-N to rare earth   transition in a semiconductor structureIQE PLC
11063115Semiconductor device   and method of making thereofGENERAL ELECTRIC   COMPANY
11063117Semiconductor device   structure having carrier-trapping layers with different grain sizes--
11063118TeraHertz capable   integrated circuitAVEROSES INCORPORATED
11063119Semiconductor   structure and method for forming the sameSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
11063120Metal-graphene   structures forming a lattice of interconnected segmentsTEXAS INSTRUMENTS   INCORPORATED
11063123Silicon carbide   semiconductor device and method of manufacturing silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11063126Metal contact   isolation for semiconductor structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11063128Conformal source and   drain contacts for multi-gate field effect transistors--
11063129Self-limiting fin   spike removalELPIS TECHNOLOGIES   INC.
11063131Ferroelectric or   anti-ferroelectric trench capacitor with spacers for sidewall strain   engineeringINTEL CORPORATION
11063132Semiconductor device   layout structure and manufacturing method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11063133Fin cut and fin trim   isolation for advanced integrated circuit structure fabricationINTEL CORPORATION
11063134Vertical transistors   with top spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11063135Semiconductor device   and method for fabricating the same--
11063136Semiconductor device   structures with composite spacers and fabrication methods thereofCHANGXIN MEMORY   TECHNOLOGIES, INC.
11063137Asymmetric spacer for   low capacitance applicationsINTEL CORPORATION
11063141Insulated gate field   effect bipolar transistor and manufacturing method thereof--
11063142Semiconductor device   including silicon carbide body and method of manufacturingINFINEON TECHNOLOGIES   AG
11063147Forming bottom source   and drain extension on vertical transport FET (VTFET)INTERNATIONAL   BUSINESS MACHINES CORPORATION
11063148High voltage   depletion mode MOS device with adjustable threshold voltage and manufacturing   method thereof--
11063149Semiconductor device   and manufacturing method thereof--
11063150Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11063151Metal chemical vapor   deposition approaches for fabricating wrap-around contacts and resulting   structuresINTEL CORPORATION
11063153Thin-film transistor   structure and manufacturing method thereof, and display panel having the sameWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11063155Display device   including thin film transistor with active layer portions having different   thicknessesSAMSUNG DISPLAY CO.,   LTD.
11063156Memory device and   manufacturing method thereof--
11063157Trench capacitor   profile to decrease substrate warpage--
11063175Display device,   substrate for display device and method for repairing display deviceSEOUL SEMICONDUCTOR   CO., LTD.
11063177Process for producing   adjacent chips comprising LED wires and device obtained by the processALEDIA
11063216Confined phase change   memory with double air gapINTERNATIONAL   BUSINESS MACHINES CORPORATION
11063218Method of fabricating   semiconductor devices using a two-step gap-fill processSAMSUNG ELECTRONICS   CO., LTD.
11063559High-implant channel   semiconductor device and method for manufacturing the same--
11064598Static eliminator and   static eliminating methodSCREEN HOLDINGS CO.,   LTD.
11064609Printable 3D   electronic structureX DISPLAY COMPANY   TECHNOLOGY LIMITED
11065639Coating treatment   method, computer storage medium and coating treatment apparatusTOKYO ELECTRON   LIMITED
11065650Nozzle cleaning   device and nozzle drying methodFUJI CORPORATION
11065654In situ vapor   deposition polymerization to form polymers as precursors to viscoelastic   fluids for particle removal from substratesLAM RESEARCH   CORPORATION
11065709Metal analyzing   plasma CNC cutting machine and associated methods--
11065717Laser processing   apparatusDISCO CORPORATION
11065722Laser processing   apparatus and laser processing methodTOKYO SEIMITSU CO.,   LTD.
11065734Film thickness   measuring device and polishing deviceEBARA CORPORATION
11065735Manufacturing method   of carrier for double-side polishing apparatus and method of double-side   polishing waferSHIN-ETSU HANDOTAI   CO., LTD.
11065805Warpage reduction   device and warpage reduction methodEO TECHNICS CO., LTD.
11066248Article transport   deviceDAIFUKU CO., LTD.
11066284Article transport   vehicleDAIFUKU CO., LTD.
11066571Pattern forming   method, under coating agent, and laminateOJI HOLDINGS   CORPORATION
11066575Chemical mechanical   planarization for tungsten-containing substratesVERSUM MATERIALS US,   LLC
11066577Electrically   conductive adhesive film and dicing-die bonding film using the sameFURUKAWA ELECTRIC   CO., LTD.
11066601Composition for   etching, method for etching insulator and method for manufacturing   semiconductor device, and novel compoundsSK INNOVATION CO.,   LTD.
11066627Cleaning agent   composition for semiconductor device substrate, method of cleaning   semiconductor device substrate, method of manufacturing semiconductor device   substrate, and semiconductor device substrateMITSUBISHI CHEMICAL   CORPORATION
11066739Sputtering target,   method for manufacturing sputtering target, and method for forming thin filmSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11066744Substrate processing   apparatus, method of manufacturing semiconductor device, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11066754Apparatus for   electrochemically processing semiconductor substratesSPTS TECHNOLOGIES   LIMITED
11066755Plating apparatus and   plating methodEBARA CORPORATION
11066756Crystal growth   apparatus, method for manufacturing silicon carbide single crystal, silicon   carbide single crystal substrate, and silicon carbide epitaxial substrateSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11066757Diamond substrate and   freestanding diamond substrateSHIN-ETSU CHEMICAL   CO., LTD.
11067466Pressure sensor   devices and methods for manufacturing pressure sensor devicesINFINEON TECHNOLOGIES   AG
11067515Apparatus and method   for inspecting a wafer process chamber--
11067624Inspection systemTOKYO ELECTRON   LIMITED
11067889Compound,   composition, and method for producing same, underlayer film forming material   for lithography, composition for underlayer film formation for lithography,   and purification methodMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11067891Temperature   controlling apparatus--
11067893Compositions and   processes for self-assembly of block copolymersMERCK PATENT GMBH
11067894Exposure method,   exposure apparatus, and device manufacturing methodNIKON CORPORATION
11067896Dynamic adjustment of   post exposure bake during lithography utilizing real-time feedback for wafer   exposure delayINTERNATIONAL   BUSINESS MACHINES CORPORATION
11068635Method of designing a   mask and method of manufacturing a semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11068636Method for   semiconductor package and semiconductor package design systemSAMSUNG ELECTRONICS   CO., LTD.
11069419Test line letter for   embedded non-volatile memory technology--
11069511System and methods   using an inline surface engineering sourceVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11069512Film forming   apparatus and gas injection member used thereforTOKYO ELECTRON   LIMITED
11069514Remote capacitively   coupled plasma source with improved ion blockerAPPLIED MATERIALS,   INC.
11069520Substrate processing   methodTOKYO ELECTRON   LIMITED
11069521Subnanometer-level   light-based substrate cleaning mechanismPLANAR SEMICONDUCTOR,   INC.
11069522Si precursors for   deposition of SiN at low temperaturesASM IP HOLDING B.V.
11069523Method of material   depositionFEI COMPANY
11069524Methods for using   remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to   grow layers in light emitting devicesLUMILEDS LLC
11069525Methods for using   remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to   grow layers in light emitting devicesLUMILEDS LLC
11069526Using a self-assembly   layer to facilitate selective formation of an etching stop layer--
11069527Laser assisted SiC   growth on siliconBOARD OF TRUSTEES OF   MICHIGAN STATE UNIVERSITY
11069528Semiconductor device   and method--
11069529Semiconductor device   with at least one lower-surface side lifetime control regionFUJI ELECTRIC CO.,   LTD.
11069530Etching   platinum-containing thin film using protective cap layerTEXAS INSTRUMENTS   INCORPORATED
11069531Replacement gate   methods that include treating spacers to widen gate--
11069532Method for   manufacturing nickel silicideSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
11069533CMP system and method   of use--
11069534Method of   manufacturing semiconductor devices and semiconductor devices--
11069535Atomic layer etch of   tungsten for enhanced tungsten deposition fillLAM RESEARCH   CORPORATION
11069536Device manufacturing   methodTOKYO ELECTRON   LIMITED
11069537Method for delidding   a hermetically sealed circuit packageHAMILTON SUNDSTRAND   CORPORATION
11069538Semiconductor device   and method of manufacturing semiconductor deviceSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
110695393D packages and   methods for forming the same--
11069540Package on package   and a method of fabricating the same--
11069541Semiconductor device   package for debonding substrate assembly from carrier substrate using light   and method of manufacturing sameSAMSUNG ELECTRONICS   CO., LTD.
11069542Cleaning water supply   deviceKURITA WATER   INDUSTRIES LTD.
11069543Laminate processing   methodDISCO CORPORATION
11069544Rapid thermal   processing method and apparatus for programming the pinned layer of   spintronic devicesMULTIDIMENSION   TECHNOLOGY CO., LTD.
11069545Substrate processing   apparatus, temperature control method, and temperature control programTOKYO ELECTRON   LIMITED
11069546Substrate processing   systemTOKYO ELECTRON   LIMITED
11069547In-situ temperature   measurement for inside of process chamberAPPLIED MATERIALS,   INC.
11069548Substrate processing   method and substrate processing systemTOKYO ELECTRON   LIMITED
11069549Overhead transport   vehicle, overhead transport system, and control method for overhead transport   vehicleMURATA MACHINERY,   LTD.
11069550Load portHIRATA CORPORATION
11069551Method of dampening a   force applied to an electrically-actuatable elementROHINNI, LLC
11069553Electrostatic chuck   with features for preventing electrical arcing and light-up and improving   process uniformityLAM RESEARCH   CORPORATION
11069554Carbon nanotube   electrostatic chuckAPPLIED MATERIALS,   INC.
11069555Die attach systems,   and methods of attaching a die to a substrateASSEMBLEON B.V.
11069556Micro component   structure--
11069557Method for producing   thin waferSHIN-ETSU CHEMICAL   CO., LTD.
11069558Dummy fin structures   and methods of forming same--
11069559Semiconductor   structure and method of forming sameNEXCHIP SEMICONDUCTOR   CORPORATION
11069560Method of   transferring device layer to transfer substrate and highly thermal conductive   substrateSHIN-ETSU CHEMICAL   CO., LTD.
11069561Methods of forming   electronic devices, and related electronic devices and electronic systemsMICRON TECHNOLOGY,   INC.
11069562Passivation layer for   integrated circuit structure and forming the same--
11069563Method for processing   substrate and substrate processing apparatusEBARA CORPORATION
11069564Double metal   patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069565Semiconductor   interconnect structure and manufacturing method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
11069566Hybrid sidewall   barrier facilitating low resistance interconnectionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069567Modulating metal   interconnect surface topographyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069568Ultra-thin diffusion   barriersAPPLIED MATERIALS,   INC.
11069569Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11069570Method for forming an   interconnect structure--
11069571High speed, high   density, low power die interconnect systemGULA CONSULTING   LIMITED LIABILITY COMPANY
11069572Semiconductor device   and forming method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11069573Wafer level package   structure and method of forming same--
11069574Wafer processing   method including applying a polyester sheet to a waferDISCO CORPORATION
11069575Semiconductor device   and manufacture thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11069576Method of forming   multi-threshold voltage devices using dipole-high dielectric constant   combinations and devices so formedSAMSUNG ELECTRONICS   CO., LTD.
11069577Nanosheet transistors   with different gate dielectrics and workfunction metalsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069578Method of   manufacturing a semiconductor device--
11069579Semiconductor device   and method--
11069580Method of   manufacturing a semiconductor device including a plurality of channel   patternsSAMSUNG ELECTRONICS   CO., LTD.
11069581Method of   manufacturing semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11069582Semiconductor   manufacturing equipment and semiconductor manufacturing methodMITSUBISHI ELECTRIC   CORPORATION
11069583Apparatus and method   for the minimization of undercut during a UBM etch processVEECO INSTRUMENTS   INC.
11069584Inspection method for   micro LEDPOINT ENGINEERING   CO., LTD.
11069585Semiconductor   substrate crack mitigation systems and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11069586Chip-on-film package--
11069588Semiconductor package   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11069590Wafer-level fan-out   package with enhanced performanceQORVO US, INC.
11069591Semiconductor device   and method for manufacturing semiconductor deviceROHM CO., LTD.
11069594Methods of forming   electronic assemblies with inverse opal structures using variable current   density electroplatingTOYOTA MOTOR   ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
11069596Through silicon   contact structure and method of forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11069597Semiconductor chips   and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11069598Memory arrays and   methods used in forming a memory array and conductive through-array-vias   (TAVs)MICRON TECHNOLOGY,   INC.
11069600Semiconductor package   with space efficient lead and die pad designINFINEON TECHNOLOGIES   AG
11069601Leadless   semiconductor package with wettable flanksSTMICROELECTRONICS,   INC.
11069604Semiconductor package   and method of making the sameALPHA AND OMEGA   SEMICONDUCTOR (CAYMAN) LTD. GRAND
11069605Wiring structure   having low and high density stacked structures--
11069606Fabrication process   and structure of fine pitch traces for a solid state diffusion bond on flip   chip interconnectCOMPASS TECHNOLOGY   COMPANY LIMITED
11069608Semiconductor   structure and manufacturing method thereof--
11069609Techniques for   forming vias and other interconnects for integrated circuit structuresINTEL CORPORATION
11069610Methods for forming   microelectronic devices with self-aligned interconnects, and related devices   and systemsMICRON TECHNOLOGY,   INC.
11069611Liner-free and   partial liner-free contact/via structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069612Semiconductor devices   having electrically and optically conductive vias, and associated systems and   methodsMICRON TECHNOLOGY,   INC.
11069613Integrated circuit   device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11069614Semiconductor package   structure--
11069618Line structure and a   method for producing the sameDAI NIPPON PRINTING   CO., LTD.
11069622Interposer-type   component carrier and method of manufacturing the sameAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11069623Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11069624Electrical devices   and methods of manufactureFARADAY SEMI, INC.
11069625Method for forming   package structure--
11069630Structures and   methods for reducing thermal expansion mismatch during integrated circuit   packaging--
11069639Semiconductor module,   electronic component and method of manufacturing a semiconductor moduleINFINEON TECHNOLOGIES   AUSTRIA AG
11069642Package structure and   method of manufacturing the same--
11069643Semiconductor device   manufacturing methodFUJI ELECTRIC CO.,   LTD.
11069650Bonding condition   evaluation apparatusSHINKAWA LTD.
11069651Method of mounting   dieSHINKAWA LTD.
11069652Method of   manufacturing semiconductor structure--
11069653Methods and   structures for packaging semiconductor dies--
11069654Metal frame, dummy   wafer, semiconductor device, electronic device, and method of manufacturing   semiconductor deviceSONY CORPORATION
11069655Semiconductor device   including two or more chips mounted over wiring substrateMICRON TECHNOLOGY,   INC.
11069656Three-layer   package-on-package structure and method forming same--
11069657Chip package having   die structures of different heights and method of forming same--
11069658System on integrated   chips and methods of forming same--
11069664Micro-LED module and   method for fabricating the sameLUMENS CO., LTD.
11069665Trimmable banked   capacitorAPPLE INC.
11069667Wafer level proximity   sensorSTMICROELECTRONICS   PTE LTD
11069671Semiconductor package   and method--
11069672Laminated element   manufacturing methodHAMAMATSU PHOTONICS   K.K.
11069673Semiconductor package   and manufacturing method thereof--
11069676Semiconductor device   and method for fabricating the same--
11069677Semiconductor device   comprising metal-insulator-metal (MIM) capacitorGLOBALFOUNDRIES INC.
11069678Logic gate cell   structureQORVO US, INC.
11069679Reducing gate   resistance in stacked vertical transport field effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069680FinFET-based   integrated circuits with reduced parasitic capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069682Multi-fin FINFET   device including epitaxial growth barrier on outside surfaces of outermost   fins and related methodsSTMICROELECTRONICS,   INC.
11069683Self restoring logic   structuresICS LLC
11069684Stacked field effect   transistors with reduced coupling effectINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069685Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11069686Techniques for   enhancing vertical gate-all-around FET performanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069691Memory cell array   with large gate widthsGLOBALFOUNDRIES U.S.   INC.
11069693Method for improving   control gate uniformity during manufacture of processors with embedded flash   memory--
11069694Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11069695Floating gate test   structure for embedded memory device--
11069698Three-dimensional   semiconductor memory deviceSAMSUNG ELECTRONICS   CO., LTD.
11069702Semiconductor device   and manufacturing method thereofTOSHIBA MEMORY   CORPORATION
11069703Three-dimensional   device with bonded structures including a support die and methods of making   the sameSANDISK TECHNOLOGIES   LLC
110697043D NOR memory having   vertical gate structures--
11069705Three-dimensional   memory devices and fabricating methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11069707Variable die size   memory device and methods of manufacturing the sameSANDISK TECHNOLOGIES   LLC
110697113-dimensional nor   memory array with very fine pitch: device and methodSUNRISE MEMORY   CORPORATION
11069712Three-dimensional   memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11069713Semiconductor memory   element, other elements, and their production methodsNATIONAL INSTITUTE OF   ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
11069714Boundary scheme for   semiconductor integrated circuit and method for forming an integrated circuit--
11069715Memory structure--
11069722Active matrix   substrate and method of manufacturing sameSHARP KABUSHIKI   KAISHA
11069723Method for   manufacturing thin film transistor, thin film transistor, and display   apparatusCHENGDU CEC PANDA   DISPLAY TECHNOLOGY CO., LTD.
11069724Array substrate,   manufacturing method thereof and display device using the sameWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11069725Display substrate and   method of preparing the same, and display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11069734Image sensor deviceINVENSAS CORPORATION
11069738Infrared detector and   infrared sensor including the sameSAMSUNG ELECTRONICS   CO., LTD.
11069745Memory deviceTOSHIBA MEMORY   CORPORATION
11069751Display deviceSAMSUNG ELECTRONICS   CO., LTD.
11069775Sacrificial layer for   channel surface retention and inner spacer formation in stacked-channel FETSINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069776Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11069778Silicon carbide   components and methods for producing silicon carbide componentsINFINEON TECHNOLOGIES   AG
11069779Silicon carbide   semiconductor device and method for manufacturing the sameFUJI ELECTRIC CO.,   LTD.
11069780Coating liquid for   forming oxide, method for producing oxide film, and method for producing   field-effect transistorRICOH COMPANY, LTD.
11069781Crystalline   semiconductor film, plate-like body and semiconductor deviceFLOSFIA INC.
11069782Semiconductor device   comprising a gradually increasing field dielectric layer and method of   manufacturing a semiconductor deviceINFINEON TECHNOLOGIES   AG
11069783Semiconductor device,   semiconductor module, and packaged semiconductor deviceNUVOTON TECHNOLOGY   CORPORATION JAPAN
11069784Semiconductor device   and method of manufacture--
11069785Semiconductor device   and fabrication method thereof--
11069786Controlling execution   of software by combining secure boot and trusted boot featuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11069789Varied silicon   richness silicon nitride formationMONTEREY RESEARCH,   LLC
11069791Method of   manufacturing semiconductor devices and semiconductor devices--
11069792Semiconductor device   and manufacturing method thereforSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11069793Reducing parasitic   capacitance for gate-all-around device by forming extra inner spacers--
11069794Trench power   transistor and method of producing the same--
11069795Transistors with   channel and sub-channel regions with distinct compositions and dimensionsINTEL CORPORATION
11069796Manufacturing method   of semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11069799Amorphous metal hot   electron transistorAMORPHYX,   INCORPORATED
11069801Semiconductor device,   electronic apparatus, and method of manufacturing semiconductor deviceSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11069803Semiconductor device,   method of manufacturing semiconductor device, inverter circuit, driving   device, vehicle, and elevatorKABUSHIKI KAISHA   TOSHIBA
11069806Integrated circuit   including a low-noise amplifying circuit with asymmetrical source and drain   regions and a logic circuit with symmetrical source and drain regions--
11069807Ferroelectric   structure for semiconductor devices--
11069810Semiconductor device   having a shaped epitaxial region--
11069811Semiconductor device   structure and method for forming the same--
11069812Fin field-effect   transistor device and method of forming the same--
11069813Localized heating in   laser annealing process--
11069815Radiation hardened   thin-film transistorsAUBURN UNIVERSITY
11069819Field-effect   transistors with channel regions that include a two-dimensional material on a   mandrelGLOBALFOUNDRIES U.S.   INC.
11069820FinFET devices having   active patterns and gate spacers on field insulating layersSAMSUNG ELECTRONICS   CO., LTD.
11069821Semiconductor device   and manufacturing method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11069822Transition metal   chalcogenide van der waals films, methods of making same, and apparatuses and   devices comprising sameCORNELL UNIVERSITY
11069828Method for   manufacturing photoelectric conversion deviceKANEKA CORPORATION
11069836Methods for growing   light emitting devices under ultra-violet illuminationLUMILEDS LLC
11069848Methods for   fabrication, manufacture and production of an autonomous electrical power   sourceFACE INTERNATIONAL   CORPORATION
11069857Display device and   method of inspecting the sameSAMSUNG DISPLAY CO.,   LTD.
11070031Low voltage laser   diodes on {20-21} gallium and nitrogen containing surfacesKYOCERA SLD LASER,   INC.
11071191Extreme ultraviolet   radiation source and cleaning method thereof--
11071207Electronic moduleIMBERATEK, LLC
11071513Test key design to   enable X-ray scatterometry measurement--
11072049Polishing pad having   arc-shaped configurationAPPLIED MATERIALS,   INC.
11072051Platen rotation   method--
11072493Transport system and   transport methodMURATA MACHINERY,   LTD.
11072502Substrate tilt   control in high speed rotary sorterAPPLIED MATERIALS,   INC.
11072622Synthesis and use of   precursors for ALD of tellurium and selenium thin filmsASM INTERNATIONAL   N.V.
11072672Process for producing   modified molded article, molded article, diaphragm, and diaphragm valveDAIKIN INDUSTRIES,   LTD.
11072714Nanotube solution   treated with molecular additive, nanotube film having enhanced adhesion   property, and methods for forming the nanotube solution and the nanotube filmNANTERO, INC.
11072726Low oxide trench   dishing chemical mechanical polishingVERSUM MATERIALS US,   LLC
11072767Treatment liquid,   kit, and method for washing substrateFUJIFILM CORPORATION
11072856Vapor phase growth   methodNUFLARE TECHNOLOGY,   INC.
11072858Pulsing mixture of   precursor and supercritical fluid to treat substrate surfaceNOVA ENGINEERING   FILMS, INC.
11072859Substrate processing   apparatus, method of manufacturing semiconductor device, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11073215Gas supply systemFUJIKIN INCORPORATED
11073309Temperature control   deviceSHINWA CONTROLS CO.,   LTD.
11073333Substrate treating   apparatus and exhaust method thereofSCREEN HOLDINGS CO.,   LTD.
11073487Methods and systems   for characterization of an x-ray beam with high spatial resolutionKLA-TENCOR   CORPORATION
11073538Electrical testing   apparatus with lateral movement of a probe support substrateINTEL CORPORATION
11073575Magnetoresistance   effect element, magnetic sensor and magnetic memoryTDK CORPORATION
11073761Semiconductor resist   composition, and method of forming patterns using the compositionSAMSUNG SDI CO., LTD.
11074387Automated method for   integrated analysis of back end of the line yield, line   resistance/capacitance and process performanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075055Automated multi-grid   handling apparatusHARVARD
11075058Spatially variable   wafer bias power systemEAGLE HARBOR   TECHNOLOGIES, INC.
11075062Vacuum processing   apparatusTOKYO ELECTRON   LIMITED
11075070Monocrystalline   semiconductor wafer and method for producing a semiconductor waferSILTRONIC AG
11075071Method for processing   waferTOKYO SEIMITSU CO.,   LTD.
11075072Wafer scale   ultrasonic sensing device and manufacturing method thereof--
11075073Cleaning chemical   composition for the removal of an amorphous passivation layer at the surface   of crystalline materialsTECHNIC FRANCE
11075074Method for depositing   a silicon nitride film and film deposition apparatusTOKYO ELECTRON   LIMITED
11075075Semiconductor device   including metal oxide with multiple regionsSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11075076Method for   manufacturing a semiconductor device and film deposition apparatusTOKYO ELECTRON   LIMITED
11075077Nitride semiconductor   template and nitride semiconductor deviceSCIOCS COMPANY   LIMITED
11075078Method for making a   semiconductor device including a superlattice within a recessed etchATOMERA INCORPORATED
11075079Directional   deposition for semiconductor fabrication--
11075081Semiconductor device   with multiple threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075082Semiconductor device   and manufacturing method thereof--
11075083Si-passivated GE gate   stackIMEC VZW
11075084Chemistries for   etching multi-stacked layersL'AIR LIQUIDE,   SOCIET&#XE9; ANONYME POUR L'ETUDE ET L'EXPLOITATION DES   PROC&#XE9;D&#XE9;S GEORGES CLAUDE
11075085Wafer polishing   methodSUMCO CORPORATION
11075086Method for etching   deep, high-aspect ratio features into silicon carbide and gallium nitrideCORPORATION FOR   NATIONAL RESEARCH INITIATIVES
11075087Focus ring for plasma   etcher--
11075088Method of plasma   etching and method of fabricating semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11075089Method of plasma   etching and method of fabricating semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11075090Semiconductor   packages and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11075091Method for   manufacturing semiconductor deviceSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
11075092Multi-layer substrateMURATA MANUFACTURING   CO., LTD.
11075093Assembly of a carrier   and a plurality of electrical circuits fixed thereto, and method of making   the sameCARDLAB APS
11075094Substrate processing   apparatusSCREEN HOLDINGS CO.,   LTD.
11075095Substrate processing   apparatusSCREEN HOLDINGS CO.,   LTD.
11075096Substrate processing   apparatusTOKYO ELECTRON   LIMITED
110750973D IC bump height   metrology APC--
11075098Pod and method for   containing a reticle using the same--
11075099Substrate storage   containerSHIN-ETSU POLYMER   CO., LTD.
11075100Container for storing   waferSEONGNAM
11075101Indexer apparatus,   substrate treating apparatus, method for controlling indexer apparatus, and   method for controlling substrate treating apparatusSCREEN HOLDINGS CO.,   LTD.
11075102Positioning deviceSUSS MICROTEC   LITHOGRAPHY GMBH
11075103Backside wafer   alignment methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11075104Semiconductor chuck   and method of making--
11075105In-situ apparatus for   semiconductor process moduleAPPLIED MATERIALS,   INC.
11075106Transfer deviceTOKYO ELECTRON   LIMITED
11075107Semiconductor   structure and manufacturing method thereof--
11075108Mechanism for FinFET   well doping--
11075109Radio frequency   silicon on insulator structure with superior performance, stability, and   manufacturability--
11075110Transistor trench   with field plate structureNXP USA, INC.
11075111Vertical   semiconductor device and method for fabricating the sameSK HYNIX INC.
11075112Method of forming   interconnection structure--
11075113Metal capping layer   and methods thereof--
11075114Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11075115Tungsten feature fillNOVELLUS SYSTEMS,   INC.
11075116Integrated antenna on   interposer substrate--
11075117Die singulation and   stacked device structuresXILINX, INC.
11075118Semiconductor die   singulation methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11075119Vertically stacked   transistors in a pinINTEL CORPORATION
11075120FinFET device and   method--
11075121Semiconductor devices   and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11075122Semiconductor device   and manufacturing method thereofKIOXIA CORPORATION
11075123Method for forming   isolation structure having improved gap-fill capability--
11075124Semiconductor device   with profiled work-function metal gate electrode and method of making--
11075125Semiconductor device   and manufacturing method thereof--
11075126Misregistration   measurements using combined optical and electron beam technologyKLA-TENCOR   CORPORATION
11075127Suppressing   interfacial reactions by varying the wafer temperature throughout depositionLAM RESEARCH   CORPORATION
11075128Modules incorporating   encapsulation layersUTICA LEASECO, LLC
11075129Substrate processing   carrierSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11075130Package substrate   having polymer-derived ceramic coreINTEL CORPORATION
11075131Semiconductor package   and method of forming the same--
11075132Integrated fan-out   package, package-on-package structure, and manufacturing method thereof--
11075133Underfill structure   for semiconductor packages and methods of forming the same--
11075134Semiconductor device   with a portion including silicon and nitrogen and method of manufacturingINFINEON TECHNOLOGIES   AG
11075135Semiconductor   structure and method of forming a semiconductor structureSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
11075136Heat transfer   structures and methods for IC packages--
11075137High power module   package structuresSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11075139Heat radiation   structure, electronic device and manufacturing method of heat radiation   structureFUJITSU LIMITED
11075141Module base with   integrated thermal spreader and heat sink for thermal and structural   management of high-performance integrated circuits or other devicesRAYTHEON COMPANY
11075142Cooling apparatus for   power semiconductor and a method of manufacturing the sameHYUNDAI MOTOR COMPANY
11075145Semiconductor device   including through die via and manufacturing method thereof--
11075146Microfeature   workpieces having alloyed conductive structures, and associated methodsMICRON TECHNOLOGY,   INC.
11075147Stacked die   semiconductor packageTEXAS INSTRUMENTS   INCORPORATED
11075150Semiconductor package   and method of manufacturing the same--
11075151Fan-out package with   controllable standoff--
11075153Electronic   component-incorporating substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11075154Semiconductor device   and method of manufacturing semiconductor deviceSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
11075155Package structure and   manufacturing method thereof--
11075156Substrate having   electronic component embedded thereinSAMSUNG   ELECTRO-MECHANICS CO., LTD.
11075157IC having   trench-based metal-insulator-metal capacitorTEXAS INSTRUMENTS   INCORPORATED
11075158MIM structure--
11075159Integrated fan-out   packages and methods of forming the same--
11075160Semiconductor device   and method for fabricating thereofSAMSUNG ELECTRONICS   CO., LTD.
11075161Large via bufferINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075162Device-manufacturing   scheme for increasing the density of metal patterns in inter-layer   dielectrics--
11075164Semiconductor device   including a conductive feature over an active region--
11075165Methods and apparatus   for forming dual metal interconnectsAPPLIED MATERIALS,   INC.
11075166Microelectronic   structures having multiple microelectronic devices connected with a   microelectronic bridge embedded in a microelectronic substrateINTEL CORPORATION
11075167Pillared cavity down   MIS-SIPDIALOG SEMICONDUCTOR   (UK) LIMITED
11075168InFO-POP structures   with TIVs having cavities--
11075170Semiconductor package   with EMI shield and fabricating method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11075171Fan-out semiconductor   packageSAMSUNG ELECTRONICS   CO., LTD.
11075172Process for   manufacturing a strained semiconductor device and corresponding strained   semiconductor deviceSTMICROELECTRONICS   S.R.L.
11075173Semiconductor device   and method of forming same--
11075176Semiconductor device   and method--
11075177Integrated circuit   comprising a substrate equipped with a trap-rich region, and fabricating   processSTMICROELECTRONICS   (CROLLES 2) SAS
11075179Semiconductor device   and method of forming the same--
11075180Semiconductor device   and method of manufacturing the semiconductor deviceAOI ELECTRONICS CO.,   LTD.
11075181Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11075182Semiconductor package   and method of forming the same--
11075185Semiconductor package   with multi-level conductive clip for top side coolingINFINEON TECHNOLOGIES   AG
11075187Semiconductor device   and method of forming insulating layers around semiconductor dieSEMTECH CORPORATION
11075188Package structure and   assembly structure--
11075193Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11075194IC with test   structures and E-beam pads embedded within a contiguous standard cell areaPDF SOLUTIONS, INC.
11075195Integrated hybrid   standard cell structure with gate-all-around device--
11075196Integrated resistor   for semiconductor devicePOWER INTEGRATIONS,   INC.
11075197Resistor with doped   regions and semiconductor devices having the sameSAMSUNG ELECTRONICS   CO., LTD.
11075198Stacked transistor   architecture having diverse fin geometryINTEL CORPORATION
11075199Method of forming   semiconductor structure--
11075200Integrated device   with vertical field-effect transistors and hybrid channelsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075201Tuning tensile strain   on FinFET--
11075203Semiconductor   structure--
11075206SRAM source-drain   structureQUALCOMM INCORPORATED
11075210Method for   fabricating a circular printed memory device with rotational detectionXEROX CORPORATION
11075211Semiconductor device   with nonvolatile memoryROHM CO., LTD.
11075212Semiconductor device   and method of manufacturing--
11075214NOR memory cell with   vertical floating gateGREENLIANT IP, LLC
11075218Method of making a   three-dimensional memory device using silicon nitride etching end point   detectionSANDISK TECHNOLOGIES   LLC
11075219Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11075220Semiconductor deviceKIOXIA CORPORATION
11075223Thin film transistor   array panel with integrated gate driver including noise removal unitSAMSUNG DISPLAY CO.,   LTD.
11075233Semiconductor device   and fabricating method of the sameSAMSUNG ELECTRONICS   CO., LTD.
11075260Substrate comprising   recessed interconnects and a surface mounted passive componentQUALCOMM INCORPORATED
11075261Structure for use in   a metal-insulator-metal capacitorIMEC VZW
11075263Semiconductor device,   and method for manufacturing semiconductor deviceROHM CO, , LTD.
11075264Super junction power   semiconductor devices formed via ion implantation channeling techniques and   related methodsCREE, INC.
11075265Trigate device with   full silicided epi-less source/drain for high density access transistor   applicationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075266Vertically stacked   fin semiconductor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075267Semiconductor device   with low random telegraph signal noise--
11075268Transistors with   separately-formed source and drainGLOBALFOUNDRIES U.S.   INC.
11075269Semiconductor device   and manufacturing method thereof--
11075270Semiconductor   structure and method for forming the sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11075273Nanosheet   electrostatic discharge structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075274Conductive line   construction, memory circuitry, and method of forming a conductive line   constructionMICRON TECHNOLOGY,   INC.
11075275Metal gate fill for   short-channel and long-channel semiconductor devices--
11075276Methods and apparatus   for n-type metal oxide semiconductor (NMOS) metal gate materials using atomic   layer deposition (ALD) processes with metal based precursorsAPPLIED MATERIALS,   INC.
11075277Manufacture of   self-aligned power devicesGENESIC SEMICONDUCTOR   INC.
11075279Metal gate and   contact plug design and method forming same--
11075280Self-aligned gate and   junction for VTFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075281Additive core   subtractive liner for metal cut etch processesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075282Semiconductor   structure and fabrication method thereof--
11075283Dielectric constant   reduction of gate spacer--
11075284Semiconductor   structure and forming method thereofSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11075285Insulated gate power   semiconductor device and method for manufacturing such a deviceABB POWER GRIDS   SWITZERLAND AG
11075287Semiconductor   structure and forming method thereofSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11075288Thin film transistor,   manufacturing method therefor, array substrate and display panelBOE TECHNOLOGY GROUP   CO., LTD.
11075289Heterojunction   bipolar transistor including ballast resistor and semiconductor deviceMURATA MANUFACTURING   CO., LTD.
11075291Isolation structure   for IGBT devices having an integrated diodeINFINEON TECHNOLOGIES   AUSTRIA AG
11075292Insulated gate   bipolar transistor, and manufacturing method thereforCSMC TECHNOLOGIES   FAB1 CO., LTD.
11075293Qubit-detector die   assembliesINTEL CORPORATION
11075294Protective insulator   for HFET devicesPOWER INTEGRATIONS,   INC.
11075296Trench gate MOSFET   and method of manufacturing the same--
11075299Transistor gate   having tapered segments positioned above the fin channelINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075301Nanosheet with buried   gate contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
11075304Thin-film transistor   and fabrication method thereof, array substrate and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11075306Filled through   silicon vias for semiconductor packages and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11075313Optoelectronic   devices manufactured using different growth substratesUTICA LEASECO, LLC
11075316Method of bifacial   cell fabricationSOLAROUND LTD.
11075317Smoothed doped layer   for solar cellIMEC VZW
11075320Method of   manufacturing nitride semiconductor light-emitting elementNICHIA CORPORATION
11075324Method of producing   an outcoupling element for an optoelectronic component and outcoupling   elementOSRAM OLED GMBH
11075335Techniques for MRAM   MTJ top electrode connection--
11075439Electronic device and   manufacturing method thereof--
11075501Process for producing   a component comprising III-V materials and contacts compatible with silicon   process flowsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11075573Power minimizing   controller for a stage assemblyNIKON RESEARCH   CORPORATION OF AMERICA
11075619Contactless readable   programmable transponder to monitor chip joinINTERNATIONAL   BUSINESS MACHINES CORPORATION
11076518Component supply   deviceYAMAHA HATSUDOKI   KABUSHIKI KAISHA
11077410Gas injector with   baffleAPPLIED MATERIALS,   INC.
11077466End effector with   slides for transferring traysBROOKS AUTOMATION,   INC.
11077469Method for sorting   silicon wafers according to their bulk lifetimeCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11077525Method of processing   a silicon carbide containing crystalline substrate, silicon carbide chip, and   processing chamberINFINEON TECHNOLOGIES   AG
11077535Process system having   locking pin and locking pinSAMSUNG ELECTRONICS   CO., LTD.
11077536Slurry distribution   device for chemical mechanical polishingAPPLIED MATERIALS,   INC.
11077654Conductive diamond   application systemGLOBAL CIRCUIT   INNOVATIONS INCORPORATED
11078025Lightweight rollerCHEMCUT HOLDINGS LLC
11078071Haptic actuators   fabricated by roll-to-roll processingENCITE LLC
11078072Microelectromechanical   device, method for manufacturing a microelectromechanical device, and method   for manufacturing a system on chip using a CMOS processINFINEON TECHNOLOGIES   DRESDEN GMBH & CO. KG
11078082Method of fabricating   graphene structure having nanobubblesSAMSUNG ELECTRONICS   CO., LTD.
11078112Silica-containing   substrates with vias having an axially variable sidewall taper and methods   for forming the sameCORNING INCORPORATED
11078120Oxide sintered body,   sputtering target and oxide semiconductor filmIDEMITSU KOSAN CO.,   LTD.
11078318Block copolymerLG CHEM, LTD.
11078337High-&#x3c7;   block copolymers for directed self-assemblyBREWER SCIENCE, INC.
11078380Hard abrasive   particle-free polishing of hard materialsENTEGRIS, INC.
11078417Low oxide trench   dishing chemical mechanical polishingVERSUM MATERIALS US,   LLC
11078591Process for   optimizing cobalt electrofill using sacrificial oxidantsLAM RESEARCH   CORPORATION
11078597Method for making   epitaxial structureTSINGHUA UNIVERSITY
11079337Secure wafer   inspection and identificationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11079540Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11079682Methods for extreme   ultraviolet (EUV) resist patterning developmentTOKYO ELECTRON   LIMITED
11079685Method of   manufacturing photo masks--
11079691Coating and   developing apparatus and coating and developing methodTOKYO ELECTRON   LIMITED
11080453Integrated circuit   fin layout method, system, and structure--
11080459Computational wafer   inspectionASML NETHERLANDS B.V.
11081203Leakage source   detection by scanning access linesMICRON TECHNOLOGY,   INC.
11081316Impedance matching   network and methodRENO TECHNOLOGIES,   INC.
11081318Geometrically   selective deposition of dielectric films utilizing low frequency biasAPPLIED MATERIALS,   INC.
11081320Plasma processing   apparatus, plasma processing method, and ECR height monitorHITACHI HIGH-TECH   CORPORATION
11081322Film forming   apparatus, cleaning method for film forming apparatus and recording mediumTOKYO ELECTRON   LIMITED
11081326Sputtering target and   method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11081334Particle prevention   in wafer edge trimming--
11081335Methods for forming   electronic devices from nanomaterialsMILARA INCORPORATED
11081336Method of making   graphene and graphene devicesVAON, LLC
11081337Formulation for   deposition of silicon doped hafnium oxide as ferroelectric materialsVERSUM MATERIALS   U.S., LLC
11081338Method of forming   oxide film including two non-oxygen elements, method of manufacturing   semiconductor device, method of forming dielectric film, and semiconductor   deviceSAMSUNG ELECTRONICS   CO., LTD.
11081339Single-crystal rare   earth oxide grown on III-V compound--
11081340Argon addition to   remote plasma oxidationAPPLIED MATERIALS,   INC.
11081341Apparatus for   fabricating a semiconductor device with target sputtering and target   sputtering method for fabricating the semiconductor device--
11081342Selective deposition   using hydrophobic precursorsASM IP HOLDING B.V.
11081343Sub-stoichiometric   metal-oxide thin filmsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081344Method for   manufacturing semiconductor substrateFUJIFILM BUSINESS   INNOVATION CORP.
11081345Method of   post-deposition treatment for silicon oxide filmASM IP HOLDING B.V.
11081346Semiconductor   structure having a group iii-v semiconductor layer comprising a hexagonal   mesh crystalline structureCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11081347Method for   manufacturing silicon-carbide semiconductor elementKWANSEI GAKUIN   EDUCATIONAL FOUNDATION
11081348Selective deposition   of silicon using deposition-treat-etch processAPPLIED MATERIALS,   INC.
11081349Method of forming   film on substrate and method of manufacturing liquid ejection headCANON KABUSHIKI   KAISHA
11081350Semiconductor device   and method of manufacture--
11081351Method of processing   substrate, device manufacturing method, and plasma processing apparatusTOKYO ELECTRON   LIMITED
11081352Method (and related   apparatus) that reduces cycle time for forming large field integrated   circuits--
11081353Semiconductor device   and manufacturing method thereof--
11081354Fin patterning   methods for increased process margins--
11081355Semiconductor device   and method of manufacturing sameKABUSHIKI KAISHA   TOSHIBA
11081356Method for metal gate   cut and structure thereof--
11081357Semiconductor device   and method for fabricating the same including re-growth process to form   non-uniform gate dielectric layerSK HYNIX INC.
11081358Silicide film   nucleationAPPLIED MATERIALS,   INC.
11081359Methods for polishing   semiconductor substrates that adjust for pad-to-pad variance--
11081360Method for processing   workpieceTOKYO ELECTRON   LIMITED
11081361Plasma etching methodAJOU UNIVERSITY   INDUSTRY-ACADEMIC COOPERATION FOUNDATION
11081362Method of   manufacturing semiconductor device, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11081363Guard ring structure   of semiconductor arrangement--
11081364Reduction of crystal   growth resulting from annealing a conductive materialMICRON TECHNOLOGY,   INC.
11081365Treatment to   interface between metal film and BARC or photoresist--
11081366MCM package isolation   through leadframe design and package saw processTEXAS INSTRUMENTS   INCORPORATED
11081367Support and method   for producing semiconductor device-mounting substrate using the sameMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11081368Method of dicing   wiring substrate, and packaging substrateTOPPAN PRINTING CO.,   LTD.
11081369Package structure and   manufacturing method thereof--
11081370Methods of   manufacturing an encapsulated semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11081371Chip package processVIA ALLIANCE   SEMICONDUCTOR CO., LTD.
11081372Package system for   integrated circuits--
11081373Substrate cleaning   apparatus and substrate cleaning methodEBARA CORPORATION
11081374Semiconductor package   molding device and method of manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11081375Apparatus, system,   and method of providing a ramped interconnect for semiconductor fabricationJABIL INC.
11081376Substrate processing   apparatus, transfer module, and coupling moduleSCREEN HOLDINGS CO.,   LTD.
11081377Substrate processing   systemTOKYO ELECTRON   LIMITED
11081379Load port operation   in electronic device manufacturing apparatus, systems, and methodsAPPLIED MATERIALS,   INC.
11081380Chip bonding deviceSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD
11081381Ceramic structureNGK SPARK PLUG CO.,   LTD.
11081382Method for processing   a substrate assembly and wafer composite structureINFINEON TECHNOLOGIES   AG
11081383Substrate table with   vacuum channels grid--
11081384Method for   stabilizing a semiconductor arrangementINFINEON TECHNOLOGIES   AG
11081386High resistivity SOI   wafers and a method of manufacturing thereof--
11081387Creating an aligned   via and metal line in an integrated circuit including forming an oversized   via maskMARVELL ASIA PTE,   LTD.
11081388Forming barrierless   contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081389Method of   manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11081390Multi-pass plating   process with intermediate rinse and dryTEXAS INSTRUMENTS   INCORPORATED
11081391Wafer level dicing   method and semiconductor device--
11081392Dicing method for   stacked semiconductor devices--
11081393Method for splitting   semiconductor wafersINFINEON TECHNOLOGIES   AG
11081394Method of making a   FinFET device--
11081395Fin field effect   transistor having air gap and method for manufacturing the same--
11081396Semiconductor device   and method--
11081397Forming a protective   layer to prevent formation of leakage paths--
11081398Method and structure   to provide integrated long channel vertical FinFet deviceGLOBALEOUNDRIES U.S.   INC.
11081399Method of producing   microelectronic componentsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11081400Fabrication of a pair   of vertical fin field effect transistors having a merged top source/drainINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081401Semiconductor device   and method for manufacturing the same--
11081402Replacement gate   process for semiconductor devices--
11081403Methods of forming   contact features in field-effect transistors--
11081404Source/drain for   gate-all-around devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081405Method for   measurement of semiconductor device fabrication tool implement--
11081406Via integrity and   board level reliability testingTEXAS INSTRUMENTS   INCORPORATED
11081407Methods for assessing   semiconductor structures--
11081408Methods for wafer   warpage controlYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11081409Heat treatment   apparatus of light irradiation type and heat treatment methodSCREEN HOLDINGS CO.,   LTD.
11081410Method of   manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11081411Semiconductor   structureX-FAB SEMICONDUCTOR   FOUNDRIES GMBH
11081413Semiconductor package   with inner and outer cavities--
11081414Power semiconductor   module arrangementINFINEON TECHNOLOGIES   AG
11081415Method for   manufacturing electronic package--
11081417Manufacturing a   package using plateable encapsulantINFINEON TECHNOLOGIES   AG
11081419Semiconductor package   and a method of manufacturing the sameMAGNACHIP   SEMICONDUCTOR, LTD.
11081424Micro-fluidic   channels having various critical dimensionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
110814263D IC power grid--
11081427Semiconductor device   with through silicon via structure--
11081429Finger pad leadframeTEXAS INSTRUMENTS   INCORPORATED
11081430Multi-die-package and   methodINFINEON TECHNOLOGIES   AUSTRIA AG
11081434Package substrates   with magnetic build-up layersINTEL CORPORATION
11081435Package substrate and   flip-chip package circuit including the same--
11081437Imaging element   mounting board, producing method of imaging element mounting board, and   mounting board assemblyNITTO DENKO   CORPORATION
11081438Method of   manufacturing semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11081440Interposer and   semiconductor package including the sameSAMSUNG ELECTRONICS   CO., LTD.
11081445Semiconductor device   comprising air gaps having different configurations--
11081447Graphene-assisted   low-resistance interconnect structures and methods of formation thereof--
11081448Embedded die   microelectronic device with molded componentINTEL CORPORATION
11081450Radiation shield   around a component on a substrateINTEL CORPORATION
11081452Field effect   transistor and semiconductor deviceSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
11081454Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11081455Semiconductor device   with bond pad extensions formed on molded appendageINFINEON TECHNOLOGIES   AUSTRIA AG
11081456Textured bond padsTEXAS INSTRUMENTS   INCORPORATED
11081457Semiconductor package   and methods of manufacturing a semiconductor packageINFINEON TECHNOLOGIES   AUSTRIA AG
11081458Methods and   apparatuses for reflowing conductive elements of semiconductor devicesMICRON TECHNOLOGY,   INC.
11081461Packaging process and   packaging structureDELTA ELECTRONICS   INT'L (SINGAPORE) PTE LTD
11081463Bonding method with   electron-stimulated desorptionCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11081466Method for joining a   micorelectronic chip to a wire elementPRIMO1D
11081467Apparatuses and   methods for arranging through-silicon vias and pads in a semiconductor deviceMICRON TECHNOLOGY,   INC.
11081469Three-dimensional   integrated circuit test and improved thermal dissipationARM LIMITED
11081473Semiconductor device   package and method of manufacturing the same--
11081475Integrated circuit   structure and method for reducing polymer layer delamination--
11081476IC with test   structures and e-beam pads embedded within a contiguous standard cell areaPDF SOLUTIONS, INC.
11081477IC with test   structures and e-beam pads embedded within a contiguous standard cell areaPDF SOLUTIONS, INC.
11081478Interconnect   structure having a fluorocarbon layerSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11081480Semiconductor   structure, capacitor structure thereof and manufacturing method of the same--
11081482Fabrication of   vertical fin field effect transistors having top air spacers and a self   aligned top junctionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081483CMOS circuit with a   group III-nitride transistor and method of providing sameINTEL CORPORATION
11081484IC unit and method of   manufacturing the same, and electronic device including the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11081486Integrated circuit   having memory cell array including barriers, and method of manufacturing sameOVONYX MEMORY   TECHNOLOGY, LLC
11081491Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11081492Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
11081494Semiconductor memoryTOSHIBA MEMORY   CORPORATION
11081497Integrated assemblies   having vertically-spaced channel material segments, and methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
11081498Integrated assemblies   having vertically-spaced channel material segments, and methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
11081500Semiconductor   structure and method of forming the same--
11081501Thin film transistor   and method of fabricating the same, array substrate and method of fabricating   the same, display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11081502Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11081517Active matrix   substrate, x-ray imaging panel with the same, and method of manufacturing the   sameSHARP KABUSHIKI   KAISHA
11081518Semiconductor   packaging method and semiconductor device based on molding processNINGBO SUNNY OPOTECH   CO., LTD.
11081521Process for   manufacturing a plurality of crystalline semiconductor islands having a   variety of lattice parametersSOITEC
11081542Buried MIM capacitor   structure with landing padsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081544Method of   manufacturing a semiconductor device comprising first and second field stop   zone portionsINFINEON TECHNOLOGIES   AG
11081545Semiconductor deviceROHM CO., LTD.
11081546Isolation structure   for stacked vertical transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081547Method for making   superimposed transistorsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11081549Semiconductor devices   and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11081551Method for producing   a graphene-based sensorINFINEON TECHNOLOGIES   AG
11081553Method of forming   split gate memory cellsSILICON STORAGE   TECHNOLOGY, INC.
11081554Insulated gate   semiconductor device having trench termination structure and methodSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11081557Memory and method for   forming the sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
11081558LDMOS with high-k   drain STI dielectricTEXAS INSTRUMENTS   INCORPORATED
11081561Field-effect   transistors with vertically-serpentine gatesGLOBALFOUNDRIES U.S.   INC.
11081562Semiconductor device   with a programmable contact and method for fabricating the same--
11081563Formation of silicide   contacts in semiconductor devices--
11081567Replacement-channel   fabrication of III-V nanosheet devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081568Protective bilayer   inner spacer for nanosheet devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081571Structure and   formation method of semiconductor device structure with a dummy fin structure--
11081572Integrated circuit   heat dissipation using nanostructuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081573Semiconductor elementMURATA MANUFACTURING   CO., LTD.
11081576Insulated-gate   semiconductor device and method of manufacturing the sameFUJI ELECTRIC CO.,   LTD.
11081577Electronic device   including two-dimensional electron gas and method of fabricating the sameINDUSTRY-UNIVERSITY   COOPERATION FOUNDATION HANYANG UNIVERSITY ERICA CAMPUS
11081578III-V depletion mode   semiconductor deviceCAMBRIDGE GAN DEVICES   LIMITED
11081581Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11081582High voltage (HV)   metal oxide semiconductor field effect transistor (MOSFET) in semiconductor   on insulator (SOI) technologyQUALCOMM INCORPORATED
11081583FinFET with   dielectric isolation after gate module for improved source and drain region   epitaxial growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
11081584Method of   manufacturing semiconductor devices using a capping layer in forming gate   electrode and semiconductor devices--
11081585Via structure with   low resistivity and method for forming the same--
11081590Metal oxide   semiconductor field effect transistor with crystalline oxide layer on a III-V   materialSAMSUNG ELECTRONICS   CO., LTD.
11081591Semiconductor device   and display unitJOLED INC.
11081592Semiconductor device   and manufacturing method thereof--
11081593Integration of   graphene and boron nitride hetero-structure deviceTEXAS INSTRUMENTS   INCORPORATED
11081596Semiconductor device   and manufacturing device of the sameRENESAS ELECTRONICS   CORPORATION
11081597Lateral schottky   diode with high breakdown voltage capabilityCHENGDU MONOLITHIC   POWER SYSTEMS CO., LTD.
11081609Solar cell structure   and composition and method for forming the sameTHE BOEING COMPANY
11081618Buried activated   p-(Al,In)GaN layersGALLIUM ENTERPRISES   PTY LTD
11081619Light-emitting   element and method of manufacturing sameNICHIA CORPORATION
11081639Piezoelectric element   manufacturing methodKONICA MINOLTA, INC.
11081768Fabricating an RF   filter on a semiconductor package using selective seedingINTEL CORPORATION
11081770Low temperature   co-fireable dielectric materialsSKYWORKS SOLUTIONS,   INC.
11081783Integrated antenna   using through silicon viasMICRON TECHNOLOGY,   INC.
110820283D-printed protective   shell structures with support columns for stress sensitive circuitsTEXAS INSTRUMENTS   INCORPORATED
11084069Chuck cleaner and   cleaning methodKIOXIA CORPORATION
11084072Substrate processing   apparatus, substrate processing method and recording mediumTOKYO ELECTRON   LIMITED
11084505Overhead conveyance   vehicleMURATA MACHINERY,   LTD.
11084694Jacking tool and   semiconductor process apparatus having the sameSAMSUNG ELECTRONICS   CO., LTD.
11084941Underfill material,   underfill film, and method for manufacturing semiconductor device using sameDEXERIALS CORPORATION
11084981Silicon etchant with   high Si/SiO2 etching selectivity and application thereof--
11085011Post CMP cleaning   compositions for ceria particlesENTEGRIS, INC.
11085112Susceptor with ring   to limit backside depositionASM IP HOLDING B.V.
11085113Film forming method   and recording mediumTOKYO ELECTRON   LIMITED
11085114Electrostatic coating   of metal thin layers with adjustable film propertiesARIZONA BOARD OF   REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA
11085129Device to increase   deposition uniformity in spatial ALD processing chamberAPPLIED MATERIALS,   INC.
11085884Defect inspection   method and apparatus using micro lens matrixSHANGHAI
11086217Patterned stamp   manufacturing method, patterned stamp and imprinting methodKONINKLIJKE PHILIPS   N.V.
11086221Method of using a   surfactant-containing shrinkage material to prevent photoresist pattern   collapse caused by capillary forces--
11086222Method of   manufacturing semiconductor structure--
11086224Fabrication system of   semiconductor device and method of fabricating a semiconductor device using   the sameSAMSUNG ELECTRONICS   CO., LTD.
11086233Protective coating   for electrostatic chucksLAM RESEARCH   CORPORATION
11086238System, a   lithographic apparatus, and a method for reducing oxidation or removing oxide   on a substrate supportASML NETHERLANDS B.V.
11086286Substrate processing   system, substrate processing method, and control programTOKYO ELECTRON   LIMITED
11087927Substrates employing   surface-area amplification, for use in fabricating capacitive elements and   other devicesMURATA MANUFACTURING   CO., LTD.
11087956Detection systems in   semiconductor metrology tools--
11087959Techniques for a   hybrid design for efficient and economical plasma enhanced atomic layer   deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)NANO-MASTER, INC.
11087962Real-time control of   temperature in a plasma chamberLAM RESEARCH   CORPORATION
11087970Bonded wafer, a   method of manufacturing the same, and a method of forming through holeCANON KABUSHIKI   KAISHA
11087971Method for   manufacturing semiconductor device and manufacturing method of the same--
11087972Cleaning device and   method for driving cleaning deviceSAMSUNG ELECTRONICS   CO., LTD.
11087973Method of selective   deposition for BEOL dielectric etchTOKYO ELECTRON   LIMITED
11087974Preparation of   compound semiconductor substrate for epitaxial growth via non-destructive   epitaxial lift-offTHE REGENTS OF THE   UNIVERSITY OF MICHIGAN
11087975Method for   fabrication of orientation-patterned templates on common substratesUNITED STATES OF   AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE
11087976Kesterite material of   CZTS, CZTSe or CZTSSe typeHALDOR   TOPS&#XD8;E A/S
11087977P-type oxide   semiconductor and method for manufacturing sameFLOSFIA INC
11087978Oxide semiconductor   layer and preparation method thereof, device, substrate and meansHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11087979Cleaning methodAPPLIED MATERIALS,   INC.
11087980Laser crystallization   deviceSAMSUNG DISPLAY CO.,   LTD.
11087981Poly-silicon layer   and method of manufacturing the same, methods of manufacturing thin film   transistor and array substrateBOE TECHNOLOGY GROUP   CO., LTD.
11087982Method and system for   fabricating a semiconductor deviceWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11087983Thermal treatment   apparatus, thermal treatment method, and non-transitory computer storage   mediumTOKYO ELECTRON   LIMITED
11087984Selective deposition   by laser heating for forming a semiconductor structure--
11087985Manufacturing method   of TFT array substrateSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11087986Semiconductor device   manufacturing method and semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11087987Semiconductor device   and method--
11087988Semiconductor device   structure with silicide and method for forming the same--
11087989Cryogenic atomic   layer etch with noble gasesAPPLIED MATERIALS,   INC.
11087990Semiconductor device   with a stacked structure and a capping insulation layerSAMSUNG ELECTRONICS   CO., LTD.
11087991Integrated   structures, capacitors and methods of forming capacitorsMICRON TECHNOLOGY,   INC.
11087992Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
11087993Double replacement   metal line patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
11087994Via connection to a   partially filled trench--
110879953D semiconductor   device and structureMONOLITHIC 3D INC.
11087996Dry cleaning   apparatus and dry cleaning methodSAMSUNG ELECTRONICS   CO., LTD.
11087997Substrate processing   apparatus for processing substratesASM IP HOLDING B.V.
11087998Transfer chambers   with an increased number of sides, semiconductor device manufacturing   processing tools, and processing methodsAPPLIED MATERIALS,   INC.
11087999Buffer chamber unit   for wafer processing equipmentSNW COMPANY LIMITED
11088000Wafer based corrosion   and time dependent chemical effectsAPPLIED MATERIALS,   INC.
11088001Substrate transfer   method and substrate transfer moduleTOKYO ELECTRON   LIMITED
11088002Substrate rack and a   substrate processing system and methodASM IP HOLDING B.V.
11088003Apparatus for   fabricating a semiconductor device and method for fabricating semiconductor   device--
11088004Automatic wafer   centering method and apparatusBROOKS AUTOMATION,   INC.
11088005Electrostatic chuck   having thermally isolated zones with minimal crosstalkAPPLIED MATERIALS,   INC.
11088006Electrostatic chuckSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11088007Component tethers   with spacersX-CELEPRINT LIMITED
11088008Wafer processing   methodDISCO CORPORATION
11088009Support table,   support table assembly, processing arrangement, and methods thereofINFINEON TECHNOLOGIES   AG
11088010Temporary bonding   method with thermoplastic adhesive incorporating a rigid ringCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11088011Elastic membrane,   substrate holding device, and polishing apparatusEBARA CORPORATION
11088012Wafer susceptor   apparatus with thermal insulation and method for manufacturing the samePIOTECH INC.
11088013Supplementary tool   for chip transfer device with removal tool and turning toolASM ASSEMBLY SYSTEMS   GMBH & CO. KG
11088014Semiconductor device,   method, and multi-wafer deposition apparatus--
11088015Apparatus for   adjusting a pedestal assembly for a reactorASM IP HOLDING B.V.
11088016Method for locating   devicesSOITEC
11088017Stair step structures   including insulative materials, and related devicesMICRON TECHNOLOGY,   INC.
11088018Method of forming   contacts in a semiconductor device--
11088019Method to create air   gapsLAM RESEARCH   CORPORATION
11088020Structure and   formation method of interconnection structure of semiconductor device--
11088021Interconnect   structure and method of forming the same--
11088022Different isolation   liners for different type FinFETs and associated isolation feature   fabrication--
11088023Method of forming a   semiconductor structure--
11088024Forming a thin film   resistor (TFR) in an integrated circuit deviceMICROCHIP TECHNOLOGY   INCORPORATED
11088025Contact structure for   semiconductor device--
11088026Wimpy device by   selective laser annealingELPIS TECHNOLOGIES   INC.
11088027Transistor structure--
11088028Fin field-effect   transistor device and method of forming the same--
11088029Gate stack treatment--
11088030Semiconductor device   and a method for fabricating the same--
11088031Semiconductor and   method of fabricating the sameKEY FOUNDRY CO., LTD.
11088032Electronic device   based on two-dimensional semiconductor and method for manufacturing   electronic deviceHUAWEI TECHNOLOGIES   CO., LTD.
11088033Low resistance   source-drain contacts using high temperature silicidesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11088034Gate structures for   semiconductor devices--
11088035Fabrication of   thin-film encapsulation layer for light emitting deviceKATEEVA, INC.
11088036Atom probe tomography   specimen preparation--
11088037Semiconductor device   having probe pads and seal ring--
11088038Semiconductor package   including test padSAMSUNG ELECTRONICS   CO., LTD.
11088039Data management and   mining to correlate wafer alignment, design, defect, process, tool, and   metrology dataAPPLIED MATERIALS,   INC.
11088040Cell-like   floating-gate test structure--
11088041Semiconductor   packages with shortened talking path--
11088042Semiconductor device   and production method thereforHITACHI METALS, LTD.
11088044Compound   semiconductor device and fabrication method therefor, and amplifierFUJITSU LIMITED
11088047Ceramic package   opening, heat sink, vias coupled to conductive padTEXAS INSTRUMENTS   INCORPORATED
11088048Semiconductor   structure--
110880503D semiconductor   device with isolation layersMONOLITHIC 3D INC.
11088052Integrated circuit   package electronic device including pillar contacts and electrical   terminationsTEXAS INSTRUMENTS   INCORPORATED
11088053Encapsulation   structure with high density, multiple sided and exposed leads and method for   manufacturing the sameGUANGDONG CHIPPACKING   TECHNOLOGY CO., LTD.
11088054Lead frame and method   for manufacturing the same--
11088055Package with dies   mounted on opposing surfaces of a leadframeTEXAS INSTRUMENTS   INCORPORATED
11088056Leadframe and   leadframe package--
11088057Semiconductor package   structure and method for manufacturing the same--
11088058Method for forming   semiconductor package using carbon nano material in molding compound--
11088059Package structure,   RDL structure comprising redistribution layer having ground plates and signal   lines and method of forming the same--
11088060Package module   including a plurality of electronic components and semiconductor chip(s)   embedded in a single packageSAMSUNG ELECTRONICS   CO., LTD.
11088061Substrate,   semiconductor device package and method of manufacturing the same--
11088062Method to enable 30   microns pitch EMIB or belowINTEL CORPORATION
11088063Structures with   deformable conductorsLIQUID WIRE INC.
11088064Fine pitch copper   pillar package and methodAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11088068Semiconductor   packages and methods of manufacturing the same--
11088070Method of forming a   multi-level interconnect structure in a semiconductor deviceIMEC VZW
11088071Tank circuit   structure and method of making the same--
11088072Semiconductor device   including a fuse and a transistor coupled to the fuseSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11088074Semiconductor device   and method for manufacturing sameMITSUBISHI ELECTRIC   CORPORATION
11088075Layout structures   with multiple fingers of multiple lengthsGLOBALFOUNDRIES U.S.   INC.
11088076Bonding pads embedded   in a dielectric diffusion barrier and having recessed metallic linersSANDISK TECHNOLOGIES   LLC
11088077Layer structure   including diffusion barrier layer and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11088078Semiconductor device   and method for manufacturing the same--
11088079Package structure   having line connected via portions--
11088081Semiconductor package   having a connection structure with tapering connection via layersSAMSUNG ELECTRONICS   CO., LTD.
11088082Semiconductor device   with partial EMI shielding and method of making the sameSTATS CHIPPAC PTE.   LTD.
11088085Layout to reduce   noise in semiconductor devices--
11088086Chip package   structure and method for forming the same--
11088087Micro module with a   support structureSTMICROELECTRONICS,   INC.
11088088Microelectronic   devices with polysilicon fill material between opposing staircase structures,   and related devices, systems, and methodsMICRON TECHNOLOGY,   INC.
11088089Package substrateSAMSUNG   ELECTRO-MECHANICS CO., LTD.
11088090Package comprising a   substrate that includes a stress buffer layerQUALCOMM INCORPORATED
11088092Via rail solution for   high power electromigration--
11088094Air channel formation   in packaging process--
11088095Package structure--
11088098Integrated structures   with antenna elements and IC chips employing edge contact connectionsVIASAT, INC.
11088100Semiconductor package   and manufacturing method thereof--
11088101Semiconductor package   structure and method of manufacturing the same--
11088102Bonded structures for   package and substrate--
11088107Vaccum deposition   system and method thereofINTLVAC INC.
11088108Chip package   structure including ring-like structure and method for forming the same--
11088109Packages with   multi-thermal interface materials and methods of fabricating the same--
11088111Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11088114High density pillar   interconnect conversion with stack to substrate connectionMICRON TECHNOLOGY,   INC.
11088116Bonded assembly   containing horizontal and vertical bonding interfaces and methods of forming   the sameSANDISK TECHNOLOGIES   LLC
11088120Panel for display by   micro LED and method for making same--
11088122Method and device for   manufacturing flexible light emission deviceSAKAI DISPLAY   PRODUCTS CORPORATION
11088124Package and   manufacturing method thereof--
11088125IPD modules with   flexible connection scheme in packaging--
11088131Semiconductor device   that uses bonding layer to join semiconductor substrates together--
11088136Semiconductor device   and manufacturing method thereof--
11088137Method for enlarging   tip portion of a fin-shaped structure--
11088138Semiconductor device   for testing characteristics of transistors and method for testing   semiconductor deviceTHE INDUSTRY &   ACADEMIC COOPERATION IN CHUNGNAM NATIONAL UNIVERSITY (IAC)
11088139Asymmetric threshold   voltage VTFET with intrinsic dual channel epitaxyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11088144Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11088145Semiconductor device   including insulating element--
11088147Apparatus with doped   surfaces, and related methods with in situ dopingMICRON TECHNOLOGY,   INC.
11088148Semiconductor memory   devices including separate upper and lower bit line spacersSAMSUNG ELECTRONICS   CO., LTD.
11088149Static random-access   memory and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11088150Semiconductor device   and manufacturing method thereof--
11088152Static random access   memory cell employing n-doped PFET gate electrodes and methods of   manufacturing the sameSANDISK TECHNOLOGIES   LLC
11088155Method for   fabricating split-gate non-volatile memoryNEXCHIP SEMICONDUCTOR   CO., LTD
11088158SONOS memory and   method for manufacturing the sameSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
11088159Inter-digitated   capacitor in flash technology--
11088162Semiconductor memory   device and semiconductor device manufacturing methodTOSHIBA MEMORY   CORPORATION
11088164Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
11088165Integrated   assemblies, and methods of forming integrated assembliesMICRON TECHNOLOGY,   INC.
110881663D NAND memory device   and method of forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11088167Transistor, three   dimensional memory device including such transistor and method of fabricating   such memory device--
11088168Semiconductor devices   and methods of fabricationMICRON TECHNOLOGY,   INC.
11088169Integrated assemblies   having thicker semiconductor material along one region of a conductive   structure than along another region, and methods of forming integrated   assembliesMICRON TECHNOLOGY,   INC.
11088173Method for making   displays--
11088175Display panel, method   for driving the same, and display deviceXIAMEN TIANMA   MICRO-ELECTRONICS CO., LTD.
11088183Manufacturing method   of low temperature poly-silicon (LTPS) thin film transistor (TFT) substrate   and the LTPS TFT substrateWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11088195Solid-state image   pickup element, method of manufacturing solid-state image pickup element, and   electronic apparatusSONY CORPORATION
11088202Method of forming   memory cell--
11088222Display device   comprising a thin glass material layerSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11088239Cap structure for   trench capacitors--
11088242Crystal, crystalline   oxide semiconductor, semiconductor film containing crystalline oxide   semiconductor, semiconductor device including crystal and/or semiconductor   film and system including semiconductor deviceFLOSFIA INC.
11088244Devices having   substrates with selective airgap regionsHEWLETT PACKARD   ENTERPRISE DEVELOPMENT LP
11088245Integrated circuit   device with source/drain barrier--
11088246Method of   manufacturing a field effect transistor using carbon nanotubes and a field   effect transistor--
11088247Method of fabrication   of a semiconductor device including one or more nanostructuresCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11088248LDD-free   semiconductor structure and manufacturing method of the same--
11088249Semiconductor device   with implant and method of manufacturing same--
11088250Fin field effect   transistor (FinFET) device structure with dual spacers and method for forming   the same--
11088252Three-dimensional   memory device with a silicon carbon nitride interfacial layer in a charge   storage layer and methods of making the sameSANDISK TECHNOLOGIES   LLC
11088255Semiconductor devices--
11088256Semiconductor devices--
11088257Semiconductor device   and method of manufacturing the same--
11088258Method of forming   multiple-Vt FETs for CMOS circuit applicationsSAMSUNG ELECTRONICS   CO., LTD.
11088259Method of   manufacturing an electronic component including multiple quantum dotsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11088261Trench contact   structures for advanced integrated circuit structure fabricationINTEL CORPORATION
11088262Radical etching in   gate formation--
11088265Semiconductor   structure having a repaired dielectric layerSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11088268Methods and devices   for fabricating and assembling printable semiconductor elementsTHE BOARD OF TRUSTEES   OF THE UNIVERSITY OF ILLINOIS
11088270Microwave transistor   with a patterned gate structure and manufacturing method thereofXIAMEN SANAN   INTEGRATED CIRCUIT CO., LTD. .
11088271High electron   mobility transistor and method for fabricating the same--
11088272Semiconductor deviceROHM CO., LTD.
11088278Precise junction   placement in vertical semiconductor devices using etch stop layersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11088279Channel strain   formation in vertical transport FETS with dummy stressor materialsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11088280Transistor and method   of forming sameINTERNATIONAL   BUSINESS MACHINES CORPORATION
11088281Semiconductor   arrangement and method of manufacture--
11088282TFT substrate,   scanned antenna having TFT substrate, and method for manufacturing TFT   substrateSHARP KABUSHIKI   KAISHA
11088286Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11088298Light-emitting device--
11088309Thermoelectric   conversion element and thermoelectric conversion modulePANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11088310Through-silicon-via   fabrication in planar quantum devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11088324Controlled deposition   of materials using a differential pressure regimeUNIVERSAL DISPLAY   CORPORATION
11088328Method of analyzing   organic semiconductor elementSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11089657Light-irradiation   heat treatment apparatusSCREEN HOLDINGS CO.,   LTD.
11089673Wall for isolation   enhancementRAYTHEON COMPANY
11089685Stackable via package   and methodAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11090691Cleaning method for   cleaning frame unitDISCO CORPORATION
11090692Cleaning liquid   supplying system, substrate processing apparatus and substrate processing   systemEBARA CORPORATION
11090693Device for applying   to a substrate a liquid medium that has been charged with UV radiationSUSS MICRO TEC   PHOTOMASK EQUIPMENT GMBH & CO KG
11090696Apparatus and method   of removing photoresist layer--
11090766Laser processing   apparatusDISCO CORPORATION
11090779Method and tool to   improve efficiency and effectiveness of waterjet de-burr processTEXAS INSTRUMENTS   INCORPORATED
11090903Superhydrophobic and   superoleophobic nanosurfacesTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
11090921Peeling method of   resin sheetDISCO CORPORATION
11091397Low temperature   method for hermetically joining non-diffusing ceramic materials in   multi-layer plate devicesWATLOW ELECTRIC   MANUFACTURING COMPANY
11091398Method for   manufacturing large ceramic co-fired articlesMORGAN ADVANCED   CERAMICS, INC.
110916492,2,4,4-tetrasilylpentasilane   and its compositions, methods and usesJIANGSU NATA   OPTO-ELECTRONIC MATERIALS CO. LTD.
11091663Method for producing   dispersion liquid containing silver nanoparticles, and dispersion liquid   containing silver nanoparticlesDAICEL CORPORATION
11091694Etching composition,   method for forming pattern and method for manufacturing a display device   using the sameSAMSUNG DISPLAY CO.,   LTD.
11091696Etching composition   and method for manufacturing semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11091726Composition for   removing photoresist residue and/or polymer residueKANTO KAGAKU   KABUSHIKI KAISHA
11091727Post etch residue   cleaning compositions and methods of using the sameVERSUM MATERIALS US,   LLC
11091835Side inject nozzle   design for processing chamberAPPLIED MATERIALS,   INC.
11091836Graphene structure   forming method and graphene structure forming apparatusTOKYO ELECTRON   LIMITED
11091837Fluid control system   and product manufacturing method using fluid control systemFUJIKIN INCORPORATED
11092546Spectrometer   utilizing surface plasmonSAMSUNG ELECTRONICS   CO., LTD.
11092551Staircase   surface-enhanced raman scattering substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11092555EUV vessel inspection   method and related system--
11092763Coaxial wire and   optical fiber trace via hybrid structures and methods to manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11092889Semiconductor resist   composition, and method of forming patterns using the compositionSAMSUNG SDI CO., LTD.
11092890Semiconductor resist   composition, and method of forming patterns using the compositionSAMSUNG SDI CO., LTD.
11093825Method of forming a   semiconductor deviceSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11093840Metrology and process   control for semiconductor manufacturingNOVA MEASURING   INSTRUMENTS LTD.
11094358Semiconductor chip   manufacturing process for integrating logic circuitry, embedded DRAM and   embedded non-volatile ferroelectric random access memory (FERAM) on a same   semiconductor dieINTEL CORPORATION
11094508Film stress control   for plasma enhanced chemical vapor depositionAPPLIED MATERIALS,   INC.
11094509Plasma processing   apparatusHITACHI HIGH-TECH   CORPORATION
11094512Plasma processing   apparatus and plasma processing methodHITACHI HIGH-TECH   CORPORATION
11094515Sputtering apparatus   and sputtering methodPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11094523Processing method for   waferDISCO CORPORATION
11094524Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11094525Method for cleaning   semiconductor waferSHIN-ETSU HANDOTAI   CO., LTD.
11094526Liquid composition   for imparting alcohol-repellency to semiconductor substrate material, and   method for treating surface of semiconductor substrate using said liquid   compositionMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11094527Wet clean solutions   to prevent pattern collapseINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094528Surface treatment of   substrates using passivation layersBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11094529Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11094530In-situ curing of   color conversion layerAPPLIED MATERIALS,   INC.
11094531Semiconductor   structure and method for forming the same--
11094532Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11094533Doped and undoped   vanadium oxides for low-k spacer applicationsAPPLIED MATERIALS,   INC.
11094534Surface oxidation   method for waferSHANGHAI SIMGUI   TECHNOLOGY CO., LTD.
11094535Selective passivation   and selective depositionASM IP HOLDING B.V.
11094536Method of   manufacturing semiconductor elementsNICHIA CORPORATION
11094537Group III nitride   composite substrate and method for manufacturing the same, and method for   manufacturing group III nitride semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11094538Method of forming   grapheneSAMSUNG ELECTRONICS   CO., LTD.
11094539Method for   manufacturing nitride semiconductor substrate and nitride semiconductor   substrateSCIOCS COMPANY   LIMITED
11094540Manufacturing method   of a pair of different crystallized metal oxide layers--
11094541Anti-reflective   coating materials--
11094542Selective deposition   of etch-stop layer for enhanced patterningLAM RESEARCH   CORPORATION
11094543Defect correction on   metal resistsTOKYO ELECTRON   LIMITED
11094544Methods of forming   self-aligned viasAPPLIED MATERIALS,   INC.
11094545Self-aligned   insulated film for high-K metal gate device--
11094546Method for   selectively depositing a metallic film on a substrateASM IP HOLDING B.V.
11094547Method for producing   wiring structureHAMAMATSU PHOTONICS   K.K.
11094548Apparatus for   cleaning substrate and substrate cleaning methodEBARA CORPORATION
11094549Indium phosphide   wafer having pits on the back side, method and etching solution for   manufacturing the sameBEIJING TONGMEI XTAL   TECHNOLOGY CO., LTD.
11094550Etching method and   etching apparatusTOKYO ELECTRON   LIMITED
11094551Plasma processing   method and plasma processing apparatusTOKYO ELECTRON   LIMITED
11094552Method for etching   recessed structuresMURATA MANUFACTURING   CO., LTD.
11094553Semiconductor device   and manufacturing methodSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11094554Polishing process for   forming semiconductor device structure--
11094555CMP slurry and CMP   method--
11094556Method of   manufacturing semiconductor devices using directional process--
11094557Silicon waferSUMCO CORPORATION
11094558Doped   metal-chalcogenide thin film and method of manufacturing the sameRESEARCH AND BUSINESS   FOUNDATION SUNGKYUNKWAN UNIVERSITY
11094559Method of fastening a   semiconductor chip on a lead frame, and electronic componentOSRAM OLED GMBH
11094560Encapsulated   semiconductor packageAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11094561Semiconductor package   structure--
11094562Semiconductor device   and method of manufactureNEXPERIA B.V.
11094563Fluid control systemICHOR SYSTEMS, INC.
11094564Processing liquid   supplying apparatus, substrate processing apparatus and processing liquid   supplying methodSCREEN HOLDINGS CO.,   LTD.
11094565Substrate treating   method, substrate treating liquid and substrate treating apparatusSCREEN HOLDINGS CO.,   LTD.
11094566Substrate heating   apparatus including heater under substrate support and substrate processing   apparatus using the sameTOKYO ELECTRON   LIMITED
11094567Mounting apparatus   and method for manufacturing semiconductor deviceSHINKAWA LTD.
11094568Processing apparatus,   abnormality detection method, and storage mediumTOKYO ELECTRON   LIMITED
11094569Substrate processing   apparatusTOKYO ELECTRON   LIMITED
11094570Load port having   movable member that abuts a pinHIRATA CORPORATION
11094571Apparatus to increase   transferspeed of semiconductor devices with micro-adjustmentROHINNI, LLC
11094572Substrate processing   apparatus and recording mediumKOKUSAI ELECTRIC   CORPORATION
11094573Method and apparatus   for thin wafer carrierAPPLIED MATERIALS,   INC.
11094574Substrate supporting   device and plasma processing apparatusTOSHIBA MEMORY   CORPORATION
11094575Simultaneous bonding   approach for high quality wafer stacking applications--
11094576Methods for producing   a 3D semiconductor memory device and structureMONOLITHIC 3D INC.
11094577Apparatus and methods   for wafer chucking on a susceptor for ALDAPPLIED MATERIALS,   INC.
11094578Semiconductor   structure and method for manufacturing the same--
11094579Method of forming   shallow trench isolation structure--
11094580Structure and method   to fabricate fully aligned via with reduced contact resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094581IC structure with air   gaps and protective layer and method for manufacturing the sameTHE HONG KONG   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11094582Selective deposition   method to form air gapsASM IP HOLDING B.V.
11094583Method of forming a   device having a doping layer and device formed--
11094584Method of forming   semiconductor device including polysilicon structures--
11094585Methods of forming a   conductive contact structure to a top electrode of an embedded memory device   on an IC product and a corresponding IC productGLOBALFOUNDRIES U.S.   INC.
11094586Semiconductor device   including interconnections having different structures and method of   fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11094587Use of noble metals   in the formation of conductive connectorsINTEL CORPORATION
11094588Interconnection   structure of selective deposition processAPPLIED MATERIALS,   INC.
11094589Multicolor   self-aligned contact selective etchAPPLIED MATERIALS,   INC.
11094590Structurally stable   self-aligned subtractive viasINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094591Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11094592Semiconductor devices   and systems comprising memory cells and a sourceMICRON TECHNOLOGY,   INC.
11094593Semiconductor device   including contact structureSAMSUNG ELECTRONICS   CO., LTD.
11094594Semiconductor   structure with buried power rail, integrated circuit and method for   manufacturing the semiconductor structure--
11094595Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11094596Semiconductor   structureSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11094597Structure and   formation method of semiconductor device with fin structures--
11094598Multiple threshold   voltage devicesGLOBALFOUNDRIES U.S.   INC.
11094599Semiconductor   structure and manufacturing method thereof--
11094600Method of predicting   warpage of silicon wafer and method of producing silicon waferSUMCO CORPORATION
11094601Semiconductor element   and method for producing the sameROHM CO., LTD.
11094603Power semiconductor   device, rotating electric machine including same, and method of manufacturing   power semiconductor deviceDENSO CORPORATION
11094604System and method to   enhance solder joint reliabilityWESTERN DIGITAL   TECHNOLOGIES, INC.
11094612Semiconductor devices   including through-silicon-vias and methods of manufacturing the same and   semiconductor packages including the semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11094613Semiconductor   structure and manufacturing method thereof--
11094616Multi-pitch leadsTEXAS INSTRUMENTS   INCORPORATED
11094617Semiconductor package   including low side field-effect transistors and high side field-effect   transistors and method of making the sameALPHA AND OMEGA   SEMICONDUCTOR (CAYMAN), LTD.
11094619Package with   component connected with carrier via spacer particlesINFINEON TECHNOLOGIES   AG
11094620Integrated capacitor   with extended head bump bond pillarTEXAS INSTRUMENTS   INCORPORATED
11094622Packaged   semiconductor devices and methods of packaging thereof--
11094624Semiconductor device   having capacitorSAMSUNG ELECTRONICS   CO., LTD.
11094625Semiconductor package   with improved interposer structure--
11094626Methods of forming   interconnect structures in semiconductor fabrication--
11094627Methods used in   forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11094628Techniques for making   integrated inductors and related semiconductor devices, electronic systems,   and methodsMICROCHIP TECHNOLOGY   INCORPORATED
110946293D power device and   systemIMEC VZW
11094630Formation of   semiconductor devices including electrically programmable fusesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094631Graphene layer for   reduced contact resistance--
11094632Semiconductor device   with air gap and method for preparing the same--
11094634Semiconductor package   structure comprising rigid-flexible substrate and manufacturing method   thereof--
11094635Package structure and   method for forming the same--
11094636Semiconductor package   and method of manufacturing the semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11094637Multi-chip package   structures having embedded chip interconnect bridges and fan-out   redistribution layersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094639Semiconductor package--
11094640Package moduleSAMSUNG ELECTRONICS   CO., LTD.
11094641Fan-out package   having a main die and a dummy die--
11094642Package structure--
11094643Determining overlay   of features of a memory arrayMICRON TECHNOLOGY,   INC.
11094644Integrated circuit   with scribe lane patterns for defect reductionTEXAS INSTRUMENTS   INCORPORATED
11094645Semiconductor device   and method of manufacturing a semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11094646Methods of   manufacturing an integrated circuit having stress tuning layer--
11094647Methods and apparatus   to eliminate wafer bow for CVD and patterning HVM systemsAPPLIED MATERIALS,   INC.
11094649Semiconductor package   structure and method for manufacturing the same--
11094650Semiconductor   arrangement and method of making--
11094652Configurable radio   transceiver and method thereof--
11094654Package structure and   method of manufacturing the same--
11094655Semiconductor   structure and method for forming the same--
11094660Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11094661Bonded structure and   method of manufacturing the sameKABUSHIKI KAISHA   TOSHIBA
11094662Semiconductor   assembly and method of manufacturing the same--
11094664Semiconductor device   and method of manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11094667Bonding apparatus,   bonding system, bonding method, and recording mediumTOKYO ELECTRON   LIMITED
11094668Solderless   interconnect for semiconductor device assemblyMICRON TECHNOLOGY,   INC.
11094669Wafer level molded   PPGA (pad post grid array) for low cost packageDIALOG SEMICONDUCTOR   B.V.
11094671Package with thinned   substrate--
11094680Packages and methods   of forming packages--
11094682Package structure and   method of fabricating the same--
11094684Edge cut debond using   a temporary filler material with no adhesive properties and edge cut debond   using an engineered carrier to enable topographyMICRON TECHNOLOGY,   INC.
11094688Isolation   architectureANALOG DEVICES   INTERNATIONAL UNLIMITED COMPANY
11094692Semiconductor   structure having active regions with different dopant concentrations--
11094694Buried channel   semiconductor device and method for manufacturing the same--
11094695Integrated circuit   device and method of forming the same--
11094700Well strap structures   and methods of forming the same--
11094704Method of forming a   three-dimensional memory device and a driver circuit on opposite sides of a   substrateSANDISK TECHNOLOGIES   LLC
11094706NAND unit cellsMICRON TECHNOLOGY,   INC.
11094707NAND unit cellsMICRON TECHNOLOGY,   INC.
11094708Vertical-type memory   deviceSAMSUNG ELECTRONICS   CO., LTD.
11094709Method of   manufacturing semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11094714Three-dimensional   memory devices and fabricating methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11094715Three-dimensional   memory device including different height memory stack structures and methods   of making the sameSANDISK TECHNOLOGIES   LLC
11094716Source contact and   channel interface to reduce body charging from band-to-band tunnelingINTEL CORPORATION
11094727Camera module,   molding photosensitive assembly thereof, manufacturing method thereof and   electronic deviceNINGBO SUNNY OPOTECH   CO., LTD.
11094729Semiconductor device   and method of manufacturing the same, and electronic apparatusSONY CORPORATION
11094730Solid-state imaging   device having through electrode provided therein and electronic apparatus   incorporating the solid-state imaging deviceSONY CORPORATION
11094776Structure and   formation method of semiconductor device with magnetic element covered by   polymer material--
11094778Capacitor with high   work function interface layerSK HYNIX INC.
11094779Semiconductor device   having an edge termination region comprising a first edge termination region   of a second conductivity type adjacent to a second edge termination region of   a first conductivity typeINFINEON TECHNOLOGIES   AG
11094780Lateral superjunction   transistor device and method for producing thereofINFINEON TECHNOLOGIES   DRESDEN GMBH & CO. KG
11094785Deuterium-based   passivation of non-planar transistor interfacesINTEL CORPORATION
11094787Method of   manufacturing semiconductor device and semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11094788Semiconductor device   and manufacturing method thereof--
11094789Thin film transistor   and method for manufacturing the same, array substrate, and display deviceBEIJING BOE DISPLAY   TECHNOLOGY CO., LTD.
11094792Manufacturing method   of split gate structure and split gate structure--
11094794Air spacer structuresGLOBALFOUNDRIES U.S.   INC.
11094795Semiconductor device   and method for manufacturing the same--
11094796Transistor spacer   structures--
11094798Vertical FET with   symmetric junctionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094799Thin film transistor   and manufacturing method thereof, array substrate and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11094801Oxide isolated   fin-type field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094803Nanosheet device with   tall suspension and tight contacted gate poly-pitchINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094804Method for   manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11094805Lateral   heterojunction bipolar transistors with asymmetric junctionsGLOBALFOUNDRIES U.S.   INC.
11094806Fabricating   transistors with implanting dopants at first and second dosages in the   collector region to form the base regionTEXAS INSTRUMENTS   INCORPORATED
11094808Semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11094810Semiconductor device   and manufacturing method of semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11094811Semiconductor device   and manufacturing method thereof--
11094814Semiconductor power   device--
11094816Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11094817Drain extended NMOS   transistorTEXAS INSTRUMENTS   INCORPORATED
11094819Stacked vertical   tunnel FET devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094820Mobile ferroelectric   single domain wall implementation of a symmetric resistive processing unitINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094821Transistor structure   and method with strain effect--
11094822Source/drain regions   for transistor devices and methods of forming sameGLOBALFOUNDRIES U.S.   INC.
11094823Stress induction in   3D device channel using elastic relaxation of high stress materialINTERNATIONAL   BUSINESS MACHINES CORPORATION
11094824Forming a sacrificial   liner for dual channel devicesTESSERA, INC.
11094825FinFET device with   fins of non-uniform width--
11094826FinFET device and   method of forming same--
11094827Semiconductor devices   with uniform gate height and method of forming sameGLOBALFOUNDRIES U.S.   INC.
11094828Geometry for   threshold voltage tuning on semiconductor device--
11094831Semiconductor   nanowire device having cavity spacer and method of fabricating cavity spacer   for semiconductor nanowire deviceINTEL CORPORATION
11094833Semiconductor device   including memory using hafnium and a method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11094834Junction field effect   transistor (JFET) structure and methods to form sameGLOBALFOUNDRIES U.S.   INC.
11094835Silicon carbide   substrate, method for manufacturing silicon carbide substrate, and method for   manufacturing silicon carbide semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11094838Texturization method   of silicon wafers, product obtained therefrom and preparation method of solar   cellsCSI CELLS CO., LTD.
11094858Tape, encapsulating   process and optical device--
11094894Method for   manufacturing a display motherboardBOE TECHNOLOGY GROUP   CO., LTD.
11095096Method for a GaN   vertical microcavity surface emitting laser (VCSEL)YALE UNIVERSITY
11095251Performance   calculation system, performance calculation method, and electronic device--
11096269Printed circuit board   assemblySAMSUNG   ELECTRO-MECHANICS CO., LTD.
11096287Method of   manufacturing packaged boardDISCO CORPORATION
11096319Method of   manufacturing electronic device using large-scale transferring methodEWHA   UNIVERSITY-INDUSTRY COLLABORATION FOUNDATION
11097306Support for bonding a   workpiece and method thereofMICRO MATERIALS INC.
11097320FOUP cleaning device   and FOUP cleaning methodSTI CO., LTD.
11097426Carrier system,   exposure apparatus, carrier method, exposure method, device manufacturing   method, and suction deviceNIKON CORPORATION
11097907Substrate transfer   device and substrate transfer methodTOKYO ELECTRON   LIMITED
11097913Transport rollerATOTECH DEUTSCHLAND   GMBH
11097942Through silicon via   (TSV) formation in integrated circuitsANALOG DEVICES, INC.
11097943Method for   fabricating a membrane device including membrane having wrinkles formed along   trenchesKOREA INSTITUTE OF   SCIENCE AND TECHNOLOGY
11098170Film and method for   its productionAGC INC.
11098397Yttrium fluoride   spray material, yttrium oxyfluoride-deposited article, and making methodsSHIN-ETSU CHEMICAL   CO., LTD.
11098398Yttrium fluoride   spray material, yttrium oxyfluoride-deposited article, and making methodsSHIN-ETSU CHEMICAL   CO., LTD.
11098402Storage and delivery   of antimony-containing materials to an ion implanterPRAXAIR TECHNOLOGY,   INC.
11098404Multi-station chamber   lid with precise temperature and flow controlAPPLIED MATERIALS,   INC.
11098406Substrate support   unit and deposition apparatus including the sameSAMSUNG ELECTRONICS   CO., LTD.
11098414Plating system, a   plating system control method, and a storage medium containing a program for   causing a computer to execute the plating system control methodEBARA CORPORATION
11098759Support table for a   lithographic apparatus, method of loading a substrate, lithographic apparatus   and device manufacturing methodASML NETHERLANDS B.V.
11099036360 degree position   sensorINTEGRATED DEVICE   TECHNOLOGY, INC.
11099139Photolithography   method and photolithography system--
11099142X-ray based   measurements in patterned structureNOVA MEASURING   INSTRUMENTS LTD.
11099152Backside CMOS   compatible BioFET with no plasma induced damage--
11099230Electromigration test   structures for void localizationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11099441Wire substrate and   display device including the sameSAMSUNG DISPLAY CO.,   LTD.
11099478Photomask having   recessed region--
11099486Generating predicted   data for control or monitoring of a production processASML NETHERLANDS B.V.
11099490Inspection substrate   and an inspection methodASML NETHERLANDS B.V.
11099546Scheduler, substrate   processing apparatus, and substrate conveyance methodEBARA CORPORATION
11100628Thickness measurement   of substrate using color metrologyAPPLIED MATERIALS,   INC.
11100857Display device and   electronic apparatusSONY CORPORATION
11100971Ferroelectric domain   regulated optical readout mode memory and preparing method thereofSHANGHAI INSTITUTE OF   TECHNICAL PHYSICS OF THE CHINESE ACADEMY OF SCIENCES
11101072Capacitor with   limited substrate capacitanceMURATA MANUFACTURING   CO., LTD.
11101107Ceramic layer for   electrostatic chuck including embedded faraday cage for RF delivery and   associated methodsLAM RESEARCH   CORPORATION
11101108Nanosecond pulser ADC   systemEAGLE HARBOR   TECHNOLOGIES INC.
11101110Impedance matching   network and methodRENO TECHNOLOGIES,   INC.
11101111Substrate processing   apparatus, method of manufacturing semiconductor device, and baffle structure   of the substrate processing apparatusKOKUSAI ELECTRIC   CORPORATION
11101112Plasma processing   device and plasma processing methodPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11101113Ion-ion plasma atomic   layer etch processAPPLIED MATERIALS,   INC.
11101114Plasma processing   apparatusTOKYO ELECTRON   LIMITED
11101115Ring removal from   processing chamberAPPLIED MATERIALS,   INC.
11101128Methods for gapfill   in substratesAPPLIED MATERIALS,   INC.
11101129Ultrathin atomic   layer deposition film accuracy thickness controlLAM RESEARCH   CORPORATION
11101130Method of filling   grooves and holes in a substrateRAYTHEON COMPANY
11101131Film forming method   and film forming apparatusTOKYO ELECTRON   LIMITED
11101132Method and device for   bonding of substratesEV GROUP E. THALLNER   GMBH
11101133Semiconductor device   and manufacturing method thereofMITSUBISHI ELECTRIC   CORPORATION
11101134Method and apparatus   to eliminate contaminant particles from an accelerated neutral atom beam and   thereby protect a beam targetEXOGENESIS   CORPORATION
11101135Semiconductor device   and method of manufacture--
11101136Process window   widening using coated parts in plasma etch processesAPPLIED MATERIALS,   INC.
11101137Method of making   reverse conducting insulated gate bipolar transistorALPHA AND OMEGA   SEMICONDUCTOR INTERNATIONAL LP
11101138Etching methodTOKYO ELECTRON   LIMITED
11101139Etched nickel plated   substrate and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11101140Semiconductor device   and method of manufacture--
11101141Method for reducing   defects of electronic components by a supercritical fluid--
11101142Pre-heat processes   for millisecond anneal systemBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGV CO., LTD.
11101143Semiconductor device   and manufacturing method thereof--
11101144Semiconductor device   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11101145Semiconductor device   with dummy micro bumps between stacking dies to improve flowability of   underfill material--
11101146Substrate processing   apparatusSCREEN HOLDINGS CO.,   LTD.
11101147Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11101148Semiconductor   processing apparatus and methodWUXI HUAYING   MICROELECTRONICS TECHNOLOGY CO., LTD
11101149Semiconductor   fabrication with electrochemical apparatus--
11101150Wafer grinding   apparatus and wafer grinding methodMITSUBISHI ELECTRIC   CORPORATION
11101151Package substrate   processing methodDISCO CORPORATION
11101152Phase mixture   temperature controlled hot plateTOKYO ELECTRON   LIMITED
11101153Parameter-stable   misregistration measurement amelioration in semiconductor devicesKLA CORPORATION
11101154Method of processing   target substrateTOKYO ELECTRON   LIMITED
11101155Board storing   containerMIRAIAL CO., LTD.
11101156Substrate treating   apparatusSCREEN HOLDINGS CO.,   LTD.
11101157Substrate processing   system--
11101158Wafer-scale membrane   release laminates, devices and processesUNITED STATES OF   AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA
11101159Pickup head with   photocurable polymers for assembling light emitting diodesFACEBOOK   TECHNOLOGIES, LLC
11101160Device packaging   using a recyclable carrier substrateSKYWORKS SOLUTIONS,   INC.
11101161Substrate holding   memberNGK SPARK PLUG CO.,   LTD.
11101162Chuck table, cutting   apparatus, and method correcting chuck tableDISCO CORPORATION
11101163Systems and methods   for automated robotic arm sensing--
11101164Integrated showerhead   with thermal control for delivering radical and precursor gas to a downstream   chamber to enable remote plasma film depositionLAM RESEARCH   CORPORATION
11101165Method for   fabricating semiconductor device comprising a deep trench isolation structure   and a trap rich isolation structure in a substrate--
11101166Semiconductor device   including isolation layers and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11101167Semiconductor device   manufacturing method and semiconductor deviceTOSHIBA MEMORY   CORPORATION
11101168Profile of deep   trench isolation structure for isolation of high-voltage devices--
11101169Interconnect   structures with airgaps arranged between capped interconnectsGLOBALFOUNDRIES U.S.   INC.
11101170Dual airgap structureGLOBALFOUNDRIES U.S.   INC.
11101171Apparatus comprising   structures including contact vias and conductive lines, related methods, and   memory devicesMICRON TECHNOLOGY,   INC.
11101172Dielectric   damage-free dual damascene Cu interconnects without barrier at via bottomINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101173Self-aware and   correcting heterogenous platform incorporating integrated semiconductor   processing modules and method for using sameTOKYO ELECTRON   LIMITED
11101174Gap fill deposition   processAPPLIED MATERIALS,   INC.
11101175Tall trenches for via   chamferless and self forming barrierINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101176Method of fabricating   redistribution circuit structure--
11101177Semiconductor   structure and method for forming the same--
11101178Semiconductor   integrated circuit--
11101179Semiconductor   structure with protection portions and method for forming the same--
11101180Semiconductor device   and method of manufacture--
11101181Junction formation in   thick-oxide and thin-oxide vertical FETs on the same chipINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101182Nanosheet transistors   with different gate dielectrics and workfunction metalsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101183Gate spacer formation   for scaled CMOS devicesVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11101184Method and apparatus   to determine a patterning process parameterASML NETHERLANDS B.V.
11101185Method and apparatus   to determine a patterning process parameterASML NETHERLANDS B.V.
11101186Substrate structure   having pad portions--
11101187Semiconductor deviceROHM CO., LTD.
11101188Cap for package of   integrated circuitSTMICROELECTRONICS   (GRENOBLE 2) SAS
11101189Semiconductor device   package and method of manufacturing the same--
11101192Wafer level embedded   heat spreader--
11101193Power electronics   modules including integrated jet coolingTOYOTA MOTOR   ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
11101195Package structure and   method for forming the same--
11101196Semiconductor device   including through substrate vias and method of manufacturing the   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11101197Leadframe systems and   related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11101200Surface-mount   integrated circuit package with coated surfaces for improved solder   connectionMICROCHIP TECHNOLOGY   INCORPORATED
11101203Wiring structure   comprising intermediate layer including a plurality of sub-layers--
11101209Redistribution   structures in semiconductor packages and methods of forming same--
11101210Methods for   manufacturing a memory array having strings of memory cells comprising   forming bridge material between memory blocksMICRON TECHNOLOGY,   INC.
11101211Semiconductor device   with backside inductor using through silicon viasINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101212Thin film resistor   with punch-through viasTEXAS INSTRUMENTS   INCORPORATED
11101216Metal line structure   and method--
11101217Buried power rail for   transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101219Low resistance   contacts including intermetallic alloy of nickel, platinum, titanium,   aluminum and type IV semiconductor elementsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101220Through-package   partial via on package edgeQUALCOMM INCORPORATED
11101221Input/output pins for   chip-embedded substrateINFINEON TECHNOLOGIES   AMERICAS CORP.
11101224Wrapped signal   shielding in a wafer fanout packageFUTUREWEI   TECHNOLOGIES, INC.
11101229Semiconductor device   and method for fabricating the same--
11101231Semiconductor package   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11101233Semiconductor device   and method for forming the same--
11101235Fabrication method of   semiconductor package with stacked semiconductor chips--
11101236Semiconductor package   and method of forming the same--
11101237Semiconductor device   structure having semiconductor die bonded to redistribution layer via   electrical pad with barrier layer--
11101239Process for packaging   componentXIAMEN SANAN   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11101240Isolation bonding   film for semiconductor packages and methods of forming the same--
11101242Semiconductor device   and method of manufacturing sameTOSHIBA MEMORY   CORPORATION
11101244Stacked semiconductor   die assemblies with die support members and associated systems and methodsMICRON TECHNOLOGY,   INC.
11101246Semiconductor device   having chips attached to support members through silver sintered bodies with   particlesDENSO CORPORATION
11101252Package-on-package   structure and manufacturing method thereof--
11101254Flip-chip like   integrated passive prepackage for SIP deviceINTEL CORPORATION
11101260Method of forming a   dummy die of an integrated circuit having an embedded annular structure--
11101261Package-on-package   structures and methods for forming the same--
111012663D device and devices   with bondingMONOLITHIC 3D INC.
11101267Integrated circuit   including multiple-height cell and method of manufacturing the integrated   circuitSAMSUNG ELECTRONICS   CO., LTD.
11101268Transistors employing   non-selective deposition of source/drain materialINTEL CORPORATION
11101269Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11101270Techniques and   mechanisms for operation of stacked transistorsINTEL CORPORATION
11101274Ferroelectric   capacitor, a ferroelectric memory cell, an array of ferroelectric memory   cells, and a method of forming a ferroelectric capacitorMICRON TECHNOLOGY,   INC.
11101276Word line contact   structure for three-dimensional memory devices and fabrication methods   thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11101277Process for   manufacturing NOR memory cell with vertical floating gateGREENLIANT IP, LLC.
11101279Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
11101280Memory arrays and   methods used in forming a memory arrayMICRON TECHNOLOGY,   INC.
11101281Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11101284Three-dimensional   memory device containing etch stop structures and methods of making the sameSANDISK TECHNOLOGIES   LLC
11101286Three-dimensional   memory device with source structure and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11101288Three-dimensional   memory device containing plural work function word lines and methods of   forming the sameSANDISK TECHNOLOGIES   LLC
11101290Cross-point   multilayer stackable ferroelectric field-effect transistor random access   memoryINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101293Semiconductor device   and method for manufacturing the semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11101295Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11101301Array substrate and   manufacturing method therefor, display panel and display apparatusBOE TECHNOLOGY GROUP   CO., LTD.
11101313Solid-state imaging   device and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11101325Semiconductor memory   and method of manufacturing the sameTOSHIBA MEMORY   CORPORATION
11101342Deep trench   intersectionsTEXAS INSTRUMENTS   INCORPORATED
11101343Silicon carbide   field-effect transistor including shielding areasINFINEON TECHNOLOGIES   AG
11101344Structure and   formation method of semiconductor device structure with gate stack--
11101346Edge termination   designs for semiconductor power devicesHUNTECH SEMICONDUCTOR   (SHANGHAI) CO. LTD
11101348Nanosheet field   effect transistor with spacers between sheetsGLOBALFOUNDRIES U.S.   INC.
11101350Integrated circuit   with germanium-rich channel transistors including one or more dopant   diffusion barrier elementsINTEL CORPORATION
11101351Group III nitride   semiconductor device and method of manufacturing group III nitride   semiconductor substrateKABUSHIKI KAISHA   TOSHIBA
11101353Semiconductor device   and method of manufacture--
11101354Method for forming   semiconductor device structure with metal silicide layer--
11101357Asymmetric high-k   dielectric for reducing gate induced drain leakageTESSERA, INC.
11101359Gate-all-around (GAA)   method and devices--
11101360Method of   manufacturing a semiconductor device and a semiconductor device--
11101361Gate-all-around (GAA)   transistor and method of fabricating the same--
11101364Field-effect   transistors with diffusion blocking spacer sectionsGLOBALFOUNDRIES U.S.   INC.
11101365Method for   fabricating semiconductor device and semiconductor device fabricated by the   sameSAMSUNG ELECTRONICS   CO., LTD.
11101366Remote plasma oxide   layer--
11101367Contact-first   field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11101368Method of forming   crystallized semiconductor layer, method of fabricating thin film transistor,   thin film transistor, and display apparatusBEIJING BOE DISPLAY   TECHNOLOGY CO., LTD.
11101369FinFET device with   controlled channel stop layer depthSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11101370Method of forming a   germanium oxynitride filmASM IP HOLDING B.V.
11101371Structure and method   for vertical tunneling field effect transistor with leveled source and drain--
11101372Double-sided vertical   power transistor structure--
11101376Non-planar transition   metal dichalcogenide devicesINTEL CORPORATION
11101378Semiconductor   structure having both enhancement mode group III-N high electron mobility   transistors and depletion mode group III-N high electron mobility transistorsRAYTHEON COMPANY
11101380Group III-nitride   integrated front-end circuitINTEL CORPORATION
11101381Structure of high   voltage transistor and method for fabricating the same--
11101385Fin field effect   transistor (FinFET) device structure with air gap and method for forming the   same--
11101387Low temperature   polysilicon layer, thin film transistor, and method for manufacturing same--
11101388Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11101389Dual-use   semiconductor device for solar power and data storageMARVELL ASIA PTE,   LTD.
11101394Method of   transferring tin sulfide film and photoelectric device using the methodINU RESEARCH &   BUSINESS FOUNDATION
11101428Method for the   production of a single-crystal film, in particular piezoeletricSOITEC
11101540Semiconductor devices   and methods of manufacturing semiconductor devicesAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11101541Semiconductor   assembly and method for manufacturing the same--
11101786HF-VHF quartz MEMS   resonatorHRL LABORATORIES, LLC
11101840Chip radio frequency   package and radio frequency moduleSAMSUNG   ELECTRO-MECHANICS CO., LTD.
11102887Electrical connection   deviceAUTONETWORKS   TECHNOLOGIES, LTD.
11102921Electrically testing   cleanliness of a panel having an electronic assemblyIEC ELECTRONICS CORP.
11103168Systems and methods   for in vivo detection of electrophysiological and electrochemical signalsNEW YORK UNIVERSITY
11103898Methods and apparatus   for cleaning substratesACM RESEARCH, INC.
11103946Method for bonding   large modules, and bonding arrangementHESSE GMBH
11103959Laser processing   method, and laser processing deviceHAMAMATSU PHOTONICS   K.K.
11103969Cutting apparatusDISCO CORPORATION
11103972Buff processing   device and substrate processing deviceEBARA CORPORATION
11104496Non-sealed reticle   storage device--
11104573Semiconductor   arrangement with one or more semiconductor columns--
11104825Metal compound   chemically anchored colloidal particles and methods of production and use   thereofVERSUM MATERIALS US,   LLC
11104832Liquid epoxy resin   sealing material and semiconductor deviceNAMICS CORPORATION
11104848Etching solution for   silicon substrate and method for manufacturing semiconductor device using the   sameOCI COMPANY LTD.
11104990Methods for   depositing a conformal metal or metalloid silicon nitride film and resultant   filmsVERSUM MATERIALS US,   LLC
11104992Substrate processing   apparatus, non-transitory computer-readable recording medium thereof and   semiconductor manufacturing method by employing thereofKOKUSAI ELECTRIC   CORPORATION
11104996Heating stage and   apparatus having the same--
11104997Substrate processing   apparatus and method of manufacturing semiconductor deviceKOKUSAI ELECTRIC   CORPORATION
11105014Distribution system   for chemical and/or electrolytic surface treatmentSEMSYSCO GMBH
11105765Biosensor device and   method for manufacturing thereof and method for detecting biological   molecules--
11105770Nanopore and DNA   sensor employing nanoporeINTERNATIONAL   BUSINESS MACHINES CORPORATION
11105848Probe card with   angled probe and wafer testing method using the same--
11105974Waveguide-coupled   silicon-germanium photodetectors and fabrication methods for sameMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11106138Lithography process   and material for negative tone development--
11106835Method of   manufacturing conductive lines in a circuit--
11107540Program disturb   improvements in multi-tier memory devices including improved non-data   conductive gate implementationSANDISK TECHNOLOGIES   LLC
11107613On-chip resistor   trimming to compensate for process variationSTMICROELECTRONICS   INTERNATIONAL N.V.
11107630Integration scheme   for breakdown voltage enhancement of a piezoelectric metal-insulator-metal   device--
11107637Variable capacitance   elementMURATA MANUFACTURING   CO., LTD.
11107658Fill pattern to   enhance e-beam process marginINTEL CORPORATION
11107663Plasma processing   system and plasma processing methodTOKYO ELECTRON   LIMITED
11107664Plasma processing   apparatus and prediction apparatus of the condition of plasma processing   apparatusHITACHI HIGH-TECH   CORPORATION
11107671Method of processing   semiconductor substrate--
11107672Method of   manufacturing semiconductor device and method of cleaning substrate--
11107673Formation of SiOCN   thin filmsASM IP HOLDING B.V.
11107674Methods for   depositing silicon nitrideAPPLIED MATERIALS,   INC.
11107675CVD Mo deposition by   using MoOCl<sub>4</sub>ENTEGRIS, INC.
11107676Method and apparatus   for filling a gapASM IP HOLDING B.V.
11107677Method for   manufacturing SiC epitaxial substrateMITSUBISHI ELECTRIC   CORPORATION
11107678Wafer process,   apparatus and method of manufacturing an articleCANON KABUSHIKI   KAISHA
11107679Method of processing   a target materialNATIONAL UNIVERSITY   OF IRELAND, GALWAY
11107680Mask assembly and   method for fabricating a chip package--
11107681Method of fabricating   semiconductor device by removing material on back side of substrateSAMSUNG ELECTRONICS   CO., LTD.
11107682Method for patterning   a substrate using a layer with multiple materialsTOKYO ELECTRON   LIMITED
11107683Selective growth of   metal-containing hardmask thin filmsLAM RESEARCH   CORPORATION
11107684Diamond semiconductor   system and methodAKHAN SEMICONDUCTOR,   INC.
11107685Semiconductor   manufacturing method and semiconductor manufacturing deviceMITSUBISHI ELECTRIC   CORPORATION
11107686Methods for   manufacturing semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11107687Semiconductor   epitaxial wafer and method of producing semiconductor epitaxial wafer, and   method of producing solid-state imaging deviceSUMCO CORPORATION
11107688Semiconductor device   manufacturing methodSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11107689Method for   fabricating semiconductor device--
11107690Fin field-effect   transistor device and method of forming the same--
11107691Method of   manufacturing semiconductor deviceDENSO CORPORATION
11107692Etching methodTOKYO ELECTRON   LIMITED
11107693Method for high   aspect ratio photoresist removal in pure reducing plasmaBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11107694Method for releasing   sample and plasma processing apparatus using sameHITACHI HIGH-TECH   CORPORATION
11107695Surface smoothing of   workpiecesBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11107696Implantation for etch   stop linerXILINX, INC.
11107697Floating gate   fabrication methodWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11107698Substrate treating   methodSCREEN HOLDINGS CO.,   LTD.
11107699Semiconductor   manufacturing processBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
11107700Semiconductor package   method of fabricating semiconductor package and method of fabricating   re-distribution structureSAMSUNG ELECTRONICS   CO., LTD.
11107701Stiffener package and   method of fabricating stiffener packageAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11107702Method for creating   through-connected vias and conductors on a substrateSAMTEC, INC.
11107703Monolithic,   biocompatible feedthrough for hermetically sealed electronics and methods of   manufactureNEURALINK CORP.
11107704Gas input system for   a substrate processing chamberAPPLIED MATERIALS,   INC.
11107705Cleaning solution   production systems and methods, and plasma reaction tanksSAMSUNG ELECTRONICS   CO., LTD.
11107706Gas phase etching   device and gas phase etching apparatusBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
11107707Wet etch apparatus   and method of using the same--
11107708Heating platform,   thermal treatment and manufacturing method--
11107709Temperature-controllable   process chambers, electronic device processing systems, and manufacturing   methodsAPPLIED MATERIALS,   INC.
11107711Micro light emitting   diode transferring apparatus, method for transferring micro light emitting   diode, and display apparatusBEIJING BOE DISPLAY   TECHNOLOGY CO., LTD.
11107712Techniques for   thermal treatment of electronic devicesKATEEVA, INC.
11107713Conveying system and   method for operating the same--
11107714Electromigration   evaluation methodology with consideration of thermal and signal effects--
11107715Semiconductor stocker   systems and methodsBROOKS AUTOMATION   (GERMANY) GMBH
11107716Automation line for   processing a molded panelPYXIS CF PTE. LTD.
11107717Wafer box conveyorSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
11107718Measurement system,   substrate processing system, and device manufacturing methodNIKON CORPORATION
11107719Electrostatic chuck   device and method for manufacturing electrostatic chuck deviceSUMITOMO OSAKA CEMENT   CO., LTD.
11107720Methods of   manufacturing vertical semiconductor diodes using an engineered substrateQROMIS, INC.
111077213D semiconductor   device and structure with NAND logicMONOLITHIC 3D INC.
11107722Thin-plate substrate   holding finger and transfer robot provided with said fingerRORZE CORPORATION
11107723Method of fabricating   semiconductor deviceUNITED SEMICONDUCTOR   (XIAMEN) CO., LTD.
11107724Methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
11107725Interconnect   structure and manufacturing method for the same--
11107726Method for   manufacturing bonding pad in semiconductor deviceWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11107727Double metal double   patterning with vias extending into dielectricINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107728Interconnects with   tight pitch and reduced resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107729Semiconductor memory   device and manufacturing method thereofSK HYNIX INC.
11107730Method of   manufacturing semiconductor device with anti-fuse structures--
11107731Self-aligned repaired   top viaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107732Methods for   processing a wide band gap semiconductor wafer, methods for forming a   plurality of thin wide band gap semiconductor wafers, and wide band gap   semiconductor wafersINFINEON TECHNOLOGIES   AG
11107733Multi-dimensional   planes of logic and memory formation using single crystal silicon   orientationsTOKYO ELECTRON   LIMITED
11107734Semiconductor device   and manufacturing method thereof--
11107735Methods of forming   epitaxial structures in fin-like field effect transistors--
11107736Gate structures for   semiconductor devices--
11107737Control wafer and   method for fabricating semiconductor device--
11107738Layer detection for   high aspect ratio etch controlNOVA LTD.
11107741Composite ceramic   multilayer substrate, heat generating element-mounting module, and method of   producing composite ceramic multilayer substrateMURATA MANUFACTURING   CO., LTD.
11107742Electronic devices   and fabricating processesSTMICROELECTRONICS   (GRENOBLE 2) SAS
11107744Insulated gate   bipolar transistor module and manufacturing method thereofRAYBEN TECHNOLOGIES   (ZHUHAI) LIMITED
11107746Power semiconductor   apparatus and manufacturing method thereforMITSUBISHI ELECTRIC   CORPORATION
11107747Semiconductor package   with composite thermal interface material structure and method of forming the   same--
11107751Face-to-face   through-silicon via multi-chip semiconductor apparatus with redistribution   layer packaging and methods of assembling sameINTEL CORPORATION
11107752Half buried nFET/pFET   epitaxy source/drain strapINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107753Packaging structure   for gallium nitride devicesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11107754Electronic device,   leadframe for an electronic device and method for fabricating an electronic   device and a leadframeINFINEON TECHNOLOGIES   AG
11107756Semiconductor device   and method for manufacturing the same, and power conversion deviceMITSUBISHI ELECTRIC   CORPORATION
11107757Integrated circuit   structures in package substratesINTEL CORPORATION
11107758Fan-out package   structure and method--
11107759Chip package and   manufacturing method thereof--
11107760Semiconductor device,   electric power conversion apparatus and method for manufacturing   semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11107762Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11107765Three-dimensional   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11107766Substrate with   embedded stacked through-silicon via dieINTEL CORPORATION
11107767Structure for   standard logic performance improvement having a back-side   through-substrate-via--
11107770Integrated   electrical/optical interface with two-tiered packagingXILINX, INC.
11107771Segregated power and   ground design for yield improvement--
11107772Semiconductor package   and method of manufacturing semiconductor package--
11107773Semiconductor device,   semiconductor chip and method of dicing a semiconductor substrateSAMSUNG ELECTRONICS   CO., LTD.
11107774Semiconductor device   package and method of manufacturing the same--
11107779Semiconductor package   and manufacturing method thereof--
11107781RFIC having coaxial   interconnect and molded layerINTEL CORPORATION
11107786Pattern decomposition   lithography techniquesINTEL CORPORATION
11107787Member for   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11107789Method for   manufacturing semiconductor deviceMITSUI CHEMICALS   TOHCELLO, INC.
11107791Semiconductor package   structure and method for manufacturing the same--
11107794Multi-wafer stack   structure and forming method thereofWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11107798Semiconductor   packages and methods of forming the same--
11107799Hybrid system   including photonic and electronic integrated circuits and cooling platePSIQUANTUM, CORP.
11107801Multi fan-out package   structure and method for forming the same--
11107803Method to construct   3D devices and systemsMONOLITHIC 3D INC.
11107804IC with test   structures and e-beam pads embedded within a contiguous standard cell areaPDF SOLUTIONS, INC.
11107805Integrated circuit--
11107809Semiconductor device   with nanowire plugs and method for fabricating the same--
11107810Fin field effect   transistor (FinFET) device structure and method for forming the same--
11107811Metallization   structures under a semiconductor device layerINTEL CORPORATION
11107812Method of fabricating   stacked semiconductor deviceIMEC VZW
11107813Semiconductor device   and manufacturing method thereof--
11107814Vertical fin field   effect transistor devices with a replacement metal gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107815Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11107819Memory cells,   semiconductor devices comprising memory cells, and related systemsMICRON TECHNOLOGY,   INC.
11107820Semiconductor device   and method for fabricating the same--
11107821Semiconductor   structures with deep trench capacitor and methods of manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107823Integrated structures   and methods of forming integrated structuresMICRON TECHNOLOGY,   INC.
11107830Integrated assemblies   having vertically-spaced channel material segments, and methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
11107831Methods of forming   integrated assemblies include stacked memory decksMICRON TECHNOLOGY,   INC.
11107832Apparatuses including   memory cells and related methodsMICRON TECHNOLOGY,   INC.
11107834Staircase and contact   structures for three-dimensional memoryYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11107836Semiconductor device   structure and method for forming the same--
11107844Display deviceLG DISPLAY CO., LTD.
11107846Semiconductor device,   manufacturing method thereof, and separation apparatusSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11107855Method for bonding   and connecting substratesSONY CORPORATION
11107878High resistivity   iron-based, thermally stable magnetic material for on-chip integrated   inductorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107880Capacitor structure   for integrated circuit, and related methodsGLOBALFOUNDRIES U.S.   INC.
11107882Integrated circuit   device including complementary metal-oxide-semiconductor transistor with   field cut regions to increase carrier mobilitySAMSUNG ELECTRONICS   CO., LTD.
11107883Device isolator with   reduced parasitic capacitanceTEXAS INSTRUMENTS   INCORPORATED
11107884Sealed cavity   structures with a planar surfaceGLOBALFOUNDRIES U.S.   INC.
11107888Method for   manufacturing semiconductor device--
11107889Fin field effect   transistor (FinFET) device having position-dependent heat generation--
11107892SiC epitaxial wafer   and method for producing sameSHOWA DENKO K.K.
11107893Method for forming a   semiconductor device and a semiconductor deviceINFINEON TECHNOLOGIES   AG
11107896Vertical interconnect   features and methods of forming--
11107897Methods of forming   semiconductor devices and FinFET devices having shielding layers--
11107898Semiconductor device   and method for manufacturing sameKABUSHIKI KAISHA   TOSHIBA
11107899Plate design to   decrease noise in semiconductor devices--
11107900Dual-gate transistors   and their integrated circuits and preparation method thereofPEKING UNIVERSITY
11107901Charge storage memory   device including ferroelectric layer between control gate electrode layers   and methods of making the sameSANDISK TECHNOLOGIES   LLC
11107902Dielectric spacer to   prevent contacting shorting--
11107903Selective silicon   growth for gapfill improvement--
11107904Inner spacer   formation in multi-gate transistors--
11107905Vertical field effect   transistors with self aligned source/drain junctionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11107907Semiconductor device   and method for manufacturing the same--
11107908Transistors with   metal source and drain contacts including a Heusler alloyINTEL CORPORATION
11107910Semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11107911Semiconductor device   and method for manufacturing sameDENSO CORPORATION
11107912Trench gate   semiconductor device with dummy gate electrode and manufacturing method of   the sameRENESAS ELECTRONICS   CORPORATION
11107914Metal-oxide   semiconductor for field-effect transistor having enhanced high-frequency   performance--
11107919Method of   manufacturing semiconductor device including ferroelectric layer having   columnar-shaped crystals--
11107921Source/drain recess   in a semiconductor device--
11107922Gate structure and   method with enhanced gate contact and threshold voltage--
11107923Source/drain regions   of FinFET devices and methods of forming same--
11107924Systems and methods   to reduce FinFET gate capacitanceINTEL CORPORATION
11107925Methods of forming   contact features in field-effect transistors--
11107926Oxide semiconductor   film and method for producing sameFLOSFIA INC.
11107930Semiconductor device   and display device including the semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11107931Structure and   formation method of semiconductor device structure with nanowires--
11107943Method and device for   transporting an arrangement of flexible circuit substrates during the   production of a laminate therefromM&#XDC;HLBAUER   GMBH & CO. KG
11107946Method of   transferring micro-LEDs from a gallium arsenide substrateGOERTEK INC.
11107947Micro light emitting   diodeUNION CITY
11107948Fluidic pick-up head   for assembling light emitting diodesFACEBOOK   TECHNOLOGIES, LLC
11107974Magnetic tunnel   junction devices including a free magnetic trench layer and a planar   reference magnetic layerSPIN MEMORY, INC.
11107976Magnetic tunnel   junction, spintronics device using same, and method for manufacturing   magnetic tunnel junctionNATIONAL INSTITUTE   FOR MATERIALS SCIENCE
11107979Patterned silicide   structures and methods of manufactureSPIN MEMORY, INC.
11108017Organic light   emitting diode device package structure and method of manufacturing sameWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11109171Semiconductor device   and manufacture thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11109452Modular LED heaterAPPLIED MATERIALS,   INC.
11109486High-speed, flexible   integrated circuits and methods for making high-speed, flexible integrated   circuitsWISCONSIN ALUMNI   RESEARCH FOUNDATION
11109667Device of bi-spiral   cleaning brush--
11110383Gas abatement   apparatusAPPLIED MATERIALS,   INC.
11110598Compact direct drive   spindleBROOKS AUTOMATION,   INC.
11110599Robot, control device   of robot, and method of teaching position of robotKAWASAKI JUKOGYO   KABUSHIKI KAISHA
11111134Method for processing   conductive structureAAC ACOUSTIC   TECHNOLOGIES (SHENZHEN) CO., LTD.
11111136Use of a reactive, or   reducing gas as a method to increase contact lifetime in micro contact MEMS   switch devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11111225Calixarene compound   and curable compositionDIC CORPORATION
11111256High purity   trisilylamine, methods of making, and useJIANGSU NATA   OPTO-ELECTRONIC MATERIALS CO. LTD.
11111412Polishing   composition, method for producing polishing composition, and polishing methodFUJIMI INCORPORATED
11111413Chemical-mechanical   polishing solution having high silicon nitride selectivityANJI MICROELECTRONICS   TECHNOLOGY (SHANGHAI) CO., LTD.
11111414Polishing compositionFUJIMI INCORPORATED
11111415Chemical mechanical   planarization of films comprising elemental siliconVERSUM MATERIALS US,   LLC
11111435Tungsten chemical   mechanical planarization (CMP) with low dishing and low erosion topographyVERSUM MATERIALS US,   LLC
11111573Component and   semiconductor manufacturing deviceKYOCERA CORPORATION
11111583Substrate carrier   system utilizing electrostatic chucking to accommodate substrate size   heterogeneityAPPLIED MATERIALS,   INC.
11111592Manufacturing   apparatus and manufacturing method for semiconductor deviceTOKYO ELECTRON   LIMITED
11111597Methods for growing a   nitrogen doped single crystal silicon ingot using continuous Czochralski   method--
11111598Crystal growth method   in a semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11111602Nitrogen doped and   vacancy dominated silicon ingot and thermally treated wafer formed therefrom   having radially uniformly distributed oxygen precipitation density and size--
11112189Cold plate and   manufacturing method of cold plateFUJIKURA LTD.
11112369Hybrid overlay target   design for imaging-based overlay and scatterometry-based overlayKLA-TENCOR   CORPORATION
11112379Molecular sensor   based on virtual buried nanowireRAMOT AT TEL-AVIV   UNIVERSITY LTD.
11112394Ethylenic compound   sensor including an organic semiconductorTHE JOHNS HOPKINS   UNIVERSITY
11112482Method for   calibrating verticality of particle beam and system applied to semiconductor   fabrication processYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11112570Fabrication method of   high aspect ratio solder bumping with stud bump and injection molded solder,   and flip chip joining with the solder bumpINTERNATIONAL   BUSINESS MACHINES CORPORATION
11112694Methods of forming   variable-depth device structuresAPPLIED MATERIALS,   INC.
11112696Protective   film-forming compositionNISSAN CHEMICAL   CORPORATION
11112697Method and apparatus   for post exposure processing of photoresist wafersAPPLIED MATERIALS,   INC.
11112698Photoresist with   gradient composition for improved uniformity--
11112701Control device,   control method, lithographic apparatus, method of manufacturing article, and   storage mediumCANON KABUSHIKI   KAISHA
11112773Systems for removing   and replacing consumable parts from a semiconductor process module in situLAM RESEARCH   CORPORATION
11114252Method for   manufacturing perovskite solar cell module and perovskite solar cell module--
11114280Impedance matching   with multi-level power setpointRENO TECHNOLOGIES,   INC.
11114283Reactor, system   including the reactor, and methods of manufacturing and using sameASM IP HOLDING B.V.
11114285Apparatus for exhaust   coolingAPPLIED MATERIALS,   INC.
11114289Non-disappearing   anode for use with dielectric depositionAPPLIED MATERIALS,   INC.
11114294Structure including   SiOC layer and method of forming sameASM IP HOLDING B.V.
11114295Epitaxial silicon   carbide single crystal wafer and process for producing the sameSHOWA DENKO K.K.
11114296Semiconductor wafer,   electronic device, method of performing inspection on semiconductor wafer,   and method of manufacturing electronic deviceSUMITOMO CHEMICAL   COMPANY, LIMITED
11114297Method for forming   semiconductor film and film forming deviceTOKYO ELECTRON   LIMITED
11114298Light generator   including debris shielding assembly, photolithographic apparatus including   the light generator, and method of manufacturing integrated circuit device   using the photolithographic apparatusSAMSUNG ELECTRONICS   CO., LTD.
11114299Techniques for   reducing tip to tip shorting and critical dimension variation during   nanoscale patterningAPPLIED MATERIALS,   INC.
11114300Laser annealing   apparatus, inspection method of substrate with crystallized film, and   manufacturing method of semiconductor deviceTHE JAPAN STEEL   WORKS, LTD.
11114301Semiconductor device   and manufacturing method thereof--
11114302Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11114303Gate all around   device, method for manufacturing FinFET device, and method for manufacturing   gate all around device--
11114304Substrate processing   methodTOKYO ELECTRON   LIMITED
11114305Etching method and   semiconductor manufacturing methodSHOWA DENKO K.K.
11114306Methods for   depositing dielectric materialAPPLIED MATERIALS,   INC.
11114307Method of producing a   wafer from an ingot including a peel-off detecting stepDISCO CORPORATION
11114308Controlling of height   of high-density interconnection structure on substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11114309Articles and methods   of forming vias in substratesCORNING INCORPORATED
11114310Embedded packaging   method capable of realizing heat dissipationZHUHAI ACCESS   SEMICONDUCTOR CO., LTD
11114311Chip package   structure and method for forming the same--
11114312Method for   manufacturing an encapsulation cover for an electronic package and electronic   package comprising a coverSTMICROELECTRONICS   (GRENOBLE 2) SAS
11114313Wafer level mold   chase--
11114314Method for   fabrication of a semiconductor structure including an interposer free from   any through viaSOITEC
11114315Chip packaging method   and package structurePEP INNOVATION PTE.   LTD.
11114316Substrate treating   apparatusSCREEN HOLDINGS CO.,   LTD.
11114317Method for cleaning   semiconductor wafer and manufacturing method of semiconductor wafer using the   method for cleaningSUMCO CORPORATION
11114318Assembling apparatus   and assembling method for semiconductor manufacturing apparatusTOKYO ELECTRON   LIMITED
11114319Heat treatment   apparatus and heat treatment methodTOKYO ELECTRON   LIMITED
11114320Processing system and   method of forming a contactAPPLIED MATERIALS,   INC.
11114321Apparatus and method   for real-time sensing of properties in industrial manufacturing equipmentTOKYO ELECTRON   LIMITED
11114322Mold and transfer   molding apparatusTOSHIBA MEMORY   CORPORATION
11114323VehicleDAIFUKU CO., LTD.
11114324Defect candidate   generation for inspectionKLA CORP.
11114325Fume-removing deviceSEONGNAM-SI
11114326Substrate chucking   and dechucking methodsAPPLIED MATERIALS,   INC.
11114327ESC substrate support   with chucking force controlAPPLIED MATERIALS,   INC.
11114328Devices, systems and   methods for electrostatic force enhanced semiconductor bondingMICRON TECHNOLOGY,   INC.
11114329Methods for loading   or unloading substrate with evaporator planetSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11114330Substrate support   having customizable and replaceable features for enhanced backside   contamination performanceAXCELIS TECHNOLOGIES,   INC.
11114331Method for   fabricating shallow trench isolation--
11114332Semiconductor on   insulator structure comprising a plasma nitride layer and method of   manufacture thereof--
11114333Method for depositing   and reflow of a high quality etch resistant gapfill dielectric filmMICROMATERIALS, LLC
11114334Semiconductor device   with air gap and method for preparing the same--
11114335Semiconductor device   structure with air gap structure and method for forming the same--
11114336Semiconductor device   and manufacturing method thereof--
11114337Method for bonding   and interconnecting semiconductor chipsIMEC VZW
11114338Fully aligned via in   ground rule regionGLOBALFOUNDRIES U.S.   INC.
11114339Method for reducing   metal plug corrosion and device--
11114340Method for producing   an interconnection comprising a via extending through a substrate--
11114341Laser processing   methodDISCO CORPORATION
11114342Wafer processing   methodDISCO CORPORATION
11114343Partial backside   metal removal singulation system and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11114344IC die with dummy   structuresXILINX, INC.
11114345IC including standard   cells and SRAM cells--
11114346High density logic   formation using multi-dimensional laser annealingTOKYO ELECTRON   LIMITED
11114347Self-protective layer   formed on high-k dielectric layers with different materials--
11114348Hybrid high-voltage   low-voltage FinFET deviceMICROSEMI SOC CORP.
11114349System and method for   allowing restoration of first interconnection of die of power moduleMITSUBISHI ELECTRIC   CORPORATION
11114350Method for removing   photoresist from photomask substrateAPPLIED MATERIALS,   INC.
11114351Dummy element and   method of examining defect of resistive elementFUJI ELECTRIC CO.,   LTD.
11114352Process monitor   circuitry with measurement capabilityBIRAD&#X2014;RESEARCH   & DEVELOPMENT COMPANY LTD.
11114355Power module and   method for manufacturing power moduleMURATA MANUFACTURING   CO., LTD.
11114357Methods and apparatus   for package with interposers--
11114359Wafer level chip   scale package structureDIALOG SEMICONDUCTOR   (UK) LIMITED
11114360Multi-die device   structures and methodsXILINX, INC.
11114363Electronic package   arrangements and related methodsQORVO US, INC.
11114366Semiconductor   structure with buried conductive line and method for forming the same--
11114367Molded integrated   circuit packages and methods of forming the sameCARSEM (M) SDN. BHD.
11114368Base material, mold   package, base material manufacturing method, and mold package manufacturing   methodDENSO CORPORATION
11114369Semiconductor device   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11114370Semiconductor device   packages and methods of manufacturing the same--
11114373Metal-insulator-metal   structure--
11114374Graphene enabled   selective barrier layer formation--
11114377Transformer,   transformer manufacturing method and semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11114378Semiconductor   structure with ultra thick metal and manufacturing method thereof--
11114379Integrated circuitry,   memory integrated circuitry, and methods used in forming integrated circuitryMICRON TECHNOLOGY,   INC.
11114380Manufacturing method   of memory device--
11114382Middle-of-line   interconnect having low metal-to-metal interface resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11114384Oxide-peeling stopperINFINEON TECHNOLOGIES   AUSTRIA AG
11114385Plate-shaped   workpiece processing methodDISCO CORPORATION
11114386Semiconductor device   and method of manufacturing the sameMITSUBISHI ELECTRIC   CORPORATION
11114388Warpage control for   microelectronics packagesINTEL CORPORATION
11114389Substrate structure   and method for manufacturing a semiconductor package--
11114390Semiconductor device   and forming method thereof--
11114391Antenna package   structure and antenna packaging methodSJ SEMICONDUCTOR   (JIANGYIN) CORPORATION
11114393Electronic package   and method for fabricating the same--
11114394Signal routing   carrierINTEL CORPORATION
11114395Post passivation   interconnect--
11114397Semiconductor package   substrate and method of manufacturing semiconductor package using the sameSAMSUNG ELECTRONICS   CO., LTD.
11114401Bonding structure and   method for manufacturing the sameWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11114402Semiconductor device   with backmetal and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11114404Electronic device   including electrical connections on an encapsulation blockSTMICROELECTRONICS   (GRENOBLE 2) SAS
11114405Semiconductor package   structure with twinned copper--
11114406Warpage-compensated   bonded structure including a support chip and a three-dimensional memory chipSANDISK TECHNOLOGIES   LLC
11114408System and method for   providing 3D wafer assembly with known-good-diesINVENSAS CORPORATION
11114409Chip on wafer on   substrate optoelectronic assembly and methods of assembly thereofHEWLETT PACKARD   ENTERPRISE DEVELOPMENT LP
11114410Multi-chip package   structures formed by joining chips to pre-positioned chip interconnect bridge   devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11114411Semiconductor chip   transfer method and transfer toolOSRAM OLED GMBH
11114412Electronic package   and method for fabricating the same--
11114413Stacking structure,   package structure and method of fabricating the same--
11114414Wafer structure with   capacitive chip interconnection, method for manufacturing the same, and chip   structure with capacitive chip interconnectionWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11114415Semiconductor device   with a layered protection mechanism and associated systems, devices, and   methodsMICRON TECHNOLOGY,   INC.
11114417Through-silicon via   (TSV) test circuit, TSV test method and integrated circuits (IC) chipCHANGXIN MEMORY   TECHNOLOGIES, INC.
11114423Image-forming elementSHARP KABUSHIKI   KAISHA
11114431Electrostatic   discharge protection deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
111144333DIC structure and   method of fabricating the same--
11114435FinFET having locally   higher fin-to-fin pitchIMEC VZW
11114436Metal gate structure   and methods thereof--
11114438Thyristor volatile   random access memory and methods of manufactureTC LAB, INC.
11114440Semiconductor memory   device and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11114443Semiconductor   structure formationMICRON TECHNOLOGY,   INC.
11114446SRAM with   hierarchical bit lines in monolithic 3D integrated chipsINTEL CORPORATION
11114452Seal method to   integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD)   technology--
11114454Semiconductor deviceSK HYNIX INC.
11114459Three-dimensional   memory device containing width-modulated connection strips and methods of   forming the sameSANDISK TECHNOLOGIES   LLC
11114461Three-dimensional   semiconductor memory devices having source structure overlaps buried   insulating layerSAMSUNG ELECTRONICS   CO., LTD.
11114463Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11114466IC products formed on   a substrate having localized regions of high resistivity and methods of   making such IC productsGLOBALFOUNDRIES U.S.   INC.
11114471Thin film transistors   having relatively increased width and shared bitlinesINTEL CORPORATION
11114478Thin film transistor   and manufacture method thereof, array substrate and manufacture method   thereofHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11114479Optoelectronics and   CMOS integration on GOI substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11114481Capacitor including   first electrode, dielectric layer, and second electrode, image sensor, and   method for producing capacitorPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11114486Implant isolated   devices and method for forming the same--
11114492Image sensorSK HYNIX INC.
11114494Image sensor based on   avalanche photodiodesSHENZHEN XPECTVISION   TECHNOLOGY CO., LTD.
11114501SOI semiconductor   structure and method for manufacturing an SOI semiconductor structureTDK-MICRONAS GMBH
11114524Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11114525Optoelectronic   component and method for producing an optoelectronic componentOSRAM OLED GMBH
11114527Semiconductor device   and method for manufacturing sameRENESAS ELECTRONICS   CORPORATION
11114528Power transistor with   dV/dt controllability and tapered mesasINFINEON TECHNOLOGIES   AUSTRIA AG
11114529Gate-all-around   field-effect transistor device--
11114530Quantum well stacks   for quantum dot devicesINTEL CORPORATION
11114531Semiconductor device,   method for manufacturing semiconductor device, inverter circuit, driving   device, vehicle, and elevatorKABUSHIKI KAISHA   TOSHIBA
11114535Integrated circuit   devices and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11114537Enhancement-mode high   electron mobility transistorIMEC VZW
11114538Transistor with an   airgap spacer adjacent to a transistor gateINTEL CORPORATION
11114539Gate stack for   heterostructure devicePOWER INTEGRATIONS,   INC.
11114540Semiconductor device   including standard cells with header/footer switch including negative   capacitance--
11114541Semiconductor device   including capacitorSAMSUNG ELECTRONICS   CO., LTD.
11114542Semiconductor device   with reduced gate height budgetGLOBALFOUNDRIES U.S.   INC.
11114543Group III-V device   structure--
11114544Integrated circuit   device having fin-type activeSAMSUNG ELECTRONICS   CO., LTD.
11114545Cap layer and anneal   for gapfill improvement--
11114547Field effect   transistor with negative capacitance dieletric structures--
11114548Semiconductor device   having source and drain in active region and manufacturing method for sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11114549Semiconductor   structure cutting process and structures formed thereby--
11114550Recessing STI to   increase FIN height in FIN-first process--
11114551Fin field-effect   transistor having counter-doped regions between lightly doped regions and   doped source/drain regionsSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11114552Insulated gate   turn-off device with designated breakdown areas between gate trenchesPAKAL TECHNOLOGIES,   INC.
11114554High-electron-mobility   transistor having a buried field plateINFINEON TECHNOLOGIES   AUSTRIA AG
11114555High electron   mobility transistor device and methods for forming the same--
11114560Silicon carbide   semiconductor device and method of manufacturing silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11114561LDMOS device and   method for manufacturing sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
11114562Semiconductor device--
11114563Semiconductor devices   with low junction capacitances and methods of fabrication thereof--
11114565Semiconductor deviceNATIONAL INSTITUTE OF   ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
11114566Semiconductor device   and method of manufacturing the same--
11114567Manufacturing method   of TFT substrate and TFT substrateSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11114568Semiconductor deviceJAPAN DISPLAY INC.
11114569Semiconductor device   with an oxidized intervention and method for fabricating the same--
11114570Memory structure and   manufacturing method thereof--
11114571Semiconductor device   and method for manufacturing sameDENSO CORPORATION
11114572Semiconductor device   and method for manufacturing semiconductor deviceROHM CO., LTD.
11114575Solar cellZHEJIANG JINKO SOLAR   CO., LTD.
11114583Light emitting device   encapsulated above electrodesNICHIA CORPORATION
11114585Advanced electronic   device structures using semiconductor structures and superlatticesSILANNA UV   TECHNOLOGIES PTE LTD
11114596Light-emitting deviceNICHIA CORPORATION
11114599Electronic devices   including solid semiconductor dies3M INNOVATIVE   PROPERTIES COMPANY
11114602Method of forming   superconducting layers and tracesMICROSOFT TECHNOLOGY   LICENSING, LLC
11114745Antenna package for   signal transmission--
11115010Energy loaded   dielectrics, systems including energy loaded dielectrics, and methods for   fabrication and use thereofUNIVERSITY OF   MARYLAND, COLLEGE PARK
11115247Methods and circuits   for asymmetric distribution of channel equalization between devicesRAMBUS INC.
11116046HeaterKYOCERA CORPORATION
11116075Component carrier   comprising dielectric structures with different physical propertiesAT&S (CHINA) CO.   LTD.
11116079High resolution   display deviceSAMSUNG DISPLAY CO.,   LTD.
11116084Method, device and   system for providing etched metallization structuresINTEL CORPORATION
11117086Apparatus for   collecting by-product of semiconductor manufacturing processMILAEBO CO., LTD.
11117239Chemical mechanical   polishing composition and method--
11117265Robot for   simultaneous substrate transferAPPLIED MATERIALS,   INC.
11117796MEMS devices   including MEMS dies and connectors thereto--
11117806Silicon   carbide/graphite composite and articles and assemblies comprising sameENTEGRIS, INC.
11117996Self-assembly   composition for pattern formation and pattern forming methodOJI HOLDINGS   CORPORATION
11118110Stripping liquid,   stripping method, and electronic-component fabricating methodKABUSHIKI KAISHA   TOSHIBA
11118268Method for reducing   surface roughnessGENERAL ELECTRIC   COMPANY
11118285Method of evaluating   cleanliness, method of determining cleaning condition, and method of   manufacturing silicon waferSUMCO CORPORATION
11118982Spectroscopic focal   plane array and method of making sameTELEDYNE SCIENTIFIC   & IMAGING, LLC
11119050Methods and systems   for measurement of thick films and high aspect ratio structuresKLA CORPORATION
11119051Particle detection   for substrate processingAPPLIED MATERIALS,   INC.
11119137Electrical test   structure and method for monitoring deep trench impedance to substrateTEXAS INSTRUMENTS   INCORPORATED
11119146Testing of bonded   wafers and structures for testing bonded wafersXILINX, INC.
11119148Test probe assembly   with fiber optic leads and photodetectors for testing semiconductor wafersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11119384Hermetic sealing of a   nonlinear crystal for use in a laser systemKLA-TENCOR   CORPORATION
11119398EUV photo masks--
11119399Mask blank, phase   shift mask and method for manufacturing semiconductor deviceHOYA CORPORATION
11119405Techniques for   forming angled structuresAPPLIED MATERIALS,   INC.
11119406Photo-curable   composition and patterning methodCANON KABUSHIKI   KAISHA
11119410Cleaning liquid, and   method of cleaning substrate provided with metal resistTOKYO OHKA KOGYO CO.,   LTD.
11119419Moir&#xe9; target   and method for using the same in measuring misregistration of semiconductor   devicesKLA-TENCOR   CORPORATION
11119420Particle prevention   method in lithography exposure apparatus--
11119612Embedded touch panel   with touch drive lines structure that improves sensitivityWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11119615Fingerprint sensor   and button combinations and methods of making sameSYNAPTICS   INCORPORATED
11120369Communication   generation using sparse indicators and sensor dataCOLOR HEALTH, INC.
11120884Implementing logic   function and generating analog signals using NOR memory stringsSUNRISE MEMORY   CORPORATION
11120946Micro-electronic   electrode assemblyTECHNISCHE   UNIVERSIT&#XC4;T DARMSTADT
11120970Ion implantation   systemSHANGHAI IC R&D   CENTER CO., LTD
11120971Diagnostics for   impedance matching networkRENO TECHNOLOGIES,   INC.
11120973Plasma processing   apparatus and techniquesAPPLIED MATERIALS,   INC.
11120974Semiconductor device--
11120975Ion beam etching   apparatusRESEARCH AND BUSINESS   FOUNDATION SUNGKYUNKWAN UNIVERSITY
11120976Apparatus and methods   for removing contaminant particles in a plasma processAPPLIED MATERIALS,   INC.
11120985Substrate transfer   device, substrate processing system, substrate processing method and   computer-readable recording mediumTOKYO ELECTRON   LIMITED
11120986Etching using chamber   with top plate formed of non-oxygen containing material--
11120987Nonstoichiometric   structures with multiple controlled bandgap energy levels and methods thereofNTH TECH CORPORATION
11120988Semiconductor device   packages and methods of manufacturing the same--
11120989Systems and methods   for UV-based suppression of plasma instabilityLAM RESEARCH   CORPORATION
11120990Methods for   depositing III-V compositions on siliconALLIANCE FOR   SUSTAINABLE ENERGY, LLC
11120991Lateral semiconductor   nanotube with hexagonal shapeINTERNATIONAL   BUSINESS MACHINES CORPORATION
11120992Method of fabricating   semiconductor deviceXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11120993Diffusing agent   composition and method of manufacturing semiconductor substrateTOKYO OHKA KOGYO CO.,   LTD.
11120994Etching solution, and   method of producing semiconductor elementTOKYO OHKA KOGYO CO.,   LTD.
11120995Method for forming   multi-layer mask--
11120996Method for preparing   a semiconductor structure--
11120997Surface treatment for   etch tuning--
11120998Etching method and   methods of manufacturing semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11120999Plasma etching methodTOKYO ELECTRON   LIMITED
11121000Etching method and   substrate processing apparatusTOKYO ELECTRON   LIMITED
11121001Method of etching,   device manufacturing method, and plasma processing apparatusTOKYO ELECTRON   LIMITED
11121002Systems and methods   for etching metals and metal derivativesAPPLIED MATERIALS,   INC.
11121003Method of predicting   thermal donor formation behavior in silicon wafer, method of evaluating   silicon wafer, and method of producing silicon waferSUMCO CORPORATION
11121004Semiconductor module   and method for producing the sameINFINEON TECHNOLOGIES   AG
11121005Handler bonding and   debonding for semiconductor diesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121006Semiconductor package   comprising molding compound having extended portion and manufacturing method   of semiconductor package--
11121007Apparatus for   supplying chemical liquidSEMES CO., LTD.
11121008Method of processing   substrate and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11121009Power feeding   mechanism and method for controlling temperature of a stageTOKYO ELECTRON   LIMITED
11121010Plasma processing   apparatusTOKYO ELECTRON   LIMITED
11121011Process system and   operation method thereofSAMSUNG ELECTRONICS   CO., LTD.
11121012Substrate cleaning   apparatus and cleaning method using the sameSAMSUNG DISPLAY CO.,   LTD.
11121013Semiconductor wafer   containerACHILLES CORPORATION
11121014Dummy wafer storage   cassetteASM IP HOLDING B.V.
11121015Substrate transportBROOKS AUTOMATION,   INC.
11121016Method for   controlling conveyance system, conveyance system, and management deviceMURATA MACHINERY,   LTD.
11121017Load port moduleBROOKS AUTOMATION,   INC.
11121018Method and apparatus   for lithography in semiconductor fabrication--
11121019Slotted electrostatic   chuckKLA CORPORATION
11121020Support, adhesive   sheet, laminated structure, semiconductor device, and method for   manufacturing printed wiring boardAJINOMOTO CO., INC.
111210213D semiconductor   device and structureMONOLITHIC 3D INC.
11121023FinFET device   comprising a single diffusion break with an upper surface that is   substantially coplanar with an upper surface of a finGLOBALFOUNDRIES U.S.   INC.
11121024Tunable hardmask for   overlayer metrology contrastINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121025Layer for side wall   passivation--
11121026Semiconductor device   and method of manufacture--
11121027High aspect ratio via   etch using atomic layer deposition protection layerTOKYO ELECTRON   LIMITED
11121028Semiconductor devices   formed using multiple planarization processes--
11121029Semiconductor device   with air spacer and method for preparing the same--
11121030Transistors employing   carbon-based etch stop layer for preserving source/drain material during   contact trench etchINTEL CORPORATION
11121031Manufacturing method   of chip package and chip package--
11121032Fabrication of   self-aligned gate contacts and source/drain contacts directly above gate   electrodes and source/drainsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121033Method for   fabricating semiconductor deviceSK HYNIX INC.
11121034Semiconductor device   manufacturing method and semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11121035Semiconductor   substrate processing methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11121036Multi-gate device and   related methods--
11121037Semiconductor device   structure and method for forming the same--
11121038Spacer structure and   manufacturing method thereof--
11121039FinFET structures and   methods of forming the same--
11121040Multi voltage   threshold transistors through process and design-induced multiple work   functionsINTEL CORPORATION
11121041Methods for threshold   voltage tuning and structure formed thereby--
11121042Production of   semiconductor regions in an electronic chipSTMICROELECTRONICS   (ROUSSET) SAS
11121043Fabrication of   transistors having stressed channelsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11121044Vertically stacked   nanosheet CMOS transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121045Method for detecting   ultra-small defect on wafer surfaceSHANGHAI HUALI   MICROELECTRONICS CORPORATION
11121046Wafer-level testing   method and test structure thereof--
11121047Semiconductor   structure--
11121050Method of manufacture   of a semiconductor device--
11121051Semiconductor   packages and method of manufacturing the same--
11121052Integrated fan-out   device, 3D-IC system, and method--
11121053Die heat dissipation   structureASIA VITAL COMPONENTS   (CHINA) CO., LTD.
11121054ModuleMURATA MANUFACTURING   CO., LTD.
11121055Leadframe spacer for   double-sided power moduleSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11121056Semiconductor device   and manufacturing method of the same--
11121058Liquid cooled module   with device heat spreaderAPTIV TECHNOLOGIES   LIMITED
11121061Cooling chip   structures having a jet impingement system and assembly having the sameTOYOTA MOTOR   ENGINEERING & MANUFACTURING NORTH AMERICA, INC.
11121062Semiconductor device   and method for manufacturing the same--
11121068Array substrate,   display device, method for manufacturing them, and spliced display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11121069Semiconductor package   including capping pad having crystal grain of different sizeSAMSUNG ELECTRONICS   CO., LTD.
11121076Semiconductor die   with conversion coatingTEXAS INSTRUMENTS   INCORPORATED
11121084Integrated circuit   device with through interconnect via and methods of manufacturing the same--
11121085Trench walls,   conductive structures having different widths and methods of making sameMICRON TECHNOLOGY,   INC.
11121086Vertical isolated   gate field effect transistor integrated in a semiconductor chipIMEC VZW
11121087Methods of forming a   conductive contact structure to an embedded memory device on an IC product   and a corresponding IC productGLOBALFOUNDRIES U.S.   INC.
11121088Semiconductor package   structure and method of manufacturing the same--
11121089Integrated circuit   package and method--
11121090Fan-out semiconductor   packageSAMSUNG ELECTRONICS   CO., LTD.
11121091Method for arranging   two substratesEV GROUP E. THALLNER   GMBH
11121092Marking pattern in   forming staircase structure of three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11121093Methods for   selectively forming identification mark on semiconductor wafer--
11121094Semiconductor devices   with shieldAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11121095Semiconductor device   having electromagnetic wave absorbing layer with heat dissipating viasMITSUBISHI ELECTRIC   CORPORATION
11121098Trap layer substrate   stacking technique to improve performance for RF devices--
11121100Trap layer substrate   stacking technique to improve performance for RF devices--
11121101Flip chip packaging   reworkINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121103Semiconductor package   including interconnection member and bonding wires and manufacturing method   thereof--
11121104Method for   manufacturing interconnect structure--
11121105Semiconductor devices   and methods of manufacturing semiconductor devicesAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11121106Integrated circuit   package and method--
11121107Interconnect   substrate having columnar electrodesSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11121108Flip chip package   utilizing trace bump trace interconnection--
11121110Packaging process and   packaging structureDELTA ELECTRONICS   INT'L (SINGAPORE) PTE LTD
11121111Semiconductor package   structure and method of manufacturing the same--
11121113Bonding apparatus   incorporating variable force distributionASM TECHNOLOGY   SINGAPORE PTE LTD
11121115Y-theta table for   semiconductor equipmentASM TECHNOLOGY   SINGAPORE PTE LTD.
11121117Method for   self-assembling microelectronic componentsCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11121118Integrated circuit   stacking approach--
11121120Method and system for   electronic devices with polycrystalline substrate structure interposerQROMIS, INC.
11121123Semiconductor   composite device and package board used thereinMURATA MANUFACTURING   CO., LTD.
11121128Structure and method   for alignment marks--
11121130Structure and   formation method of semiconductor device with gate stacks--
11121131Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11121132Gate-cut isolation   structure and fabrication methodQUALCOMM INCORPORATED
11121133Semiconductor device   with fin transistors and manufacturing method of such semiconductor deviceSONY CORPORATION
11121134Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11121135Structure of memory   device--
11121136Insulating structure   and method of forming the same--
11121137Semiconductor device   with self-aligned landing pad and method for fabricating the same--
11121138Low resistance pickup   cells for SRAM--
11121139Hafnium oxide and   zirconium oxide based ferroelectric devices with textured iridium bottom   electrodesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121142Memory structure and   manufacturing method therefor--
11121144Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11121145Memory arrays   comprising strings of memory cells and methods used in forming a memory array   comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11121146Forming terminations   in stacked memory arraysMICRON TECHNOLOGY,   INC.
11121149Three-dimensional   memory device containing direct contact drain-select-level semiconductor   channel portions and methods of making the sameSANDISK TECHNOLOGIES   LLC
11121150Three-dimensional   memory devices and fabricating methods thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11121152Three-dimensional   memory device and manufacturing method thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11121153Three-dimensional   memory devices containing structures for controlling gate-induced drain   leakage current and method of making the sameSANDISK TECHNOLOGIES   LLC
11121154Semiconductor device   including a stack having a sidewall with recessed and protruding portionsSAMSUNG ELECTRONICS   CO., LTD.
11121155Integrated circuit   including multiple height cell and method of fabricating the integrated   circuitSAMSUNG ELECTRONICS   CO., LTD.
11121164Semiconductor device   and method for production of semiconductor deviceSONY CORPORATION
11121169Metal vertical   transfer gate with high-k dielectric passivation liningOMNIVISION   TECHNOLOGIES, INC.
11121170Method for   manufacturing micro array light emitting diode and lighting deviceINDUSTRY-ACADEMIC   COOPERATION FOUNDATION OF SUNCHON NATIONAL UNIVERSITY
11121173Preserving underlying   dielectric layer during MRAM device formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121205Display panel and   display panel test systemSAMSUNG DISPLAY CO.,   LTD.
11121207Integrated trench   capacitor with top plate having reduced voidsTEXAS INSTRUMENTS   INCORPORATED
11121208MIM capacitor and   method of forming the same--
11121209Surface area   enhancement for stacked metal-insulator-metal (MIM) capacitorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121211Fabrication of   lateral superjunction devices using selective epitaxyTHE TEXAS A&M   UNIVERSITY SYSTEM
11121214Source/drain contact   with 2-D material--
11121217Semiconductor device   and manufacturing method thereof--
11121219Elastic strain   engineering of defect doped materialsMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11121223Control gate   structures for field-effect transistorsMICRON TECHNOLOGY,   INC.
11121224Transistor with field   plate over tapered trench isolationTEXAS INSTRUMENTS   INCORPORATED
11121228Manufacturing method   of thin film transistorSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11121229Methods of   fabricating semiconductor structures and high electron mobility transistors--
11121230Structures and   methods for controlling dopant diffusion and activation--
11121231Method of   manufacturing a field effect transistor with optimized performancesCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11121232Stacked nanosheets   with self-aligned inner spacers and metallic source/drainINTERNATIONAL   BUSINESS MACHINES CORPORATION
11121233Forming nanosheet   transistor using sacrificial spacer and inner spacersTESSERA, INC.
11121234Stacked gate spacers--
11121235Structure and a   manufacturing method of a MOSFET with an element of IVA group ion   implantation--
11121236Semiconductor device   with air spacer and stress liner--
11121237Manufacturing method   for FinFET deviceSHANGHAI IC R&D   CENTER CO., LTD
11121242Method of operating a   semiconductor device having a desaturation channel structureINFINEON TECHNOLOGIES   AG
11121244RF device integrated   on an engineered substrateQROMIS, INC.
11121248Semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11121254Transistor with   strained superlattice as source/drain region--
11121255V-shape recess   profile for embedded source/drain epitaxy--
11121256Semiconductor device   integrating backside power grid and related integrated circuit and   fabrication method--
11121258Transistors   comprising two-dimensional materials and related semiconductor devices,   systems, and methodsMICRON TECHNOLOGY,   INC.
11121265Silicon carbide   trench schottky barrier diode using polysilicon and a method of manufacturing   the sameKOREA   ELECTROTECHNOLOGY RESEARCH INSTITUTE
11121266Voltage-controllable   capacitor comprising a ferroelectric layer and method for producing the   voltage-controllable capacitor comprising a ferroelectric layerFRAUNHOFER-GESELLSCHAFT   ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
11121283Method for   transferring light emitting elements, and method for making display panelCENTURY TECHNOLOGY   (SHENZHEN) CORPORATION LIMITED
11121298Light-emitting diode   packages with individually controllable light-emitting diode chipsCREELED, INC.
11121310Spin electronics   element and method of manufacturing thereofTOHOKU UNIVERSITY
11121317Low resistance   crosspoint architectureMICRON TECHNOLOGY,   INC.
11121467Semiconductor package   with compact antenna formed using three-dimensional additive manufacturing   processNXP USA, INC.
11121523Semiconductor devices   with depleted heterojunction current blocking regionsUNIVERSITY OF CENTRAL   FLORIDA RESEARCH FOUNDATION, INC.
11121649Electrostatic   workpiece-holding method and electrostatic workpiece-holding systemCREATIVE TECHNOLOGY   CORPORATION
11122683Bare die integration   with printed components on flexible substrate without laser cutPALO ALTO RESEARCH   CENTER INCORPORATED
11123774Substrate processing   method, substrate processing apparatus, and composite processing apparatusTOSHIBA MEMORY   CORPORATION
11123960Film mold and   imprinting methodDAI NIPPON PRINTING   CO., LTD.
11124675Method of polishing   substrate and polishing composition setFUJIMI INCORPORATED
11124704Etching compositionsFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
11124740Post chemical   mechanical polishing cleaning compositionsENTEGRIS, INC.
11124746Post CMP cleaning   compositionENTEGRIS, INC.
11124872Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
11124873Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
11124876Si-containing film   forming precursors and methods of using the sameL'AIR LIQUIDE,   SOCIET&#XE9; ANONYME POUR L'ETUDE ET L'EXPLOITATION DES   PROC&#XE9;D&#XE9;S GEORGES CLAUDE
11124878Gas supply member   with baffleAPPLIED MATERIALS,   INC.
11124879Systems and methods   for upper susceptor rings--
11124892Defect reduction in   seeded aluminum nitride crystal growthCRYSTAL IS, INC.
11124893Method of treating a   single crystal silicon ingot to improve the LLS ring/core pattern--
11124894Vapor phase growth   apparatus and vapor phase growth methodNUFLARE TECHNOLOGY,   INC.
11125429Folded sheet metal   heat sinkSIGNIFY HOLDING B.V.
11125512Coolant and a method   to control the pH and resistivity of coolant used in a heat exchangerAPPLIED MATERIALS,   INC.
11125781Integrated substrate   and manufacturing method thereof--
11125811Semiconductor device   and method of operating the sameSAMSUNG ELECTRONICS   CO., LTD.
11126078Pattern forming   method, production method for processed substrate, production method for   optical component, production method for circuit substrate, production method   for electronic component and production method for imprint moldCANON KABUSHIKI   KAISHA
11126084Composition for   resist underlayer film formation, resist underlayer film and forming method   thereof, production method of patterned substrate, and compoundJSR CORPORATION
11126086Substrate treatment   apparatus, substrate treatment method, and computer storage mediumTOKYO ELECTRON   LIMITED
11126773Method for paralleled   SiC power switching devices based on wiring optimizationHARBIN INSTITUTE OF   TECHNOLOGY
11126774Layout optimization   of a main pattern and a cut pattern--
11127136System and method for   defining flexible regions on a sample during inspectionKLA CORPORATION
11127461Three-dimensional   vertical NOR flash thin-film transistor stringsSUNRISE MEMORY   CORPORATION
11127558Thermally isolated   captive features for ion implantation systemsAPPLIED MATERIALS,   INC.
11127564Scanning electron   microscope with objective lens below sample stageKKT HOLDINGS   SYNDICATE
11127569Plasma-resistant   memberTOTO LTD.
11127571Peripheral RF feed   and symmetric RF return for symmetric RF deliveryLAM RESEARCH   CORPORATION
11127572L-shaped plasma   confinement ring for plasma chambersSILFEX, INC.
11127573Support unit,   apparatus and method for treating a substrateSEMES CO., LTD.
11127583Method of treating   semiconductor substrate--
11127584Method of producing   carrier and method of polishing waferSUMCO CORPORATION
11127585Out-of-plane   deformable semiconductor substrate, method of making an out-of-plane   deformable semiconductor substrate, and an in-plane and out-of-plane   deformable semiconductor substrateKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11127586Source and drain   process for FinFET--
11127587Non-amine post-CMP   compositions and method of useENTEGRIS, INC.
11127588Semiconductor   processing applying supercritical dryingMICRON TECHNOLOGY,   INC.
11127589Method of   topology-selective film formation of silicon oxideASM IP HOLDING B.V.
11127590Method for ALD   deposition on inert surfaces via Al2O3 nanoparticlesTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
11127591Light emitters on   transition metal dichalcogenides directly converted from thermally and   electrically conductive substrates and method of making the sameKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11127592Photosensitive groups   in resist layer--
11127593Techniques and   apparatus for elongation patterning using angled ion beamsVARIAN SEMICONDUCTOR   EQUIPMENT ASSOCIATES, INC.
11127594Manufacturing methods   for mandrel pull from spacers for multi-color patterningTOKYO ELECTRON   LIMITED
11127595Method for bonding a   semiconductor substrate to a carrierMICROSOFT TECHNOLOGY   LICENSING, LLC
11127596Semiconductor   material growth of a high resistivity nitride buffer layer using ion   implantationRAYTHEON COMPANY
11127597Etching methodTOKYO ELECTRON   LIMITED
11127598Film etching method   for etching filmTOKYO ELECTRON   LIMITED
11127599Methods for etching a   hardmask layerAPPLIED MATERIALS,   INC.
11127600Etching methodTOKYO ELECTRON   LIMITED
11127601Phosphorus fugitive   emission controlAPPLIED MATERIALS,   INC.
11127602Method of fastening a   semiconductor chip on a lead frame, and electronic componentOSRAM OLED GMBH
11127603Semiconductor module   and power conversion deviceMITSUBISHI ELECTRIC   CORPORATION
11127604Manufacturing method   of semiconductor device--
11127605Wafer holderSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11127606Cooling station with   integrated isolation valvesSEAGATE TECHNOLOGY   LLC
11127607Heat processing   systemXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11127608Heating element,   substrate processing apparatus, and method of manufacturing semiconductor   deviceKOKUSAI ELECTRIC   CORPORATION
11127609Collet apparatus and   method for fabricating a semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11127610Split chamber   assemblyLAM RESEARCH   CORPORATION
11127611Heating processing   apparatus and heating processing methodTOSHIBA MEMORY   CORPORATION
11127612Testing semiconductor   devices based on warpage and associated methodsMICRON TECHNOLOGY,   INC.
11127613Substrate treating   apparatus and substrate transporting methodSCREEN HOLDINGS CO.,   LTD.
11127614Substrate transfer   method and substrate transfer apparatusTOKYO ELECTRON   LIMITED
11127615Substrate processing   system and substrate transfer methodTOKYO ELECTRON   LIMITED
11127616Substrate   accommodation deviceNISSIN ION EQUIPMENT   CO., LTD.
11127617Storage device for   storing wafer cassettes for use with a batch furnaceASM IP HOLDING B.V.
11127618System for   dynamically compensating position errors of a sampleASML NETHERLANDS B.V.
11127619Workpiece carrier for   high power with enhanced edge sealingAPPLIED MATERIALS,   INC.
11127620Electrostatic chuck   for high temperature processing chamberAPPLIED MATERIALS,   INC.
11127621Method of forming   semiconductor device--
11127622Deep trench isolation   and substrate connection on SOINXP USA, INC.
11127623Single diffusion cut   for gate structuresGLOBALFOUNDRIES U.S.   INC.
11127624Method of   manufacturing a semiconductor on insulator type structure, notably for a   front side type imagerSOITEC
11127625Semiconductor   structure and related method--
11127626Method of   manufacturing a semiconductor device--
11127627Method for forming an   interconnection structureIMEC VZW
11127628Semiconductor device   with connecting structure having a step-shaped conductive feature and method   for fabricating the same--
11127629Semiconductor device   and fabricating method thereof--
11127630Contact plug without   seam hole and methods of forming the same--
11127631Semiconductor device   with contact structures--
11127632Semiconductor device   with conductive protrusions and method for fabricating the same--
11127633Wafer processing   method including a thermocompression bonding step of bonding a wafer to a   ring frame via a polyolefin sheetDISCO CORPORATION
11127634Backside metal   removal die singulation systems and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11127635Techniques for wafer   stack processing--
11127636Bot group messaging   using bot-specific voice librariesORION LABS, INC.
11127637Semiconductor device   convex source/drain region--
11127638Semiconductor device   and fabrication method including air gap spacersSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11127639Structure and   formation method of semiconductor device with fin structures--
11127640Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11127641Spin-current   magnetization reversal element, magnetoresistance effect element, and   magnetic memoryTDK CORPORATION
11127642Test circuit layout   structure for display panelWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11127643Test structures for   validating package fabrication processXILINX, INC.
11127644Planarization of   semiconductor packages and structures resulting therefrom--
11127645Grounding lids in   integrated circuit devicesNXP USA, INC.
11127647Semiconductor devices   and related methodsAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD
11127650Semiconductor device   package including thermal dissipation element and method of manufacturing the   same--
11127654Semiconductor device   and a manufacturing method thereofSAMSUNG ELECTRONICS   CO., LTD.
11127655Memory die containing   through-memory-level via structure and methods for making the sameSANDISK TECHNOLOGIES   LLC
11127660Surface-mount   integrated circuit package with coated surfaces for improved solder   connectionMICROCHIP TECHNOLOGY   INCORPORATED
11127661Semiconductor chip   package method and semiconductor chip package deviceTONGFU   MICROELECTRONICS CO., LTD.
11127663Semiconductor package   having exposed heat sink for high thermal conductivityJMJ KOREA CO., LTD.
11127664Circuit board and   manufacturing method thereof--
11127665Module assemblyQORVO US, INC.
11127666Semiconductor device   and method of forming openings through insulating layer over encapsulant for   enhanced adhesion of interconnect structureSTATS CHIPPAC PTE.   LTD.
11127668Semiconductor device   and method of forming double-sided fan-out wafer level packageJCET SEMICONDUCTOR   (SHAOXING) CO., LTD.
11127672Busbar assemblySUNCALL CORPORATION
11127674Back end of the line   metal structure and methodGLOBALFOUNDRIES U.S.   INC.
11127675Interconnection   structure and manufacturing method thereof--
11127676Removal or reduction   of chamfer for fully-aligned viaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11127678Dual dielectric layer   for closing seam in air gap structureGLOBALFOUNDRIES U.S.   INC.
11127680Semiconductor device   and manufacturing method thereof--
11127681Three-dimensional   memory including molybdenum wiring layer having oxygen impurity and method   for manufacturing the sameTOSHIBA MEMORY   CORPORATION
11127682Semiconductor package   having nonspherical filler particlesINTEL CORPORATION
11127683Semiconductor   structure with substantially straight contact profileGLOBALFOUNDRIES U.S.   INC.
11127684Low-resistance   interconnect structures--
11127688Semiconductor package   and manufacturing method thereof--
11127689Segmented shielding   using wirebondsQORVO US, INC.
11127690Dual-sided   radio-frequency package with overmold structureSKYWORKS SOLUTIONS,   INC.
11127691Methods of forming a   semiconductor deviceMICRON TECHNOLOGY,   INC.
11127699Chip package   structure and manufacturing method thereof--
11127703Semiconductor devices--
11127707Semiconductor package   structure and method for manufacturing the same--
11127713High bandwidth   memories and systems including the sameSAMSUNG ELECTRONICS   CO., LTD.
11127716Mounting structures   for integrated device packagesANALOG DEVICES   INTERNATIONAL UNLIMITED COMPANY
11127718Multi-chip stacked   devicesXILINX, INC.
11127720Pixel repair method   for a direct view display deviceNANOSYS, INC.
11127724Light emitting diode   device and method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
11127730Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11127733Electronic deviceINFINEON TECHNOLOGIES   AG
11127736MIM capacitor and   method for making the sameSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
11127737Monolithic multi-I   region diode limitersMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
11127738Back biasing of   FD-SOI circuit blocksXCELSIS CORPORATION
11127739Methods of   fabricating semiconductor devices using MOS transistors with nonuniform gate   electrode structuresSAMSUNG ELECTRONICS   CO., LTD.
11127740Method of   manufacturing a semiconductor device with separated merged source/drain   structure--
11127741Methods of   manufacturing transistor gate structures by local thinning of dummy gate   stacks using an etch barrier--
11127742Semiconductor device   and a method for fabricating the same--
11127746Fin-based strap cell   structure for improving memory performance--
11127752Structure of   semiconductor device and method for fabricating the same--
11127753Semiconductor storage   device and semiconductor storage device manufacturing methodKIOXIA CORPORATION
11127754Semiconductor storage   deviceKIOXIA CORPORATION
11127756Three-dimensional   memory device and manufacturing method thereof--
11127757Three-dimensional   memory device with source structure and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11127760Vertical transistor   fabrication for memory applicationsAPPLIED MATERIALS,   INC.
11127764Circuit substrate,   method for manufacturing the same, display substrate and tiled display deviceBEIJING BOE DISPLAY   TECHNOLOGY CO., LTD.
11127767Array substrate,   method for manufacturing the same and display deviceCHONGQING BOE   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11127773Semiconductor device,   method of manufacturing semiconductor device, and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11127776Hybrid bonding method   for semiconductor wafers and related three-dimensional integrated deviceLFOUNDRY S.R.L.
11127778Light emitting   transducerFLEXUCELL APS
11127781Method of maskless   parallel pick-and-place transfer of micro-devicesAPPLIED MATERIALS,   INC.
11127813Semiconductor   inductorsINTEL CORPORATION
11127815Semiconductor device   and method of forming the semiconductor deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11127817Formation of   semiconductor device structure by implantation--
11127818High voltage   transistor with fin source/drain regions and trench gate structureGLOBALFOUNDRIES U.S.   INC.
11127823Split gate structure,   power MOS device, and manufacturing methodHEJIAN TECHNOLOGY   (SUZHOU) CO., LTD.
11127825Middle-of-line   contacts with varying contact area providing reduced contact resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11127827Control gate strap   layout to improve a word line etch process window--
11127828Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11127830Apparatus with   multidielectric spacers on conductive regions of stack structures, and   related methodsMICRON TECHNOLOGY,   INC.
11127831Transistor structure   with overlying gate on polysilicon gate structure and related methodGLOBALFOUNDRIES U.S.   INC.
11127832Semiconductor   structure and method for forming the same--
11127833Method to improve   HKMG contact resistanceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11127835Method for etching a   three-dimensional dielectric layerCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11127836Metal gate scheme for   device and methods of forming--
11127837Method of forming   MOSFET structure--
11127839Method of   manufacturing a trench oxide in a trench for a gate structure in a   semiconductor substrateINFINEON TECHNOLOGIES   AG
11127840Method for   manufacturing isolation structure for LDMOSCSMC TECHNOLOGIES   FAB1 CO., LTD.
11127841Confined epitaxial   regions for semiconductor devices and methods of fabricating semiconductor   devices having confined epitaxial regionsINTEL CORPORATION
11127842Single fin structuresGLOBALFOUNDRIES U.S.   INC.
11127843Asymmetrical lateral   heterojunction bipolar transistorsGLOBALFOUNDRIES U.S.   INC.
11127844Semiconductor device   and method for manufacturing the sameFUJI ELECTRIC CO.,   LTD.
11127847Semiconductor devices   having a gate field plate including an extension portion and methods for   fabricating the semiconductor device--
11127850Semiconductor deviceROHM CO., LTD.
11127851Semiconductor device,   and method for manufacturing the sameROHM CO., LTD.
11127852Vertical trench gate   MOSFET with deep well region for junction terminationTEXAS INSTRUMENTS   INCORPORATED
11127857Semiconductor device   and method of manufacture--
11127858Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11127859Semiconductor device   and manufacturing method thereof--
11127860Extended-drain   field-effect transistors including a floating gateGLOBALFOUNDRIES U.S.   INC.
11127892Reducing parasitic   capacitance and coupling to inductive coupler modesGOOGLE LLC
11127926Method of forming   protection film for organic EL device, method of manufacturing display device   and display deviceTHE JAPAN STEEL   WORKS, LTD.
11128029Die with embedded   communication cavityINTEL CORPORATION
11128277Method for producing   composite waferSHIN-ETSU CHEMICAL   CO., LTD.
11129314Stepped component   assembly accommodated within a stepped cavity in component carrierAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11130158Device for applying a   liquid medium which is exposed to UV radiation to a substrateSUSS MICRO TEC   PHOTOMASK EQUIPMENT GMBH & CO KG
11130240Linear robot arm with   multiple end effectorsPERSIMMON   TECHNOLOGIES CORPORATION
11130270Gate-all-around fin   deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11130856Resin composition and   method of forming resist patternJSR CORPORATION
11130883Polishing compositionFUJIMI INCORPORATED
11131015High pressure   oxidation of metal filmsAPPLIED MATERIALS,   INC.
11131022Atomic layer self   aligned substrate processing and integrated toolsetAPPLIED MATERIALS,   INC.
11131023Film deposition   apparatus and film deposition methodTOKYO ELECTRON   LIMITED
11131025Wireless camera wafer   for vacuum chamber diagnostics--
11131506Burst resistant thin   wall heat sinkINTERNATIONAL   BUSINESS MACHINES CORPORATION
11131541Shutter monitoring   system--
11131689Low-force wafer test   probesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11131693Vertical sense   devices in vertical trench MOSFETVISHAY-SILICONIX, LLC
11131931Fluidic leakage   handling for semiconductor apparatus--
11131932Cleaning liquid, and   method of cleaning substrate provided with metal resistTOKYO OHKA KOGYO CO.,   LTD.
11131933Cleaning liquid, and   method of cleaning substrate provided with metal resistTOKYO OHKA KOGYO CO.,   LTD.
11131937Positioning device,   stiffness reduction device and electron beam apparatusASML NETHERLANDS B.V.
11132487Method of designing a   layout of a pattern, method of forming a pattern using the same, and method   of manufacturing a semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11133156Electrode plate for   plasma processing apparatus and method for regenerating electrode plate for   plasma processing apparatusMITSUBISHI MATERIALS   CORPORATION
11133158Glass pallet for   sputtering systemsVIEW, INC.
11133173Simplified lamp   designAPPLIED MATERIALS,   INC.
11133174Reduced volume   processing chamberAPPLIED MATERIALS,   INC.
11133175Substrate treating   method and substrate treating apparatusSCREEN HOLDINGS CO.,   LTD.
11133176Substrate processing   method, recording medium and substrate processing systemTOKYO ELECTRON   LIMITED
11133177Oxidation reduction   for SiOC filmAPPLIED MATERIALS,   INC.
11133178Seamless gapfill with   dielectric ALD filmsAPPLIED MATERIALS,   INC.
11133179Thin-film structure   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11133180Gapfill of variable   aspect ratio features with a composite PEALD and PECVD methodLAM RESEARCH   CORPORATION
11133181Formation of SiN thin   filmsASM IP HOLDING B.V.
11133182Staggered-type   tunneling field effect transistor--
11133183Staggered-type   tunneling field effect transistor--
11133184Staggered-type   tunneling field effect transistor--
11133185Epitaxial lift-off   process of graphene-based gallium nitrideXIDIAN UNIVERSITY
11133186Processing method of   workpieceDISCO CORPORATION
11133187Methods for forming a   photo-mask and a semiconductor device--
11133188Non-volatile memory   semiconductor device with electrostatic discharge protection, planarization   layers, and manufacturing method thereof--
11133189Metal cut patterning   and etching to minimize interlayer dielectric layer lossINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133190Metal-based   passivation-assisted plasma etching of III-v semiconductorsLAWRENCE LIVERMORE   NATIONAL SECURITY, LLC
11133191Method of producing   etching mask, etching mask precursor, and oxide layer, and method of   manufacturing thin film transistorJAPAN ADVANCED   INSTITUTE OF SCIENCE AND TECHNOLOGY
11133192Workpiece processing   methodTOKYO ELECTRON   LIMITED
11133193Plasma processing   device and method of adjusting an edge ring of a plasma processing deviceXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11133194Method for selective   etching at an interface between materialsTOKYO ELECTRON   LIMITED
11133195Inverse tone pillar   printing method using polymer brush graftsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133196Gate electrode and   method for manufacturing the same, and method for manufacturing array   substrateBOE TECHNOLOGY GROUP   CO., LTD.
11133197Semiconductor   structure and method of forming--
11133198Method of   manufacturing packaged device chipDISCO CORPORATION
11133199Mold heel crack   problem reductionTEXAS INSTRUMENTS   INCORPORATED
11133200Substrate vapor   drying apparatus and method--
11133201High definition   heater system having a fluid mediumWATLOW ELECTRIC   MANUFACTURING COMPANY
11133202Substrate heating   apparatus with enhanced temperature uniformity characteristicMICO CERAMICS LTD.
11133203Plasma processing   apparatusTOKYO ELECTRON   LIMITED
11133204Chamber matching with   neural networks in semiconductor equipment toolsAPPLIED MATERIALS,   INC.
11133205Wafer out of pocket   detectionAPPLIED MATERIALS,   INC.
11133206Method for die-level   unique authentication and serialization of semiconductor devices using   electrical and optical markingTOKYO ELECTRON   LIMITED
11133207Method for forming   films on wafers separated by different distances--
11133208EFEM and method of   introducing dry air thereintoTDK CORPORATION
11133209Transfer head for   micro LEDPOINT ENGINEERING   CO., LTD.
11133210Dual temperature   heaterAPPLIED MATERIALS,   INC.
11133211Ceramic baseplate   with channels having non-square cornersLAM RESEARCH   CORPORATION
11133212High temperature   electrostatic chuckAPPLIED MATERIALS,   INC.
11133213Deflectable platen   and associated methodAPPLIED MATERIALS,   INC.
11133214Substrate   transportation methodTOKYO ELECTRON   LIMITED
11133215Glass substrate,   laminated substrate, laminated substrate manufacturing method, laminate,   package, and glass substrate manufacturing methodAGC INC.
11133216Interconnect   structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133217Late gate cut with   optimized contact trench sizeINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133218Semiconductor   apparatus having through silicon via structure and manufacturing method   thereof--
11133219Method of processing   a waferDISCO CORPORATION
11133220Manufacturing method   of packagesDISCO CORPORATION
11133221Method for forming   semiconductor device structure with gate electrode layer--
11133222Method for   manufacturing semiconductor structure--
11133223Selective epitaxy--
11133224Semiconductor   structure and method for forming the same--
11133225Mode converter and   method of fabricating thereofROCKLEY PHOTONICS   LIMITED
11133226FUSI gated device   formation--
11133227Semiconductor device   having active region and method for fabricating the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11133228Semiconductor   integrated circuitFUJI ELECTRIC CO.,   LTD.
11133229Forming transistor by   selectively growing gate spacer--
11133230Semiconductor device   with dual isolation liner and method of forming the same--
11133231CMP apparatus and   method for estimating film thickness--
11133232Semiconductor device,   method of testing semiconductor device and method of manufacturing   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11133234Semiconductor device   and semiconductor device manufacturing methodLAPIS SEMICONDUCTOR   CO., LTD.
11133236Polymer-based-semiconductor   structure with cavity--
11133240Semiconductor device   and semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11133241Semiconductor package   with a cavity in a die pad for reducing voids in the solderSTMICROELECTRONICS,   INC.
11133242Method of   manufacturing semiconductor devices, corresponding device and circuitSTMICROELECTRONICS   S.R.L.
11133244Semiconductor device   package and method for manufacturing the same--
11133245Semiconductor package   structure and method for manufacturing the same--
11133247Vias with metal caps   for underlying conductive lines--
11133248Semiconductor   structure and method for fabricating the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11133249Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11133252Three-dimensional   memory device containing horizontal and vertical word line interconnections   and methods of forming the sameSANDISK TECHNOLOGIES   LLC
11133254Hybrid power rail   structure--
11133258Package with bridge   die for interconnection and method forming same--
11133259Multi-chip package   structure having high density chip interconnect bridge with embedded power   distribution networkINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133260Self-aligned top viaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133263High-density   interconnects for integrated circuit packagesINTEL CORPORATION
11133264Electronic system   comprising a lower redistribution layer and method for manufacturing such an   electronic system3DIS TECHNOLOGIES
11133265Integrated fan-out   package and method of fabricating the same--
11133266Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11133268Crack bifurcation in   back-end-of-lineINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133269Semiconductor package   and manufacturing method thereof--
11133270Integrated circuit   device and fabrication method thereof--
11133272Vertically-aligned   and conductive dummies in integrated circuit layers for capacitance reduction   and bias independence and methods of manufactureQUALCOMM INCORPORATED
11133273Semiconductor device   with waveguide and method thereforNXP USA, INC.
11133274Fan-out interconnect   structure and method for forming same--
11133276Semiconductor device   and method for manufacturing the sameROHM CO., LTD.
11133278Semiconductor package   including cap layer and dam structure and method of manufacturing the same--
11133281Chip to chip   interconnect in encapsulant of molded semiconductor packageINFINEON TECHNOLOGIES   AG
11133282COWOS structures and   methods forming same--
11133283Integrated fan-out   device--
11133284Semiconductor package   device--
11133285Package-on-package   structure having polymer-based material for warpage control--
11133286Chip packages and   methods of manufacture thereof--
11133287Semiconductor package   including stacked semiconductor chips and method for fabricating the sameSK HYNIX INC.
11133289Semiconductor package   and manufacturing method of semiconductor package having plurality of   encapsulating materials--
11133290Chip package   structure with stacked chips and manufacturing method thereofYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11133296Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11133304Packaging scheme   involving metal-insulator-metal capacitor--
11133305Nanosheet P-type   transistor with oxygen reservoirINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133306Semiconductor device   including fin structures and manufacturing method thereof--
11133307FinFETs with locally   thinned gate structures and having different distances therebetween--
11133308Uniform work function   metal recess for vertical transistor complementary metal oxide semiconductor   technologyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133309Multi-threshold   voltage gate-all-around transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133310Method of making   multiple nano layer transistors to enhance a multiple stack CFET performanceTOKYO ELECTRON   LIMITED
11133312Semiconductor device,   and method for manufacturing the sameSK HYNIX INC.
11133314Semiconductor memory   device and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11133315Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11133316Semiconductor device   having a serpentine shape isolation--
11133317DRAM with a   hydrogen-supply layer and a high-capacitance embedded capacitor with a   cylindrical storage nodeSAMSUNG ELECTRONICS   CO., LTD.
11133318Semiconductor   structure and manufacturing method of the same--
11133320Method for   fabricating semiconductor device--
11133321Semiconductor device   and method of fabricating the same--
11133325Memory cell structure   of a three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11133330Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11133331Integrated tensile   strained silicon NFET and compressive strained silicon-germanium PFET   implemented in FinFET technologySTMICROELECTRONICS,   INC.
11133333Producing method for   thin film transistor with different crystallinitiesSAKAI DISPLAY   PRODUCTS CORPORATION
11133338SLT integrated   circuit capacitor structure and methodsPSEMI CORPORATION
11133349Short-wave infrared   detector array and fabrication methods thereofIRIS INDUSTRIES SA
111333513D semiconductor   device and structureMONOLITHIC 3D INC.
11133375Semiconductor   substrate with integrated inductive componentTEXAS INSTRUMENTS   INCORPORATED
11133377Semiconductor deviceROHM CO., LTD.
11133378Semiconductor device   including trench contact structure and manufacturing methodINFINEON TECHNOLOGIES   AG
11133379Semiconductor device   having a super junction structure and method of manufacturing the sameSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11133380Diode structure of a   power semiconductor deviceINFINEON TECHNOLOGIES   AG
11133383Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11133386Multi-layer fin   structure--
11133387FinFETs having   dielectric punch-through stoppers--
11133394Semiconductor device   and manufacturing method thereof--
11133395N-work function metal   with crystal structure--
11133397Method for forming   lateral heterojunction bipolar devices and the resulting devicesGLOBALFOUNDRIES U.S.   INC.
11133399Semiconductor deviceROHM CO., LTD.
11133400Structure and   formation method of semiconductor device structure--
11133401Fin structures having   varied fin heights for semiconductor device--
11133403Tunnel field effect   transistor--
11133404FinFET device   including a stem region of a fin element--
11133405High ruggedness   heterojunction bipolar transistor--
11133407Super-junction IGBT   device and method for manufacturing sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
11133408Dielectric   passivation for layered structuresIQE PLC
11133411Semiconductor device   with reduced on-resistanceKABUSHIKI KAISHA   TOSHIBA
11133415Gradient doped region   of recessed Fin forming a FinFET device--
11133416Methods of forming   semiconductor devices having plural epitaxial layers--
11133417Transistors with a   sectioned epitaxial semiconductor layerGLOBALFOUNDRIES U.S.   INC.
11133419Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11133420Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11133421Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11133422Method for   manufacturing a semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11133432Display panel and   manufacturing method thereof, and display deviceSHANGHAI TIANMA   MICRO-ELECTRONICS CO., LTD.
11133435Nitride semiconductor   substrate, manufacturing method therefor, and semiconductor deviceOSAKA UNIVERSITY
11133453Piezoelectric driving   device, piezoelectric motor, robot, electronic-component conveying apparatus,   printer, and projectorSEIKO EPSON   CORPORATION
11133461Laminate diffusion   barriers and related devices and methodsINTEL CORPORATION
11133467Access devices to   correlated electron switchCERFE LABS, INC.
11133492Battery structure   with stable voltage for neuromorphic computingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11133609Semiconductor device   having terminal pin connected by connecting member and method of   manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11134572Circuit board   structure and method for manufacturing a circuit board structureIMBERATEK, LLC
11134595Compliant die attach   systems having spring-driven bond toolsASSEMBLEON B.V.
11135623Wafer transport   container interior atmosphere measurement device, wafer transport container,   wafer transport container interior cleaning device, and wafer transport   container interior cleaning methodTDK CORPORATION
11135624Method and apparatus   for substrate cleaningSEMES CO., LTD.
11135626Contamination removal   apparatus and methodBRUKER NANO, INC.
11135700Processing apparatus   for processing waferDISCO CORPORATION
11135761Process for producing   receiving object having transferred patternDAIKIN INDUSTRIES,   LTD.
11136202Direct transfer   apparatus for electronic componentsASM TECHNOLOGY   SINGAPORE PTE LTD
11136437Curable particulate   silicone composition, semiconductor member comprising curable particulate   silicone composition, and method for molding semiconductor memberDOW TORAY CO., LTD.
11136474Polishing liquid and   polishing methodSHOWA DENKO MATERIALS   CO., LTD.
11136479Electrically   conductive adhesive film and dicing-die bonding film using the sameFURUKAWA ELECTRIC   CO., LTD.
11136665Shadow ring for   modifying wafer edge and bevel depositionAPPLIED MATERIALS,   INC.
11136667Deposition system and   method using a delivery head separated from a substrate by gas pressureEASTMAN KODAK COMPANY
11136668Film-forming   apparatus and film-forming methodTOKYO ELECTRON   LIMITED
11136669Film formation   apparatusTOKYO ELECTRON   LIMIIED
11136687Substrate locking   system, device and procedure for chemical and/or electrolytic surface   treatmentSEMSYSCO GMBH
11137356System and method of   cleaving of buried defectsSELA SEMICONDUCTOR   ENGINEERING LABORATORIES LTD.
11137369Integrated sensor   arrays for biological and chemical analysisLIFE TECHNOLOGIES   CORPORATION
11137630Method of producing   image display device and resin dispenserDEXERIALS CORPORATION
11137673EUV exposure   apparatus, and overlay correction method and semiconductor device fabricating   method using the sameSAMSUNG ELECTRONICS   CO., LTD.
11137675Mask and method for   forming the same--
11137680Shaping apparatus and   article manufacturing methodCANON KABUSHIKI   KAISHA
11137681Lined photobucket   structure for back end of line (BEOL) interconnect formationINTEL CORPORATION
11137685Semiconductor method   of protecting wafer from bevel contamination--
11137686Material for forming   underlayer film for lithography, composition for forming underlayer film for   lithography, underlayer film for lithography and production method thereof,   and resist pattern forming methodMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11137689Method and system for   fabricating unique chips using a charged particle multi-beamlet lithography   systemASML NETHERLANDS B.V.
11137695Method of determining   a height profile, a measurement system and a computer readable mediumASML NETHERLANDS B.V.
11137806Thermal management of   integrated circuitsINTEL CORPORATION
11138361Integrated circuit   and system of manufacturing the same--
11138929Display deviceSAMSUNG DISPLAY CO.,   LTD.
11139001Control logic   assemblies and methods of forming a control logic deviceMICRON TECHNOLOGY,   INC.
11139002Interconnection for   memory electrodesMICRON TECHNOLOGY,   INC.
11139141Systems and methods   for thermally conditioning a wafer in a charged particle beam apparatusASML NETHERLANDS B.V.
11139142High-resolution   three-dimensional profiling of features in advanced semiconductor devices in   a non-destructive manner using electron beam scanning electron microscopyAPPLIED MATERIALS,   INC.
11139151Micro-surface   morphological matching for reactor componentsINTEL CORPORATION
11139152Substrate processing   apparatusPSK INC.
11139159Methods of removing   particles from over semiconductor substratesMICRON TECHNOLOGY,   INC.
11139160Apparatus and method   for processing a surface of a substrateEBARA CORPORATION
11139161Method of processing   substrates and substrate processing apparatusTOKYO ELECTRON   LIMITED
11139162Organoaminosilane   precursors and methods for depositing films comprising sameVERSUM MATERIALS US,   LLC
11139163Selective deposition   of SiOC thin filmsASM IP HOLDING B.V.
11139164Electronic device   including hermetic micro-cavity and methods of preparing the sameRAYTHEON COMPANY
11139165Staggered-type   tunneling field effect transistor--
11139166Method for   manufacturing sputtering target, method for forming oxide film, and   transistorSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11139167Method making it   possible to obtain on a crystalline substrate a semi-polar layer of nitride   obtained with at least one of the following materials: gallium (Ga), indium   (In) and aluminium (Al)COMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11139168Chamber deposition   and etch processAPPLIED MATERIALS,   INC.
11139169Etching method and   etching apparatusTOKYO ELECTRON   LIMITED
11139170Apparatus and method   for bonding substratesEV GROUP E. THALLNER   GMBH
11139171Substrate treating   apparatus and substrate treating methodSEMES CO., LTD.
11139172Manufacturing method   of gate structure--
11139173Production method of   semiconductor deviceTOSHIBA MEMORY   CORPORATION
11139174Method for forming   features of semiconductor structure having reduced end-to-end spacing--
11139175Method of processing   target objectTOKYO ELECTRON   LIMITED
11139176Direct growth methods   for preparing diamond-assisted heat-dissipation silicon carbide substrates of   GaN-HEMTsHARBIN INSTITUTE OF   TECHNOLOGY
11139177Method of fabricating   semiconductor package structure--
11139178Semiconductor package   with filler particles in a mold compoundTEXAS INSTRUMENTS   INCORPORATED
11139179Embedded component   package structure and manufacturing method thereof--
11139180Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11139181Substrate processing   apparatus having processing block including liquid processing unit, drying   unit, and supply unit adjacent to the transport blockTOKYO ELECTRON   LIMITED
11139182Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
11139183Systems and methods   for dry wafer transport--
11139184Method and apparatus   for treating substrateSEMES CO., LTD.
11139185Substrate processing   device and substrate transfer methodTOKYO ELECTRON LIMI   TED
11139186Thin film deposition   in a high aspect ratio featureINTERMOLECULAR, INC.
11139187Adsorption device and   transferring system having sameCENTURY TECHNOLOGY   (SHENZHEN) CORPORATION LIMITED
11139188Gas supply device,   method for controlling gas supply device, load port, and semiconductor   manufacturing apparatusSINFONIA TECHNOLOGY   CO., LTD.
11139189Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
11139190Equipment front end   modules including multiple aligners, assemblies, and methodsAPPLIED MATERIALS,   INC.
11139191Storage apparatus for   storing cassettes for substrates and processing apparatus equipped therewithASM IP HOLDING B.V.
11139192Substrate treating   apparatus and substrate transporting methodSCREEN HOLDINGS CO.,   LTD.
11139193Device and method for   positioning first object in relation to second objectSHINKAWA LTD.
11139194Sample holderKYOCERA CORPORATION
11139195Lift pin unit and   substrate supporting unit having the sameSEMES CO., LTD.
11139196Substrate holder for   use in a lithographic apparatusASML NETHERLANDS B.V.
11139197Method of fabricating   semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11139198High resistivity   semiconductor-on-insulator wafer and a method of manufacturing--
11139199Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11139200Multi-layer structure   having a dense middle layer--
11139201Top via with hybrid   metallizationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139202Fully aligned top   vias with replacement metal linesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139203Using mask layers to   facilitate the formation of self-aligned contacts and vias--
11139204Semiconductor device   comprised of contact plugs having pillar portions and protrusion portions   extending from the pillar portionsSK HYNIX INC.
11139205Self-aligned   subtractive interconnect patterningAPPLIED MATERIALS,   INC.
11139206Semiconductor device   with conductive shielding structure--
11139207Method for   manufacturing a semiconductor device and semiconductor deviceAMS AG
11139208Semiconductor device   and method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
111392093D circuit provided   with mesa isolation for the ground plane zoneCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11139210Bonding support   structure (and related process) for wafer stacking--
11139211Selective NFET/PFET   recess of source/drain regions--
11139212Semiconductor   arrangement and method for making--
11139213Method of making 3D   source drains with hybrid stacking for optimum 3D logic layoutTOKYO ELECTRON   LIMITED
11139215Hybrid gate stack   integration for stacked vertical transport field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139216System, method and   non-transitory computer readable medium for tuning sensitivities of, and   determining a process window for, a modulated waferKLA-TENCOR   CORPORATION
11139217Post-production   substrate modification with FIB depositionBAE SYSTEMS   INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC.
11139220Flexible   semiconductor package formed by roll-to-roll processHAESUNG DS CO., LTD.
11139223Semiconductor device   and manufacturing method thereof--
11139224Package comprising a   substrate having a via wall configured as a shieldQUALCOMM INCORPORATED
11139228Semiconductor deviceTOSHIBA MEMORY   CORPORATION
11139229Package-on-package   semiconductor assemblies and methods of manufacturing the sameMICRON TECHNOLOGY,   INC.
11139230Flip-chip package   substrate and method for preparing the same--
11139232Wiring structure and   method for manufacturing the same--
11139233Cavity wall structure   for semiconductor packagingUTAC HEADQUARTERS   PTE. LTD.
11139234Package carrier and   manufacturing method thereof--
11139235Semiconductor device   and manufacturing method thereofLAPIS SEMICONDUCTOR   CO., LTD.
11139236Semiconductor devices   and methods of forming the same--
11139239Recessed inductor   structure to reduce step height--
11139240Semiconductor module   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11139241Integrated circuit   device with crenellated metal trace layoutINTEL CORPORATION
11139242Via-to-metal tip   connections in multi-layer chipsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139243Semiconductor memory   device--
11139244Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11139245Advanced node   interconnect routing methodology--
11139246Semiconductor device   with aligned viasTOSHIBA MEMORY   CORPORATION
11139248Mounting substrate   and electronic apparatusSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11139249Semiconductor devices   and methods of forming the same--
11139250Integrated module   with electromagnetic shieldingQORVO US, INC.
11139252Semiconductor package   and method for manufacturing the same--
11139255Protection of   integrated circuitsSTMICROELECTRONICS   (ROUSSET) SAS
11139258Bonding pads with   thermal pathwaysMICRON TECHNOLOGY,   INC.
11139260Plurality of stacked   pillar portions on a semiconductor structure--
11139261Film-like adhesive   and method for producing semiconductor package using film-like adhesiveFURUKAWA ELECTRIC   CO., LTD.
11139262Use of pre-channeled   materials for anisotropic conductorsMICRON TECHNOLOGY,   INC.
11139266Manufacturing method   for electronic component, and electronic componentMURATA MANUFACTURING   CO., LTD.
11139267Packaging structure   and forming method thereofTONGFU   MICROELECTRONICS CO., LTD.
11139268Semiconductor package   structure and method of manufacturing the same--
11139271Semiconductor device   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11139274Semiconductor device   package and method of manufacturing the same--
11139277Semiconductor device   including contact fingers on opposed surfacesWESTERN DIGITAL   TECHNOLOGIES, INC.
11139281Molded underfilling   for package on package devices--
11139285Semiconductor package--
11139289Circuit-protection   devicesMICRON TECHNOLOGY,   INC.
11139290High voltage cascode   HEMT device--
11139294Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11139295Fin field effect   transistor (FinFET) device and method--
11139296CMOS circuit with   vertically oriented n-type transistor and method of providing sameINTEL CORPORATION
11139301Semiconductor device   including side surface conductor contactSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11139302Integrated assemblies   comprising spaces between bitlines and comprising conductive plates   operationally proximate the bitlines, and methods of forming integrated   assembliesMICRON TECHNOLOGY,   INC.
11139304Manufacturing method   of semiconductor memory device--
11139308Atomic layer   deposition of III-V compounds to form V-NAND devicesASM IP HOLDING B.V.
11139311Semiconductor   non-volatile memory devicesGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11139312Semiconductor deviceTOSHIBA MEMORY   CORPORATION
11139313Method of   manufacturing semiconductor memoryTOKYO ELECTRON   LIMITED
11139315Ferroelectric   transistorQUALCOMM INCORPORATED
11139324Method of   manufacturing array substrate and display panelHKC CORPORATION   LIMITED
11139328Manufacture of   semiconductor module with transparent molding componentSUNNY OPOTECH NORTH   AMERICA INC.
11139331Semiconductor device   and semiconductor-device manufacturing methodSONY CORPORATION
11139334Solid-state image   pickup deviceCANON KABUSHIKI   KAISHA
11139367High density MIM   capacitor structure--
11139369Method of forming a   semiconductor deviceINFINEON TECHNOLOGIES   AG
11139371Two-dimensional   semiconductor with geometry structure and generating method thereof--
11139372Dual step etch-back   inner spacer formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139375Semiconductor device   and method of manufacturing a semiconductor deviceINFINEON TECHNOLOGIES   AG
11139376Silicon carbide   semiconductor device and method of manufacturing silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11139378Semiconductor deviceTOSHIBA MEMORY   CORPORATION
11139379Semiconductor   structure and method for forming the same--
11139380Vertical fin-type   bipolar junction transistor with self-aligned base contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139383Titanium aluminum and   tantalum aluminum thin filmsASM IP HOLDING B.V.
11139384Method for   fabricating semiconductor device--
11139385Interface-less   contacts to source/drain regions and gate electrode over active portion of   deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139387Semiconductor device   and method of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
11139392Semiconductor device   and manufacturing methodFUJI ELECTRIC CO.,   LTD.
11139396Devices including   vertical transistors, and related methodsMICRON TECHNOLOGY,   INC.
11139397Self-aligned metal   compound layers for semiconductor devices--
11139399Vertical transistor   with self-aligned gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11139400Non-planar   semiconductor device having hybrid geometry-based active regionGOOGLE LLC
11139402Crystal orientation   engineering to achieve consistent nanowire shapesSYNOPSYS, INC.
11139403Solar panelSUNPOWER CORPORATION
11139405Method for   fabricating nanopillar solar cell using grapheneSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11139420LED package structureLITE-ON OPTO   TECHNOLOGY (CHANGZHOU) CO., LTD.
11139434Improving stability   of thin film transistorsFLEXENBLE LIMITED
11139447Light emitting layer   structure and display deviceSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11139450Display substrate and   manufacturing method therefor, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11139552Method of forming a   semiconductor deviceSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11139748Power module, power   converter device, and electrically powered vehicleHITACHI ASTEMO, LTD.
11139759Apparatus for at   least one of holding, positioning and moving an object and method of   operating an apparatus for at least one of holding, positioning and moving an   objectAPPLIED MATERIALS,   INC.
11139802Sequential based ring   oscillatorQUALCOMM INCORPORATED
11140786Thermal interface   adhesion for transfer molded electronic componentsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11141755Piezoelectric jetting   system and method with amplification mechanismNORDSON CORPORATION
11141758Film forming method,   storage medium, and film forming systemTOKYO ELECTRON   LIMITED
11141762System for cleaning   semiconductor wafersACM RESEARCH   (SHANGHAI), INC.
11141823Systems and methods   of applying materials to componentsLAIRD TECHNOLOGIES,   INC.
11141830Method for setting   processing deviceTOKYO SEIMITSU CO.,   LTD
11141832Water discharge   system, water discharge method, water discharge control apparatus, water   discharge control method, substrate processing apparatus and non-transitory   computer readable medium recording water discharge controlEBARA CORPORATION
11141902Gate-all-around fin   deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11142219Ceiling conveyance   system, and relay conveyance apparatus and conveyance method used thereforMURATA MACHINERY,   LTD.
11142452Transfer system and   transfer method for microelements, manufacturing method for microelement   device and microelement device made therefrom, and electronic apparatus   including the microelement deviceXIAMEN SANAN   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11142484Component for   semiconductor production device, and production method of component for   semiconductor production deviceNGK SPARK PLUG CO.,   LTD.
11142669Adhesive and method   of encapsulating organic electronic device using the sameLG CHEM, LTD.
11142694Etchant composition   and method of fabricating semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11142821Method for producing   single crystal substrate having a plurality of grooves using a pair of masksSEIKO EPSON   CORPORATION
11142823Substrate processing   apparatus, substrate processing method, and storage mediumTOKYO ELECTRON   LIMITED
11142829Semiconductor   manufacturing apparatus member, and display manufacturing apparatus and   semiconductor manufacturing apparatus comprising semiconductor manufacturing   apparatus memberTOTO LTD.
11142844High resistivity   single crystal silicon ingot and wafer having improved mechanical strength--
11143416Radiation heater   arrangementEVATEC AG
11143600Defect inspection   deviceHITACHI HIGH-TECH   CORPORATION
11143601Test structure design   for metrology measurements in patterned samples--
11143689Method and system for   data collection and analysis for semiconductor manufacturingOPTIMAL PLUS LTD.
11143692LED wafer, LED wafer   detection device, and LED wafer detection method--
11143694Wide injection range   open circuit voltage decay systemTEXAS TECH UNIVERSITY   SYSTEM
11143711Apparatus and method   for detecting wiring short in substrateSAMSUNG   ELECTRO-MECHANICS CO., LTD.
11143949Photomask blank,   method of manufacturing photomask, and photomaskSHIN-ETSU CHEMICAL   CO., LTD.
11143950Mask manufacturing   method and mask setTOSHIBA MEMORY   CORPORATION
11143956Ejection device and   imprint apparatusCANON KABUSHIKI   KAISHA
11143961Resist compositionTHE UNIVERSITY OF   MANCHESTER
11143962Material for forming   underlayer film for lithography, composition for forming underlayer film for   lithography, underlayer film for lithography and production method thereof,   pattern forming method, resin, and purification methodMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11143965Optical lithography   system for patterning semiconductor devices and method of using the same--
11143974Cleaning method and   cleaning system for reticle podSAMSUNG ELECTRONICS   CO., LTD.
11144075Flow control system,   method, and apparatusICHOR SYSTEMS, INC.
11145490Plasma processing   methodTOKYO ELECTRON   LIMITED
11145491Substrate processing   apparatus, method of manufacturing semiconductor device and non-transitory   computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
11145492Local dry etching   apparatusSPEEDFAM CO., LTD.
11145493Plasma etching   apparatus and plasma etching methodTOKYO ELECTRON   LIMITED
11145494Plasma processing   apparatusPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11145504Method of forming   film stacks with reduced defectsAPPLIED MATERIALS,   INC.
11145505Method of   manufacturing semiconductor device, substrate processing method, substrate   processing apparatus, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11145506Selective passivation   and selective depositionASM IP HOLDING B.V.
11145507Method of forming   gallium nitride film over SOI substrate--
11145508Forming a fin cut in   a hardmaskINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145509Method for forming   and patterning a layer and/or substrateAPPLIED MATERIALS,   INC.
11145510FinFET device over   convex insulating structure--
11145511Power semiconductor   device and method of fabricating the sameSHANGHAI HUAHONG   GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
11145512Gate isolation plugs   structure and method--
11145513Method of   manufacturing semiconductor deviceNICHIA CORPORATION
11145514Removal liquid and   method for removing oxide of group III-V element, treatment liquid for   treating compound of group III-V element, oxidation prevention liquid for   preventing oxidation of group III-V element, treatment liquid for treating   semiconductor substrate, and method for producing semiconductor substrate   productFUJIFILM CORPORATION
11145515Manufacturing method   of semiconductor device with attached filmDENSO CORPORATION
11145516Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11145517Gas curtain for   semiconductor manufacturing system--
11145518Method and apparatus   for etching target objectTOKYO ELECTRON   LIMITED
11145519Mechanisms for   forming patterns using multiple lithography processes--
11145520Method for treating   substrate involving supplying treatment liquid to peripheral area of   substrate by second nozzleSEMES CO., LTD.
11145521Method for cleaning a   semiconductor substrate--
11145522Method of forming   boron-based film, and film forming apparatusTOKYO ELECTRON   LIMITED
11145524Apparatus and method   for treating substrateSEMES CO., LTD
11145526Method of analyzing a   manufacturing of a semiconductor structure--
11145527Method for charging   gas into cassette pod--
11145528Load port apparatus   and method of mounting containerTDK CORPORATION
11145529EFEM and method of   introducing replacement gas thereintoTDK CORPORATION
11145530System and method for   alignment of an integrated circuitCEREBRAS SYSTEMS INC.
11145531Substrate fixing   deviceSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11145532Electrostatic chuckTOTO LTD.
11145533Expanding methodDISCO CORPORATION
11145534Support unit and   substrate treating apparatus comprising the sameSEMES CO., LTD.
11145535Planarization   process, apparatus and method of manufacturing an articleCANON KABUSHIKI   KAISHA
11145536Gate dielectric   preserving gate cut process--
11145537Silicon-on-insulator   substrate including trap-rich layer and methods for making thereofTEMPE
11145538High resistivity   silicon-on-insulator structure and method of manufacture thereof--
11145539Shallow trench   isolation for integrated circuits--
11145540Semiconductor   structure having air gap dielectric and the method of preparing the same--
11145541Conductive via and   metal line end fabrication and structures resulting therefromINTEL CORPORATION
11145542Global dielectric and   barrier layer--
11145543Semiconductor via   structure with lower electrical resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145544Contact etchback in   room temperature ionic liquid--
11145545Semiconductor deviceTOSHIBA MEMORY   CORPORATION
11145546Method of forming   semiconductor device--
11145547Semiconductor chip   suitable for 2.5D and 3D packaging integration and methods of forming the   sameQORVO US, INC.
11145548Manufacturing process   of element chip using laser grooving and plasma-etchingPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11145549Field effect   transistor with an atomically thin channelCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11145550Dummy fin template to   form a self-aligned metal contact for output of vertical transport field   effect transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145551FinFET devicesTESSERA, INC.
11145552Method of   manufacturing semiconductor integrated circuitFUJI ELECTRIC CO.,   LTD.
11145553Nonplanar device and   strain-generating channel dielectric--
11145554Method for   source/drain contact formation in semiconductor devices--
11145555Gate-last process for   vertical transport field-effect transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145556Method and device for   inspection of semiconductor samplesCARL ZEISS SMT GMBH
11145557Method and apparatus   to determine a patterning process parameterASML NETHERLANDS B.V.
11145558Manufacturing method   of semiconductor moduleFUJI ELECTRIC CO.,   LTD.
11145559Process monitoring of   deep structures with X-ray scatterometryKLA-TENCOR   CORPORATION
11145560Semiconductor device   and methods of manufacturing--
11145561Display panel and   method for manufacturing sameWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11145562Package structure and   method of manufacturing the same--
11145563Semiconductor devices   having cutouts in an encapsulation material and associated production methodsINFINEON TECHNOLOGIES   AG
11145564Multi-layer   passivation structure and method--
11145565Method of fabricating   a chip package module with improve heat dissipation effect--
11145571Heat transfer for   power modulesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11145572Semiconductor   structure having through-substrate via (TSV) in porous semiconductor regionNEWPORT FAB, LLC
11145574Semiconductor device   packages with electrical routing improvements and related methodsMICROCHIP TECHNOLOGY   INCORPORATED
11145575Conductive bonding   layer with spacers between a package substrate and chipUTAC HEADQUARTERS   PTE. LTD.
11145578Semiconductor package   with top or bottom side cooling and method for manufacturing the   semiconductor packageINFINEON TECHNOLOGIES   AG
11145581Methods of forming   leadless semiconductor packages with plated leadframes and wettable flanksSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11145582Method of   manufacturing semiconductor devices with a paddle and electrically conductive   clip connected to a leadframe and corresponding semiconductor deviceSTMICROELECTRONICS   S.R.L.
11145583Method to achieve   variable dielectric thickness in packages for better electrical performanceINTEL CORPORATION
11145584Semiconductor device,   lead frame, and method for manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11145585Wiring board having   each pad with tapered section continuously formed on columnar sectionSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11145588Method for   fabricating semiconductor package and semiconductor package using the sameAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11145592Process for forming   metal-insulator-metal structures--
11145593Semiconductor device   with integrated capacitor and manufacturing method thereof--
11145596Package structure and   method of forming the same--
11145597Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11145598Lattice bump   interconnectTEXAS INSTRUMENTS   INCORPORATED
11145599Method of fabricating   a memory device having multiple metal interconnect lines--
11145600Electronic device   with multilayer electrode and methods for manufacturing the same--
11145603Integrated circuit   packaging system with shielding and method of manufacture thereofSTATS CHIPPAC PTE.   LTD.
11145604Semiconductor   structure, integrated circuit device, and method of forming semiconductor   structure--
11145610Chip package   structure having at least one chip and at least one thermally conductive   element and manufacturing method thereof--
11145611Semiconductor package   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11145614Semiconductor device   and method of manufacture--
11145618Bonding equipmentSHARP KABUSHIKI   KAISHA
11145621Semiconductor package   device and method of manufacturing the same--
11145622Discrete polymer in   fan-out packages--
11145624Semiconductor device   package and method for manufacturing the same--
11145625Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
11145626Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11145627Semiconductor package   and manufacturing method thereof--
11145630Light emitting diode   panel and manufacturing method thereof--
11145633Semiconductor package   and manufacturing method thereof--
11145637Semiconductor package   including a substrate having two silicon layers formed on each otherSAMSUNG ELECTRONICS   CO., LTD.
11145638Semiconductor devices   and methods of manufacturing semiconductor devicesAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11145639Semiconductor package   and manufacturing method thereof--
11145640Integrated circuit   (IC) deviceSAMSUNG ELECTRONICS   CO., LTD.
11145642Single-stack   bipolar-based ESD protection deviceNXP USA, INC.
11145643Semiconductor device,   method for manufacturing semiconductor device, and PID protection deviceSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11145644Power device with   carrier lifetime zoneSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11145645Multi-stack   three-dimensional memory devicesYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11145647Tipless transistors,   short-tip transistors, and methods and circuits thereforUNITED SEMICONDUCTOR   JAPAN CO., LTD.
11145648Enhancement/depletion   device pairs and methods of producing the sameINTEL CORPORATION
11145649Semiconductor devices   with low parasitic capacitanceQUALCOMM INCORPORATED
11145650Gate cut dielectric   feature and method of forming the same--
11145651Transistor gate   arrangement to modify driver signal characteristicsARM LIMITED
11145652Increasing thickness   of functional layer according to increasing recess areaSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11145654Field effect   transistor (FET) comprising channels with silicon germanium (SiGe)QUALCOMM INCORPORATED
11145655Memory device with   reduced-resistance interconnect--
111456573D semiconductor   device and structureMONOLITHIC 3D INC.
11145658Semiconductor   structures with deep trench capacitor and methods of manufactureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145666Staircase structure   for memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11145672Semiconductor device   including stack structures having gate pads with different thicknessesSAMSUNG ELECTRONICS   CO., LTD.
11145673Semiconductor   apparatus with multiple tiers, and methodsMICRON TECHNOLOGY,   INC.
111456743D memory device and   method of manufacturing the same--
11145675Semiconductor device   and manufacturing method of the semiconductor deviceSK HYNIX INC.
11145677Fully depleted   semiconductor-on-insulator transistors with different buried dielectric layer   charges and different threshold voltagesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145678Method for   manufacturing semiconductor device--
11145679Method for   manufacturing active matrix boardSHARP KABUSHIKI   KAISHA
11145710Electrode/dielectric   barrier material formation and structuresMICRON TECHNOLOGY,   INC.
11145711Capacitor and method   for manufacturing capacitorMURATA MANUFACTURING   CO., LTD.
11145713High voltage   metal-oxide-semiconductor (HVMOS) device integrated with a high voltage   junction termination (HVJT) device--
11145714Semiconductor deviceROHM CO., LTD.
11145715Semiconductor   structure and method of forming sameFUJIAN JINHUA   INTEGRATED CIRCUIT CO., LTD.
11145716Semiconductor devices   with low resistance gate structuresGLOBALFOUNDRIES U.S.   INC.
11145719Semiconductor device   having a contact--
11145720Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11145722Heavily doped buried   layer to reduce MOSFET off capacitanceANALOG DEVICES, INC.
11145723Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11145724Silicon carbide   semiconductor device and method of manufacturing silicon carbide   semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11145727Semiconductor   structure and method of forming the same--
11145728Semiconductor device   and method of forming same--
11145729Semiconductor device--
11145730Semiconductor device   and method for manufacturing the same--
11145731Electronic device and   method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11145733Method of   manufacturing a semiconductor device--
11145734Semiconductor device   with dummy fin and liner and method of forming the same--
11145735Ohmic alloy contact   region sealing layerRAYTHEON COMPANY
11145736Semiconductor device   with electrically connected doping regions and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11145739Field effect   transistors with a gated oxide semiconductor source/drain spacerINTEL CORPORATION
11145740Ferroelectric field   effect transistor device--
11145741Bipolar transistorSTMICROELECTRONICS   (GROLLES 2) SAS
11145742Process of forming   nitride semiconductor deviceSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11145744Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11145745Method for producing   a semiconductor componentINFINEON TECHNOLOGIES   AG
11145746Semiconductor device   and method--
11145747FinFET structure--
11145749Method of fabricating   a semiconductor device--
11145752Residue removal in   metal gate cutting process--
11145753Ballistic transport   semiconductor device based on nano array and manufacturing methodJIANGSU
11145755Silicon carbide   semiconductor component with edge termination structureINFINEON TECHNOLOGIES   AG
11145756Semiconductor   structure and method of forming a semiconductor structureSEMICONDUCTOR   MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION
11145758Fully-depleted CMOS   transistors with u-shaped channelINTERNATIONAL   BUSINESS MACHINES CORPORATION
11145759Silicon germanium   p-channel finFET stressor structure and method of making same--
11145760Structure having   improved fin critical dimension control--
11145761Horizontal gate all   around and FinFET device isolationAPPLIED MATERIALS,   INC.
11145762Multi-gate device--
11145763Vertical switching   device with self-aligned contactINTEL CORPORATION
11145767Semiconductor   structure--
11145768Trench capacitor   component with reduced equivalent series resistance and equivalent series   inductanceQUALCOMM INCORPORATED
11145794Chip scale light   emitting device package with domeLUMILEDS LLC
11145795Light emitting   apparatus and method for manufacturing sameCITIZEN ELECTRONICS   CO., LTD.
11145799LED module having LED   chips as light sourceROHM CO., LTD.
11145806Magnetic tunnel   junction with reduced damage--
11145842Organic light   emitting diode display panel comprising multi-layer encapsulationWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11145845Organic device   manufacturing methodSUMITOMO CHEMICAL   COMPANY, LIMITED
11146230Method for creating   double bragg mirror for tight frequency reference controlTEXAS INSTRUMENTS   INCORPORATED
11146258Method of over   current and over voltage protection of a power switch in combination with   regulated DI/DT and DV/DTINFINEON TECHNOLOGIES   AUSTRIA AG
11147129Industrial heater--
11147166Method for producing   semiconductor deviceSHOWA DENKO MATERIALS   CO., LTD.
11147197Microelectronic   package electrostatic discharge (ESD) protectionINTEL CORPORATION
11148179Method for cleaning   substrate transfer mechanism and substrate processing systemTOKYO ELECTRON   LIMITED
11148243Cutting blade   supplying apparatus and cutting blade caseDISCO CORPORATION
11148258Holding apparatus and   method for holding a substrateSUSS MICROTEC   LITHOGRAPHY GMBH
11148938Substrate bonding   apparatus, substrate pairing apparatus, and semiconductor device   manufacturing methodTOSHIBA MEMORY   CORPORATION
11148943Glass piece and   methods of manufacturing glass pieces and semiconductor devices with glass   piecesINFINEON TECHNOLOGIES   AG
11149045Raw material for   vapor deposition including organoplatinum compound and vapor deposition   method using the raw material for vapor depositionTANAKA KIKINZOKU   KOGYO K.K.
11149200Composition for   etching and method for manufacturing semiconductor device using same--
11149201Silicon nitride layer   etching compositionENF TECHNOLOGY CO.,   LTD.
11149234Cleaning composition,   cleaning apparatus, and method of fabricating semiconductor device using the   sameSAMSUNG ELECTRONICS   CO., LTD.
11149235Cleaning composition   with corrosion inhibitorENTEGRIS, INC.
11149345Cryogenically cooled   rotatable electrostatic chuckAPPLIED MATERIALS,   INC.
11149347Process for etching,   and chamber cleaning and a gas thereforSOLVAY SA
11149350Shower plate   structure for supplying carrier and dry gasASM IP HOLDING B.V.
11149351Apparatus and method   for chemical vapor deposition process for semiconductor substratesINFINEON TECHNOLOGIES   AG
11149358Vapor phase growth   apparatus comprising n reactors, a primary gas supply path, a main secondary   gas supply path, (n&#x2212;1) auxiliary secondary gas supply paths, a   first control circuit, and a second control circuitNUFLARE TECHNOLOGY,   INC.
11149752Vacuum pump using   profileVTEC CO., LTD
11149991Heating and cooling   apparatus having moisture removal function for testing electrical   characteristic of semiconductor element using probe system--
11150190Hybrid metrology   method and systemNOVA LTD
11150283Amplitude and phase   detection circuitRENO TECHNOLOGIES,   INC.
11150287Pump and probe type   second harmonic generation metrologyFEMTOMETRIX, INC.
11150409Saw assisted facet   etch dicingGENXCOMM, INC.
11150494Waveguide modulator   structuresROCKLEY PHOTONICS   LIMITED
11150558Developing method--
11150680Two-transistor   bandgap reference circuit and FinFET device suited for same--
11150710Apparatuses and   methods for encapsulated devicesINTERSIL AMERICAS LLC
11151709Inspection device and   inspection methodTOSHIBA MEMORY   CORPORATION
11151922Monolithic micro LED   displayINTEL CORPORATION
11152130Nanoscale X-ray   tomosynthesis for rapid analysis of integrated circuit (IC) diesMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11152192Plasma processing   apparatus and methodHITACHI HIGH-TECH   CORPORATION
11152196Substrate processing   apparatusTOKYO ELECTRON   LIMITED
11152203Semiconductor cleaner   systems and methodsBROOKS AUTOMATION   (GERMANY) GMBH
11152204Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11152205Silicon chalcogenate   precursors comprising a chemical formula of si(XR1)nR24-n and methods of   forming the silicon chalcogenate precursorsMICRON TECHNOLOGY,   INC.
11152206Compositions and   methods using same for carbon doped silicon containing filmsVERSUM MATERIALS US,   LLC
11152207Method of forming   titanium nitride films with (200) crystallographic textureTOKYO ELECTRON   LIMITED
11152208Semiconductor film,   method of forming semiconductor film, complex compound for doping, and method   of dopingFLOSFIA INC.
11152209Forming semiconductor   structures with two-dimensional materials--
11152210Semiconductor crystal   substrate, infrared detector, and method for producing semiconductor crystal   substrateFUJITSU LIMITED
11152211Semiconductor wafer   thinning systems and related methodsSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11152212Semiconductor device   and method for fabricating the sameSK HYNIX INC.
11152213Transistor device   with ultra low-k self aligned contact cap and ultra low-k spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152214Structures and   methods for equivalent oxide thickness scaling on silicon germanium channel   or III-V channel of semiconductor deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152215Method of   manufacturing semiconductor device, substrate processing apparatus and   non-transitory computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
11152216Method for   manufacturing semiconductor deviceFILNEX INC.
11152217Highly selective   silicon oxide/silicon nitride etching by selective boron nitride or aluminum   nitride depositionTOKYO ELECTRON   LIMITED
11152218Template, imprint   apparatus, imprint method and imprint apparatus management methodTOSHIBA MEMORY   CORPORATION
11152219Selectively etching   materialsENTEGRIS, INC.
11152220Etching method and a   semiconductor deviceSHANGHAI HUALI   INTEGRATED CIRCUIT MFG. CO. LTD.
11152221Methods and apparatus   for metal silicide depositionAPPLIED MATERIALS,   INC.
11152222Dishing prevention   structure embedded in a gate electrode--
11152223Fluorocarbon   molecules for high aspect ratio oxide etchAMERICAN AIR LIQUIDE,   INC.
11152224Semiconductor device   with field stop layer and semiconductor device manufacturing method thereofFUJI ELECTRIC CO.,   LTD.
11152225Method for producing   semiconductor elementTOYOTA JIDOSHA   KABUSHIKI KAISHA
11152226Structure with   controlled capillary coverageINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152227Lift-off embedded   micro and nanostructuresMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11152228Wafer cleaning   apparatus and cleaning method using the sameSK SILTRON CO., LTD.
11152229Semiconductor   manufacturing apparatus and semiconductor manufacturing methodMITSUBISHI ELECTRIC   CORPORATION
11152230Device and method for   bonding alignmentSHANGHAI MICRO   ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
11152231Heating apparatus,   method and system for producing semiconductor chips in the wafer assemblyOSRAM OLED GMBH
11152232Frequency and phase   controlled transducers and sensing--
11152233Substrate treating   apparatus and substrate treating methodSCREEN HOLDINGS CO.,   LTD.
11152234Weighing apparatus,   substrate liquid processing apparatus, weighing method, substrate liquid   processing method and recording mediumTOKYO ELECTRON   LIMITED
11152235Apparatus and method   for manufacture of semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11152236System for and method   of manufacture using multimodal analysisSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11152237Substitute sample,   method for determining control parameter of processing, and measurement   systemHITACHI, LTD.
11152238Semiconductor   processing stage profiler jig--
11152239Fume-removing deviceSEONGNAM
11152240Apparatus for   conveying carrier and system for controlling carrier having the sameSEMES CO., LTD.
11152241Substrate processing   apparatus and notification methodTOKYO ELECTRON   LIMITED
11152242Substrate treating   apparatus and method for controlling substrate treating apparatusSCREEN HOLDINGS CO.,   LTD.
11152243Device for aligning   and optically inspecting a semiconductor componentMUEHLBAUER GMBH &   CO. KG
11152244Electrostatic chuckTOTO LTD.
11152245LED transfer device   comprising mask and micro LED transferring method using the sameSAMSUNG ELECTRONICS   CO., LTD.
11152246Chuck table and   inspection apparatusDISCO CORPORATION
11152247Semiconductor device   and manufacturing method thereofSEIKO EPSON   CORPORATION
11152248Cyclic flowable   deposition and high-density plasma treatment processes for high quality gap   fill solutionsAPPLIED MATERIALS,   INC.
11152249Methods of forming   FinFET devices--
11152250Gate dielectric   preserving gate cut process--
11152251Method for   manufacturing semiconductor device having via formed by ion beam--
11152252Semiconductor device   with reduced contact resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152253Semiconductor   structure and method for fabricating the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11152254Pitch quartered   three-dimensional air gapsINTEL CORPORATION
11152255Methods of performing   chemical-mechanical polishing process in semiconductor devices--
11152256Carrier film, element   transfer method using same, and electronic product manufacturing method using   element transfer methodKOREA INSTITUTE OF   MACHINERY & MATERIALS
11152257Barrier-less   prefilled via formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152258Method of forming an   interconnect in a semiconductor device--
11152259Interconnection   element and method of manufacturing the sameSTMICROELECTRONICS   (CROLLES 2) SAS
11152260Embedding method and   processing systemTOKYO ELECTRON   LIMITED
11152261Self-aligned top via   formation at line endsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152262Cut metal gate   devices and processes--
11152263Process method for   cutting polysilicon gate of FinFET transistorSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
11152264Multi-Vt scheme with   same dipole thickness for gate-all-around transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152265Local isolation of   source/drain for reducing parasitic capacitance in vertical field effect   transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152266Vertical tunneling   field effect transistor with dual liner bottom spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152267Methods of cutting   metal gates and structures formed thereof--
11152268Platform and method   of operating for integrated end-to-end area-selective deposition processTOKYO ELECTRON   LIMITED
11152269Plasma processing   apparatus and control methodTOKYO ELECTRON   LIMITED
11152270Monitoring structure   for critical dimension of lithography process--
11152272Die-to-wafer hybrid   bonding with forming glassQUALCOMM INCORPORATED
11152273Conductive structures   and redistribution circuit structures--
11152274Multi-moldings   fan-out package and process--
11152275Semiconductor device   and method for manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11152276Trim wall protection   method for multi-wafer stacking--
11152280Semiconductor device   and method for manufacturing the sameMITSUBISHI ELECTRIC   CORPORATION
11152281Method of   manufacturing a cooling circuit on an integrated circuit chip using a   sacrificial materialCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11152282Localized catalyst   for enhanced thermal interface material heat transferINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152284Three-dimensional   memory device with a dielectric isolation spacer and methods of forming the   sameSANDISK TECHNOLOGIES   LLC
11152286Power semiconductor   module deviceWASEDA UNIVERSITY
11152288Lead frames for   semiconductor packagesINFINEON TECHNOLOGIES   AG
11152289Semiconductor device   and corresponding methodSTMICROELECTRONICS   S.R.L.
11152293Wiring board having   two insulating films and hole penetrating therethroughSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11152294Hermetic metallized   via with improved reliabilityCORNING INCORPORATED
11152296Semiconductor package   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE LTD.
11152298Metal via structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152299Hybrid selective   dielectric deposition for aligned via integrationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152300Electrical fuse with   metal line migrationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152302Fabricating unique   chips using a charged particle multi-beamlet lithography systemASML NETHERLANDS B.V.
11152306Dielectric film for   semiconductor fabrication--
11152307Buried local   interconnectINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152309Semiconductor   package, method of fabricating semiconductor package, and method of   fabricating redistribution structureSAMSUNG ELECTRONICS   CO., LTD.
11152312Packages with   interposers and methods for forming the same--
11152313Using threading   dislocations in GaN/Si systems to generate physically unclonable functionsSYNOPSYS, INC.
11152316Method of forming   contact holes in a fan out package--
11152320Semiconductor package   structure and method of the same--
11152321Semiconductor device   having a copper pillar interconnect structureINFINEON TECHNOLOGIES   AG
11152322Leadframes in   semiconductor devicesTEXAS INSTRUMENTS   INCORPORATED
11152323Package with UBM and   methods of forming--
11152324Method and apparatus   for making integrated circuit packagesTEXAS INSTRUMENTS   INCORPORATED
11152325Contact and die   attach metallization for silicon carbide based devices and related methods of   sputtering eutectic alloysCREE, INC.
11152329Method of separating   bonded substrate, method of manufacturing semiconductor storage device, and   substrate separation apparatusTOSHIBA MEMORY   CORPORATION
11152330Semiconductor package   structure and method for forming the same--
11152331Electronic package   and method for fabricating the same--
11152332Modular voltage   regulators--
11152338Semiconductor device   and manufacturing method thereof--
11152339Method for improved   transfer of semiconductor dieROHINNI, LLC
11152340Power module having a   multilayered structure with liquid cooled busbar and method for manufacturing   sameMITSUBISHI ELECTRIC   CORPORATION
11152344Integrated circuit   package and methods of forming same--
11152345Method for   manufacturing semiconductor deviceKIOXIA CORPORATION
11152349Integrated circuit   (IC) deviceSAMSUNG ELECTRONICS   CO., LTD.
11152350Dielectric spaced   diodeTEXAS INSTRUMENTS   INCORPORATED
11152352Dual mode snap back   circuit deviceINTEL CORPORATION
11152353Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11152354Bipolar junction   transistor, BiCOMOS device including same, and method of manufacturing BiCMOS   deviceDB HITEK CO., LTD.
11152355Structure with   embedded memory device and contact isolation scheme--
11152356Method of forming a   semiconductor device and structure thereforSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11152358Vertical structure   for semiconductor device--
11152360Architecture of N and   P transistors superposed with canal structure formed of nanowiresCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11152361Techniques for   achieving multiple transistor fin dimensions on a single dieINTEL CORPORATION
11152362Fin field effect   transistor (FinFET) device structure--
11152363Bulk CMOS devices   with enhanced performance and methods of forming the same utilizing bulk CMOS   processQORVO US, INC.
11152364Semiconductor   structure and methods for manufacturing the same--
11152368Semiconductor device   including storage node electrode having filler and method for manufacturing   the sameSAMSUNG ELECTRONICS   CO., LTD.
11152370Memory structure   having transistors and capacitor and manufacturing method thereof--
11152371Apparatus comprising   monocrystalline semiconductor materials and monocrystalline metal silicide   materials, and related methods, electronic devices, and electronic systemsMICRON TECHNOLOGY,   INC.
11152372Method used in   forming integrated circuitry, and method used in forming memory circuitryMICRON TECHNOLOGY,   INC.
11152373Structures and   methods for forming dynamic random-access devicesAPPLIED MATERIALS,   INC.
11152374Semiconductor device   having bit line structure with spacer structure and method of manufacturing   the sameSAMSUNG ELECTRONICS   CO., LTD.
11152375Contact patterningMICRON TECHNOLOGY,   INC.
11152377Nanosheet SRAM by SIT   processINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152379Static random-access   memory (SRAM) and manufacture thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11152380Memory device and a   method for forming the memory deviceGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11152381MOS transistor having   lower gate-to-source/drain breakdown voltage and one-time programmable memory   device using the sameHEFECHIP CORPORATION   LIMITED
11152382Semiconductor   one-time programmable memory for nanometer CMOS--
11152383Non-volatile memory   (NVM) cell structure to increase reliability--
11152384Boundary structure   for embedded memory--
111523863D semiconductor   device and structureMONOLITHIC 3D INC.
11152389Methods for solving   epitaxial growth loading effect at different pattern density regionsYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11152390Vertical   semiconductor devices and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11152391Semiconductor memory   device and production method thereofTOSHIBA MEMORY   CORPORATION
11152393Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11152394Structure with   polycrystalline isolation region below polycrystalline fill shape(s) and   selective active device(s), and related methodGLOBALFOUNDRIES U.S.   INC.
11152395Monolithic multi-FETsX-CELEPRINT LIMITED
11152396Semiconductor device   having stacked transistors and multiple threshold voltage controlINTEL CORPORATION
11152401Flexible display   substrate and preparation method thereofKUNSHAN NEW FLAT   PANEL DISPLAY TECHNOLOGY CENTER CO., LTD.
11152414Image sensor   including dual isolation and method of making the same--
11152421Small-pitch image   sensorOMNIVISION   TECHNOLOGIES, INC.
11152455Method to reduce   breakdown failure in a MIM capacitor--
11152457Method of   manufacturing capacitorSUMITOMO ELECTRIC   DEVICE INNOVATIONS, INC.
11152459Lateral MOSFET with   buried drain extension layerTEXAS INSTRUMENTS   INCORPORATED
11152460High thermal budget   compatible punch through stop integration using doped glassINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152462Semiconductor device   having fins--
11152467Extrinsic base doping   for bipolar junction transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152468Semiconductor deviceTOHOKU UNIVERSITY
11152472Crystalline oxide   semiconductorFLOSFIA INC.
11152473Device with doped   phosphorene and method for doping phosphorene--
11152474Semiconductor device   and method for forming the same--
11152475Method for forming   source/drain contacts utilizing an inhibitor--
11152476Method of   manufacturing semiconductor device and non-transitory computer-readable   recording mediumKOKUSAI ELECTRIC   CORPORATION
11152477Transistors with   different threshold voltages--
11152478Vertical transistors   with buried metal silicide bottom contactSAMSUNG ELECTRONICS   CO., LTD.
11152481Gate stacks for   stack-fin channel I/O devices and nanowire channel core devices--
11152482Antiferroelectric   gate dielectric transistors and their methods of fabricationINTEL CORPORATION
11152483Doped encapsulation   material for diamond semiconductorsMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11152484Semiconductor   structure and manufacturing method thereof--
11152485Semiconductor   structure and manufacturing method thereof--
11152487Method for   manufacturing semiconductor device--
11152488Gate-all-around   structure with dummy pattern top in channel region and methods of forming the   same--
11152489Additive core   subtractive liner for metal cut etch processesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152490Array substrate and   method for manufacturing sameWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11152491Method for forming   semiconductor device structure with inner spacer layer--
11152492Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11152493Method for   manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11152494Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11152495Integrated circuit   heat dissipation using nanostructuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11152496IC structure base and   inner E/C material on raised insulator, and methods to form sameGLOBALFOUNDRIES U.S.   INC.
11152499Nitride semiconductor   device and method for manufacturing samePANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11152500Tunneling   field-effect transistor and method for manufacturing tunneling field-effect   transistorHUAWEI TECHNOLOGIES   CO., LTD.
11152501Semiconductor deviceROHM CO., LTD.
11152504Methods of   fabricating field-effect transistorsX-FAB SEMICONDUCTOR   FOUNDRIES GMBH
11152505Drain extended   transistorTEXAS INSTRUMENTS   INCORPORATED
11152508Semiconductor device   including two-dimensional material layer--
11152515Semiconductor device   and manufacturing method thereof--
11152524Solar cell panel, and   apparatus and method for attaching interconnector of a solar cell panelLG ELECTRONICS INC.
11152525Solar cell moduleLG ELECTRONICS INC.
11152584Quantum dots with   salt ligands with charge transporting propertiesSHARP KABUSHIKI   KAISHA
11152590Method and device for   encapsulating componentsLANGEBR&#XFC;CK
11152591Method for   manufacturing light-emitting device, and light-emitting devicePIONEER CORPORATION
11152677Integration of   self-biased magnetic circulators with microwave devicesQORVO US, INC.
11152765Strained and strain   control regions in optical devicesKYOCERA SLD LASER,   INC.
11153977Circuit carrier,   package, and method for manufacturing a packageSIEMENS   AKTIENGESELLSCHAFT
11154000Substrate work   machineFUJI CORPORATION
11154792Methods and systems   for generating process gasesRASIRC, INC.
11154913Substrate treatment   method and substrate treatment deviceSCREEN HOLDINGS CO.,   LTD.
11154959Polishing pads and   systems and methods of making and using the same3M INNOVATIVE   PROPERTIES COMPANY
11154960Polishing pad and   polishing method using sameKURARAY CO., LTD.
11155666Block copolymerLG CHEM, LTD.
11155717Storage container   storing liquid composition and method for storing liquid compositionFUJIFILM CORPORATION
11155918Film forming   apparatusTOKYO ELECTRON   LIMITED
11155922Method of   manufacturing semiconductor device, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11156638Contactors with   signal pins, ground pins, and short ground pinsTEXAS INSTRUMENTS   INCORPORATED
11156654Semiconductor device   inspection apparatus, semiconductor device inspection method, program   thereof, semiconductor apparatus, and manufacturing method thereforKABUSHIKI KAISHA   TOSHIBA
11156778Method for   manufacturing a semiconductor structureSOITEC
11156885Display panel and   method of repairing the sameHKC CORPORATION   LIMITED
11156917Actinic ray-sensitive   or radiation-sensitive resin composition, resist film, pattern forming   method, and method for manufacturing electronic deviceFUJIFILM CORPORATION
11156919Photosensitive resin   composition, pattern forming process, and fabrication of opto-semiconductor   deviceSHIN-ETSU CHEMICAL   CO., LTD.
11156920Lithography   composition, a method for forming resist patterns and a method for making   semiconductor devicesMERCK PATENT GMBH
11156993Semiconductor die   offset compensation variationUNIVERSAL INSTRUMENTS   CORPORATION
11157797Evaluating quality of   a product such as a semiconductor substrateOMRON CORPORATION
11158040Method for   identifying robot arm responsible for wafer scratch--
11158079Substrate treating   apparatus and apparatus and method for eccentricity inspectionSEMES CO., LTD.
11158395Reliability   evaluation apparatusTOSHIBA MEMORY   CORPORATION
11158448Packaging layer   inductor--
11158487Diagonal compound   millFEI COMPANY
11158490Processing method in   processing apparatus using halogen-based gasTOKYO ELECTRON   LIMITED
11158492Film forming   apparatus and film forming methodTOKYO ELECTRON   LIMITED
11158497Substrate processing   apparatusSCREEN HOLDINGS CO.,   LTD.
11158498Silicon compounds and   methods for depositing films using sameVERSUM MATERIALS US,   LLC
11158499Semiconductor   component and method for fabricating the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11158500Plasma enhanced   deposition processes for controlled formation of oxygen containing thin filmsASM IP HOLDING B.V.
11158501Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11158502Methods of forming   nano-scale and micro-scale structured materials and materials formed therebyPURDUE RESEARCH   FOUNDATION
11158503Silicon carbide   semiconductor substrateFUJI ELECTRIC CO.,   LTD.
11158504Flash-lamp annealing   method of making polycrystalline siliconCORNING INCORPORATED
11158505Anti-reflective   coating by ion implantation for lithography patterning--
11158506Self-aligned, over   etched hard mask fabrication method and structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158507In-situ high power   implant to relieve stress of a thin filmAPPLIED MATERIALS,   INC.
11158508Barrier layer above   anti-punch through (APT) implant region to improve mobility of channel region   of fin field effect transistor (finFET) device structure--
11158509Pattern fidelity   enhancement with directional patterning technology--
11158510Monitoring device,   monitoring method and method of manufacturing semiconductor device using   reflectivity of waferSAMSUNG ELECTRONICS   CO., LTD.
11158511Semiconductor device   and power converter including a copper film with a small grain size stress   relaxtion layerMITSUBISHI ELECTRIC   CORPORATION
11158512Fin field effect   transistor (FinFET) device structure--
11158513Methods for forming a   rhenium-containing film on a substrate by a cyclical deposition process and   related semiconductor device structuresASM IP HOLDING B.V.
11158514Semiconductor device   and method for manufacturing the sameKABUSHIKI KAISHA   TOSHIBA
11158515Selective metal   removal for conductive interconnects in integrated circuitryINTEL CORPORATION
11158516Plasma processing   methods using low frequency bias pulsesTOKYO ELECTRON   LIMITED
11158517Selective plasma   etching of silicon oxide relative to silicon nitride by gas pulsingTOKYO ELECTRON   LIMITED
11158518Methods of etching   metals in semiconductor devices--
11158519Method of forming   capped metallized viasCORNING INCORPORATED
11158520Method to protect die   during metal-embedded chip assembly (MECA) processHRL LABORATORIES, LLC
11158521Liquid delivery   systemICHOR SYSTEMS, INC.
11158522Fluid delivery systemICHOR SYSTEMS, INC.
11158523Substrate drying   method and substrate drying apparatusSCREEN HOLDINGS CO.,   LTD.
11158525Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
11158526Temperature   controlled substrate support assemblyAPPLIED MATERIALS,   INC.
11158527Thermal management   systems and methods for wafer processing systemsAPPLIED MATERIALS,   INC.
11158528Component temperature   control using a combination of proportional control valves and pulsed valvesAPPLIED MATERIALS,   INC.
11158529Method of   manufacturing semiconductor device, method of controlling temperature and   non-transitory computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
11158530Materials rackTRIPLE WIN   TECHNOLOGY(SHENZHEN) CO. LTD.
11158531Wafer cassette   handling apparatus and operating method thereof--
11158532Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11158533Semiconductor   structures and fabrication method thereof--
11158534SOI substrate--
11158535Multi-depth regions   of high resistivity in a semiconductor substrateGLOBALFOUNDRIES U.S.   INC.
11158536Patterning line cuts   before line patterning using sacrificial fill materialINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158537Top vias with   subtractive line formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158538Interconnect   structures with cobalt-infused ruthenium liner and a cobalt capINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158539Method and structure   for barrier-less plug--
11158540Light-absorbing mask   for hybrid laser scribing and plasma etch wafer singulation processAPPLIED MATERIALS,   INC.
11158541Method of processing   waferDISCO CORPORATION
11158542Semiconductor device   structure with semiconductor wire--
11158543Silicide formation   for source/drain contact in a vertical transport field-effect transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158544Vertical stacked   nanosheet CMOS transistors with different work function metalsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158545Methods of forming   isolation features in metal gates--
11158546Semiconductor   arrangement and method of forming--
11158547Semiconductor device,   method of manufacturing the same, and electronic device including the deviceINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11158548Overlay measurement   using multiple wavelengthsKLA-TENCOR   CORPORATION
11158549Method, control   system and plant for processing a semiconductor wafer, and semiconductor   waferSILTRONIC AG
11158550Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11158551Modular WLCSP die   daisy chain design for multiple die sizesDIALOG SEMICONDUCTOR   (UK) LIMITED
11158552Semiconductor device   and method to manufacture the same--
11158554Shielded fan-out   packaged semiconductor device and method of manufacturingMICRON TECHNOLOGY,   INC.
11158555Package structure   having sensor die with touch sensing electrode, and method of fabricating the   same--
11158556Electronic chip   package having a support and a conductive layer on the supportSTMICROELECTRONICS   (TOURS) SAS
11158557Semiconductor device   with a passivation layer and method for producing thereofINFINEON TECHNOLOGIES   AG
11158558Package with   underfill containment barrierINTEL CORPORATION
11158560Thermal structures   for dissipating heat and methods for manufacture thereof--
11158561Memory device with   low density thermal barrierMICRON TECHNOLOGY,   INC.
11158565Compliant pin fin   heat sink and methodsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158570Semiconductor devices   having electrostatic discharge layouts for reduced capacitanceMICRON TECHNOLOGY,   INC.
11158571Devices including   conductive interconnect structures, related electronic systems, and related   methodsMICRON TECHNOLOGY,   INC.
11158572Package structure   including a first electronic device, a second electronic device and a   plurality of dummy pillars--
11158575Parasitic capacitance   reduction in GaN-on-silicon devicesMACOM TECHNOLOGY   SOLUTIONS HOLDINGS, INC.
11158576Package structure   having redistribution layer structures--
11158577Methods for   fabricating microelectronic devices with contacts to conductive staircase   steps, and related devices and systemsMICRON TECHNOLOGY,   INC.
11158578High density   interconnect device and methodINTEL CORPORATION
11158579Semiconductor package   including a backside redistribution layerSAMSUNG ELECTRONICS   CO., LTD.
11158580Semiconductor devices   with backside power distribution network and frontside through silicon via--
11158582Semiconductor devices   and methods of manufacturing semiconductor devicesAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11158583Substrate with   built-in componentSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11158587Packaged   semiconductor devices, methods of packaging semiconductor devices, and PoP   devices--
11158588Packaged   semiconductor devices, methods of packaging semiconductor devices, and PoP   devices--
11158589Semiconductor device   and semiconductor package comprising the sameSAMSUNG ELECTRONICS   CO., LTD.
11158590Capacitor interposer   layer (CIL) in a die-to-wafer three-dimensional (3D) integrated circuit (IC)   (3DIC)QUALCOMM INCORPORATED
11158593Structures for   bonding a group III-V device to a substrate by stacked conductive bumps--
11158595Embedded die package   multichip moduleTEXAS INSTRUMENTS   INCORPORATED
11158598Method to construct   3D devices and systemsMONOLITHIC 3D INC.
11158600Lithography process   for semiconductor packaging and structures resulting therefrom--
11158601Laminated element   manufacturing methodHAMAMATSU PHOTONICS   K.K.
11158604Unified semiconductor   devices having processor and heterogeneous memories and methods for forming   the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11158605Semiconductor   packaging structure and method--
11158606Molded direct bonded   and interconnected stackINVENSAS BONDING   TECHNOLOGIES, INC.
11158607Wafer reconstitution   and die-stitchingAPPLE INC.
11158608Semiconductor package   including offset stack of semiconductor dies between first and second   redistribution structures, and manufacturing method therefor--
11158610Display device using   semiconductor light emitting element, and manufacturing method thereforLG ELECTRONICS INC.
11158611LED filamentOSRAM OLED GMBH
11158614Thermal performance   structure for semiconductor packages and method of forming same--
11158615Semiconductor device   and method of manufacturing a semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11158619Redistribution layers   in semiconductor packages and methods of forming same--
11158623Layout of   semiconductor device and method of forming semiconductor device--
11158624Cascode cellGLOBALFOUNDRIES U.S.   INC.
11158628Electro-static   discharge circuit, array substrate, display panel and display apparatusXIAMEN TIANMA   MICRO-ELECTRONICS CO., LTD.
11158632Fin-based strap cell   structure for improving memory performance--
11158633Multi-level isolation   structureGLOBALFOUNDRIES U.S.   INC.
11158635Low leakage gate   stack for a transistor device and methods of making an IC product that   includes such a transistor deviceGLOBALFOUNDRIES U.S.   INC.
11158637Method and structure   for FinFET device--
11158646Memory device with   dielectric blocking layer for improving interpoly dielectric breakdownGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11158647Memory device--
11158648Double channel memory   device--
11158649Semiconductor storage   device with columnar body having impurity containing channel filmTOSHIBA MEMORY   CORPORATION
11158650Memory cell   fabrication for 3D nand applicationsAPPLIED MATERIALS,   INC.
11158659Semiconductor device   structure with anti-acid layer and method for forming the same--
11158660Image sensor having   two-colored color filters sharing one photodiodeSK HYNIX INC.
11158671Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11158674Method to produce a   3D semiconductor device and structureMONOLITHIC 3D INC.
11158695Display device having   biometric sensors--
11158702Gallium nitride high   electron mobility transistor having high breakdown voltage and formation   method thereforSHANGHAI SIMGUI   TECHNOLOGY CO., LTD.
11158703Space efficient   high-voltage termination and process for fabricating sameMICROCHIP TECHNOLOGY   INC.
11158705Method for forming a   superjunction transistor deviceINFINEON TECHNOLOGIES   AUSTRIA AG
11158712Field-effect   transistors with buried gates and methods of manufacturing the sameINTEL CORPORATION
11158715Vertical FET with   asymmetric threshold voltage and channel thicknessesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158716Semiconductor device   and method for manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11158717Method for   manufacturing thin-film transistor (TFT) substrate and TFT substrateWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11158719Method of   manufacturing semiconductor devices and semiconductor devices--
11158720High voltage   semiconductor device including a doped gate electrodeSK HYNIX SYSTEM IC   INC.
11158721Metal oxide   interlayer structure for nFET and pFET--
11158722Transistors with   lattice structureGLOBALFOUNDRIES U.S.   INC.
11158724Method of   manufacturing display panelSHENZHEN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11158725Fin structure of fin   field effect transistor--
11158726Controlling   fin-thinning through feedback--
11158728Multi-gate device and   related methods--
11158730Formation of inner   spacer on nanosheet MOSFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158731Quantum well stacks   for quantum dot devicesINTEL CORPORATION
11158733Method of   manufacturing a semiconductor device including a shoulder portionFUJI ELECTRIC CO.,   LTD.
11158740MOSFETs with multiple   dislocation planes--
11158742Method of   manufacturing a semiconductor device with multilayered channel structure--
11158743Passivated and   faceted for fin field effect transistor--
11158744Fin field effect   transistor (FinFET) device and method for forming the same--
11158745Semiconductor device   and display device including the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11158751Photoelectric   conversion element and photodetectorKABUSHIKI KAISHA   TOSHIBA
11158756FinFET radiation   dosimeterINTERNATIONAL   BUSINESS MACHINES CORPORATION
11158760Metal organic   chemical vapor depostion (MOCVD) tunnel junction growth in III-nitride   devicesTHE REGENTS OF THE   UNIVERSITY OF CALIFORNIA
11158764Epitaxial formation   support structures and associated methodsMICRON TECHNOLOGY,   INC.
11158778LED package including   converter confinementLUMILEDS LLC
11158788Atomic layer   deposition and physical vapor deposition bilayer for additive patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
11160160PCB for bare die   mount and process therefore--
11160163Electronic substrate   having differential coaxial viasTEXAS INSTRUMENTS   INCORPORATED
11161217Method for polishing   a semiconductor wafer on both sidesSILTRONIC AG
11161648Panel storage   containerSHIN-ETSU POLYMER   CO., LTD.
11161857Metal bicyclic   amidinatesPRESIDENT AND FELLOWS   OF HARVARD COLLEGE
11161932Resin composition,   resin film, semiconductor laminate, method for producing semiconductor   laminate and method for producing semiconductor deviceSHIN-ETSU CHEMICAL   CO., LTD.
11162057Composition for   surface treatment, method for producing composition for surface treatment,   surface treatment method, and method for producing semiconductor substrateFUJIMI INCORPORATED
11162174Liquid delivery and   vaporization apparatus and method--
11162187Vapor phase growth   device, and EPI wafer producing methodSUMITOMO ELECTRIC   INDUSTRIES, LTD.
11162189Semiconductor   substrate, gallium nitride single crystal, and method for producing gallium   nitride single crystalDEXERIALS CORPORATION
11162190Method for producing   nitride crystal and nitride crystalMITSUBISHI CHEMICAL   CORPORATION
11162191Thermal processing   method for silicon waferGLOBALWAFERS JAPAN   CO., LTD.
11162745Heat radiating plate   and method for producing sameDOWA METALTECH CO.,   LTD.
11162907Systems and methods   for evaluating critical dimensions based on diffraction-based overlay   metrologyYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11163120Fiber attach enabled   wafer level fanoutAYAR LABS, INC.
11163231Planarization   apparatus, planarization method, and article manufacturing methodCANON KABUSHIKI   KAISHA
11163233Chemically amplified   positive type photoresist composition and pattern forming method using the   sameMERCK PATENT GMBH
11163235Apparatus for forming   a photoresist layer, method for forming a masking layer, and method for   forming a photoresist layer--
11164512Display optimization   techniques for micro-LED devices and arraysVUEREAL INC.
11164722Ion implantation   method--
11164723Methods and apparatus   for etching semiconductor structuresAPPLIED MATERIALS,   INC.
11164725Generation of   hydrogen reactive species for processing of workpiecesBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11164727Processing of   workpieces using hydrogen radicals and ozone gasBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11164728Plasma treatment   apparatus and driving method thereofPLASMA ION ASSIST   CO., LTD.
11164737Integrated epitaxy   and preclean systemAPPLIED MATERIALS,   INC.
11164738Compositions and   methods for removing ceria particles from a surfaceENTEGRIS, INC.
11164739Use of silicon   structure former with organic substituted hardening additive compounds for   dense OSG filmsVERSUM MATERIALS US,   LLC
11164740Semiconductor   structure having porous semiconductor layer for RF devicesNEWPORT FAB, LLC
11164741Method of   manufacturing semiconductor device, substrate processing method, substrate   processing apparatus, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11164742Selective deposition   using methylation treatmentBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11164743Systems and method   for integrated devices on an engineered substrateQROMIS, INC.
11164744Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11164745Method of enhancing   selective deposition by cross-linking of blocking moleculesAPPLIED MATERIALS,   INC.
11164746Method of   manufacturing semiconductor devices and a semiconductor device--
11164747Group III-V   semiconductor devices having asymmetric source and drain structuresINTEL CORPORATION
11164748Method and device for   plating a recess in a substrateSEMSYSO GMBH
11164749Warpage reductionXILINX, INC.
11164750Substrate processing   device and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11164751Etching methodSHOWA DENKO K.K.
11164752Method of etching a   dielectric layerCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11164753Self-aligned double   patterning with spatial atomic layer depositionAPPLIED MATERIALS,   INC.
11164754Fan-out packages and   methods of forming the same--
11164755Electronic package   and method for fabricating the same--
11164756Semiconductor device   package having continously formed tapered protrusions--
11164757Substrate cleaning   device and substrate cleaning methodEBARA CORPORATION
11164758Washing device and   washing methodEBARA CORPORATION
11164759Tools and systems for   processing one or more semiconductor devices, and related methodsMICRON TECHNOLOGY,   INC.
11164760Etching apparatus and   etching methodTOKYO ELECTRON   LIMITED
11164761Heat treatment method   and heat treatment apparatus of light irradiation typeSCREEN HOLDINGS CO.,   LTD.
11164762Ultraviolet   irradiation apparatus and method of manufacturing a semiconductor package   using the sameSAMSUNG ELECTRONICS   CO., LTD.
11164763Carrier tape system   and methods of using carrier tape system--
11164764Carrier tape system   and methods of using carrier tape system--
11164765Modular die handling   systemUNIVERSAL INSTRUMENTS   CORPORATION
11164766Operating method of   vacuum processing apparatusHITACHI HIGH-TECH   CORPORATION
11164767Integrated system for   semiconductor processAPPLIED MATERIALS,   INC.
11164768Process-induced   displacement characterization during semiconductor productionKLA CORPORATION
11164769Robot embedded vision   apparatusBROOKS AUTOMATION,   INC.
11164770Method for producing   a 3D semiconductor memory device and structureMONOLITHIC 3D INC.
11164771Wafer transferring   device--
11164772Spacer-defined   process for lithography-etch double patterning for interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164773Method for forming   semiconductor device structure with air gap--
11164774Interconnects with   spacer structure for forming air-gapsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164775Method of   manufacturing semiconductor deviceKIOXIA CORPORATION
11164776Metallic interconnect   structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164777Top via with   damascene line and viaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164778Barrier-free vertical   interconnect structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164779Bamboo tall via   interconnect structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164780Process integration   approach for selective metal via fillAPPLIED MATERIALS,   INC.
11164781ALD (atomic layer   deposition) liner for via profile control and related applicationsTOKYO ELECTRON   LIMITED
11164782Self-aligned gate   contact compatible cross couple contact formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164783Manufacturing method   of semiconductor device with metal filmDISCO CORPORATION
11164784Open-drain transistor   monitoring circuit in a multi-chip package to control powerMICRON TECHNOLOGY,   INC.
11164785Three-dimensional   integrated circuits (3DICs) including upper-level transistors with epitaxial   source and drain materialINTEL CORPORATION
11164786Power reduction in   finFET structures--
11164787Two-stage top source   drain epitaxy formation for vertical field effect transistors enabling gate   last formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164788FinFETs and methods   of forming FinFETs--
11164789Method for forming   semiconductor device that includes covering metal gate with multilayer   dielectric--
11164790Integrated nanowire   and nanoribbon patterning in transistor manufactureINTEL CORPORATION
11164791Contact formation for   stacked vertical transport field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164792Complementary   field-effect transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164793Reduced source/drain   coupling for CFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164794Semiconductor   structures in a wide gate pitch region of semiconductor devicesGLOBALFOUNDRIES U.S.   INC.
11164795Transistors with   source/drain regions having sections of epitaxial semiconductor materialGLOBALFOUNDRIES U.S.   INC.
11164796Method for forming   semiconductor device structure--
11164797Method of   manufacturing semiconductor integrated circuitFUJI ELECTRIC CO.,   LTD.
11164798Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11164799Stacked vertical   transport field effect transistor contact formationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164800Test structure,   semiconductor device and method for obtaining fabricating information in   semiconductor device--
11164801Electrically testable   integrated circuit packagingPSEMI CORPORATION
11164802Wafer manufacturing   method and multilayer device chip manufacturing methodDISCO CORPORATION
11164804Integrated circuit   (IC) device package lid attach utilizing nano particle metallic pasteINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164808Semiconductor devices   and methods of manufacturing the same--
11164809Integrated circuits   and methods for forming integrated circuitsINTEL CORPORATION
111648113D semiconductor   device with isolation layers and oxide-to-oxide bondingMONOLITHIC 3D INC.
11164814Package structure and   method of manufacturing the same--
11164815Bottom barrier free   interconnects without voidsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164816Semiconductor device   and method for fabricating the same--
11164817Multi-chip package   structures with discrete redistribution layersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164821Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11164823Semiconductor device   with crack-detecting structure and method for fabricating the same--
11164824Package structure and   method of fabricating the same--
11164826Packaged integrated   circuit having stacked die and method for makingNXP USA, INC.
11164827Substrate with   gradiated dielectric for reducing impedance mismatchINTEL CORPORATION
11164829Method of forming   contact holes in a fan out package--
11164831Carrier-foil-attached   ultra-thin copper foilILJIN MATERIALS CO.,   LTD.
11164832Package with UBM and   methods of forming--
11164835Semiconductor wafer   and method of ball drop on thin wafer with edge support ringSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11164836Film scheme for   bumping--
11164839Package structure and   method of manufacturing the same--
11164840Chip interconnection   structure, wafer interconnection structure and method for manufacturing the   sameWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11164842Bonding apparatus and   bonding systemTOKYO ELECTRON   LIMITED
11164843Substrate bonding   apparatusKIOXIA CORPORATION
11164852Method of forming   package structure--
11164855Package structure   with a heat dissipating element and method of manufacturing the same--
11164857Semiconductor device   packages, packaging methods, and packaged semiconductor devices--
11164858Integrated circuits   and methods of forming integrated circuitsGLOBALFOUNDRIES   SINGAPORE PTE. LTD.
11164861Monolithic electronic   device and method of manufactureKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11164863Integrated circuit   having vertical transistor and semiconductor device including the integrated   circuitSAMSUNG ELECTRONICS   CO., LTD.
11164864Field-effect   transistors having transition metal dichalcogenide channels and methods of   manufacture--
11164866Semiconductor   structure and method for manufacturing the same--
11164867Fin-type field-effect   transistors over one or more buried polycrystalline layersGLOBALFOUNDRIES U.S.   INC.
11164869Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11164870Stacked upper fin and   lower fin transistor with separate gateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164872Underbody contact to   horizontal access devices for vertical three-dimensional (3D) memoryMICRON TECHNOLOGY,   INC.
11164873Apparatuses including   laminate spacer structures, and related memory devices, electronic systems,   and methodsMICRON TECHNOLOGY,   INC.
11164874Semiconductor device   and method for fabricating the sameXIA TAI XIN   SEMICONDUCTOR (QING DAO) LTD.
11164876Atom implantation for   passivation of pillar materialMICRON TECHNOLOGY,   INC.
111648823-D NAND control gate   enhancementAPPLIED MATERIALS,   INC.
11164884Vertical-type memory   deviceSAMSUNG ELECTRONICS   CO., LTD.
11164886Three-dimensional   semiconductor memory deviceSAMSUNG ELECTRONICS   CO., LTD.
11164888Semiconductor memory   deviceKIOXIA CORPORATION
11164890Cross-point array of   ferroelectric field effect transistors and method of making the sameSANDISK TECHNOLOGIES   LLC
11164891Integrated circuits   with components on both sides of a selected substrate and methods of   fabricationPSEMI CORPORATION
11164892Semiconductor-on-insulator   (SOI) device with reduced parasitic capacitanceNEWPORT FAB, LLC
11164893Radio-frequency loss   reduction for integrated devicesJUNIPER NETWORKS,   INC.
11164905Manufacture of   semiconductor display deviceFACEBOOK   TECHNOLOGIES, LLC
11164919Method of   manufacturing polycrystalline silicon layer, display device, and method of   manufacturing display deviceSAMSUNG DISPLAY CO.,   LTD.
11164932Backplane for display   device and method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
11164937Semiconductor device   and manufacturing method thereof--
11164939Tunnel field-effect   transistor and method for forming the same--
11164940Method of forming   III-V on insulator structure on semiconductor substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164941Semiconductor   structure and fabrication methodSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11164942Method for forming   nanosheet transistor structuresIMEC VZW
11164943Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11164944Method of   manufacturing a semiconductor device--
11164945SOI substrate,   semiconductor device and method for manufacturing the same--
11164946Manufacturing method   for flash deviceCSMC TECHNOLOGIES   FAB1 CO., LTD.
11164947Wrap around contact   formation for VTFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164948Field-effect   transistor and method of manufacturing the same--
11164949Semiconductor   structure and method formation method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11164951Thin film transistor   and manufacturing method thereof and display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD
11164953Semiconductor deviceTDK CORPORATION
11164954Gate capping layers   of semiconductor devicesGLOBALFOUNDRIES U.S.   INC.
11164955Methods for forming a   semiconductor device structure and related semiconductor device structuresASM IP HOLDING B.V.
11164956Capping layer for   gate electrodes--
11164957Semiconductor device   with adhesion layer and method of making--
11164959VFET devices with ILD   protectionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164961Epitaxial features   confined by dielectric fins and spacers--
11164963Bipolar transistor   and radio-frequency power amplifier moduleMURATA MANUFACTURING   CO., LTD.
11164964Power semiconductor   device and method of fabricating the sameHYUNDAI MOBIS CO.,   LTD.
11164967Power silicon carbide   based MOSFET transistors with improved short circuit capabilities and methods   of making such devicesCREE, INC.
11164968Semiconductor device   and method for manufacturing the sameKABUSHIKI KAISHA   TOSHIBA
11164970Contact field plate--
11164972Method for forming   stressor, semiconductor device having stressor, and method for forming the   same--
11164973Semiconductor device   and manufacturing method thereforSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11164974Channel layer formed   in an art trenchINTEL CORPORATION
11164975Semiconductor device   having a necked semiconductor body and method of forming semiconductor bodies   of varying widthINTEL CORPORATION
11164976Doped polar layers   and semiconductor device incorporating sameKEPLER COMPUTING INC.
11164977Semiconductor device,   method for manufacturing the same, and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11164980Silicon photonics   integration method and structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11164991Light emitting device   and method for manufacturing light emitting deviceNICHIA CORPORATION
11165039Display panel and   manufacturing method thereof--
11165050Organic   light-emitting apparatus and method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
11165854System and method for   large scale screen capture across global data center deploymentsJPMORGAN CHASE BANK,   N.A.
11166348Tool for annealing of   magnetic stacksIII HOLDINGS 1, LLC
11166351Solder reflow   apparatus and method of manufacturing an electronic deviceSAMSUNG ELECTRONICS   CO., LTD.
11166379Integrated circuit   package substrateINTEL CORPORATION
11166380Method of manufacture   of a structure and structureTACTOTEK OY
11166385Component carrier   having a laser via and method of manufacturingAT&S (CHINA) CO.   LTD.
11166400Component supply   deviceYAMAHA HATSUDOKI   KABUSHIKI KAISHA
11167322Cleaning systems and   methods for semiconductor substrate storage articlesBROOKS AUTOMATION   (GERMANY) GMBH
11167326Substrate processing   apparatus and nozzle unitSEMES CO., LTD.
11167375Additive   manufacturing processes and additively manufactured productsTHE RESEARCH   FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK
11167446Workpiece processing   methodDISCO CORPORATION
11167541Apparatus for   manufacturing element array and apparatus for removing specific elementTDK CORPORATION
11167982Semiconductor   arrangement and formation thereof--
11168188Process for   fabricating one or more ultra-large area nanoscale polymer filmsUNITED STATES OF   AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA
11168234Enhanced adhesive   materials and processes for 3D applicationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11168239Chemical-mechanical   polishing composition comprising benzotriazole derivatives as corrosion   inhibitorsBASF SE
11168253Silicon layer etchant   composition and method of forming pattern by using the sameSAMSUNG ELECTRONICS   CO., LTD.
11168396Method of   manufacturing semiconductor device and recording mediumKOKUSAI ELECTRIC   CORPORATION
11168406Leveler compositions   for use in copper deposition in manufacture of microelectronicsMACDERMID ENTHONE   INC.
11168407Copper   electrodeposition on cobalt lined featuresLAM RESEARCH   COMPORATION
11168410Susceptor for a   chemical vapour deposition reactorAIXTRON SE
11168977Thickness measuring   apparatusDISCO CORPORATION
11168978Hardware improvements   and methods for the analysis of a spinning reflective substratesTOKYO ELECTRON   LIMITED
11169099Method and apparatus   for X-ray scatterometryBRUKER TECHNOLOGIES   LTD.
11169207Testing of   semiconductor chips with microbumps--
11169327Heterogeneously   integrated photonic circuit and method for manufacturing the circuitKOREA ADVANCED   INSTITUTE OF SCIENCE AND TECHNOLOGY
11169441Composition for   forming resist underlayer film, resist underlayer film, method for forming   resist pattern and method for producing semiconductor deviceNISSAN CHEMICAL   CORPORATION
11169449Measuring apparatus   for vacuum chamber and measuring system including the sameSAMSUNG ELECTRONICS   CO., LTD.
11170072Method and apparatus   for inspection and metrologyASML NETHERANDS B.V.
11170981Broadband plasma   processing systems and methodsTOKYO ELECTRON   LIMITED
11170982Methods and apparatus   for producing low angle depositionsAPPLIED MATERIALS,   INC.
11170988Method of double-side   polishing silicon waferSUMCO CORPORATION
11170989Gallium arsenide   substrate comprising a surface oxide layer with improved surface homogeneityFREIBERGER COMPOUND   MATERIALS GMBH
11170990Polysilicon linersAPPLIED MATERIALS,   INC.
11170991Plasma processing   apparatusTOKYO ELECTRON   LIMITED
11170992Area selective   deposition for cap layer formation in advanced contactsTOKYO ELECTRON   LIMITED
11170993Selective PEALD of   oxide on dielectricASM IP HOLDING B.V.
11170994CD dependent gap fill   and conformal filmsAPPLIED MATERIALS,   INC.
11170995Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11170996Method of   manufacturing semiconductor device, substrate processing apparatus, and   non-transitory computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
11170997Atomic layer   deposition and etch for reducing roughnessLAM RESEARCH   CORPORATION
11170998Method and apparatus   for depositing a metal containing layer on a substrateAPPLIED MATERIALS,   INC.
11170999Deposition methodTOKYO ELECTRON   LIMITED
11171000Laser crystallization   system and laser crystallization methodSAMSUNG DISPLAY CO.,   LTD.
11171001Multiple patterning   scheme integration with planarized cut patterningINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171002Alternating hardmasks   for tight-pitch line formationTESSERA, INC.
11171003Doping through   diffusion and epitaxy profile shaping--
11171004Film forming method   and substrate processing systemTOKYO ELECTRON   LIMITED
11171005Semiconductor device   manufacturing methodMITSUBISHI ELECTRIC   CORPORATION
11171006Simultaneous plating   of varying size features on semiconductor substrateINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171007Plasma processing   apparatus and plasma etching methodTOKYO ELECTRON   LIMITED
11171008Abatement and strip   process chamber in a dual load lock configurationAPPLIED MATERIALS,   INC.
11171009Processing method of   waferDISCO CORPORATION
11171010Controlled hardmask   shaping to create tapered slanted finsAPPLIED MATERIALS,   INC.
11171011Method for etching an   etch layerLAM RESEARCH   CORPORATION
11171012Method and apparatus   for formation of protective sidewall layer for bow reductionTOKYO ELECTRON   LIMITED
11171013Leveraging precursor   molecular composition and structure for atomic layer etchingUNIVERSITY OF   MARYLAND, COLLEGE PARK
11171014Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
11171015Multi-layered   polysilicon and oxygen-doped polysilicon design for RF SOI trap-rich poly   layer--
11171016Semiconductor package   and manufacturing process thereof--
11171017Shutter diskAPPLIED MATERIALS,   INC.
11171018Method of fabricating   semiconductor device and encapsulantSAMSUNG ELECTRONICS   CO., LTD.
11171019Substrate treating   apparatus, method for measuring discharge amount by using the same, and   substrate treating methodSEMES CO., LTD.
11171020Substrate treatment   apparatusTOSHIBA MEMORY   CORPORATION
11171021Internal plasma grid   for semiconductor fabricationLAM RESEARCH   CORPORATION
11171022Substrate treatment   apparatus and method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
11171023Diode laser for wafer   heating for EPI processesAPPLIED MATERIALS,   INC.
11171024Reticle compartment   and diffusor plateBROOKS AUTOMATION   GMBH
11171025Substrate processing   deviceASM IP HOLDING B.V.
11171026Systems, devices, and   methods for using a real time environment sensor in a FOUP--
11171027Storing systemMURATA MACHINERY,   LTD.
11171028Indexable side   storage pod apparatus, heated side storage pod apparatus, systems, and   methodsAPPLIED MATERIALS,   INC.
11171029Electronic device   manufacturing load port apparatus, systems, and methodsAPPLIED MATERIALS,   INC.
11171030Methods and apparatus   for dechucking wafersAPPLIED MATERIALS,   INC.
11171031Die matrix expander   with partitioned subringTEXAS INSTRUMENTS   INCORPORATED
11171032Semiconductor chip   production method and surface protection tapeFURUKAWA ELECTRIC   CO., LTD.
11171033Substrate placing   tableTOKYO ELECTRON   LIMITED
11171034Manufacturing of   cavitiesSTMICROELECTRONICS   (CROLLES 2) SAS
11171035Selective etches for   reducing cone formation in shallow trench isolationsTEXAS INSTRUMENTS   INCORPORATED
11171036Preventing dielectric   void over trench isolation regionGLOBALFOUNDRIES U.S.   INC.
11171038Fabrication method of   integrated circuit semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11171039Composite   semiconductor substrate, semiconductor device and method for manufacturing   the same--
11171040Removing polymer   through treatment--
11171041Etch damage and ESL   free dual damascene metal interconnect--
11171042Semiconductor device   and method of manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11171043Plug and trench   architectures for integrated circuits and methods of manufactureINTEL CORPORATION
11171044Planarization   controllability for interconnect structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171045Deposition of metal   films with tungsten linerAPPLIED MATERIALS,   INC.
11171046Methods for forming   cobalt and ruthenium capping layers for interconnect structuresAPPLIED MATERIALS,   INC.
11171047Fluorine-doped   nitride films for improved high-k reliabilityAPPLIED MATERIALS,   INC.
11171048Adaptive endpoint   detection for automated delayering of semiconductor samplesFEI COMPANY
11171049Semiconductor device   and a method of forming the semiconductor deviceINFINEON TECHNOLOGIES   AG
11171050Method for   manufacturing a contact pad, method for manufacturing a semiconductor device   using same, and semiconductor deviceTOKYO ELECTRON   LIMITED
11171051Contacts and liners   having multi-segmented protective capsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171052Methods of forming   interconnect structures with selectively deposited pillars and structures   formed thereby--
11171053Transistor device and   related methods--
11171054Selective deposition   with SAM for fully aligned viaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171055UV laser slicing of   &#x3b2;-Ga<sub>2</sub>O<sub>3 </sub>by   micro-crack generation and propagationTHE UNITED STATES OF   AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY
11171056Wafer processing   methodDISCO CORPORATION
11171057Semiconductor fin   design to mitigate fin collapseINTEL CORPORATION
11171058Self-aligned 3-D   epitaxial structures for MOS device fabricationINTEL CORPORATION
11171059Method of   manufacturing a semiconductor device and a semiconductor device--
11171060Dual metal contacts   with ruthenium metal plugs for semiconductor devicesTOKYO ELECTRON   LIMITED
11171061Method for patterning   a lanthanum containing layer--
11171062Semiconductor   structure and method for the forming sameSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11171063Metalization repair   in semiconductor wafersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171064Metalization repair   in semiconductor wafersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171065Automated inspection   tool--
11171066Semiconductor panels,   semiconductor packages, and methods for manufacturing thereofINFINEON TECHNOLOGIES   AG
11171069Display module,   manufacturing method thereof and electronic deviceWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11171071Power module and   fabrication method of the power moduleROHM CO., LTD.
11171072Heat dissipation   substrate and manufacturing method thereof--
11171074Heat sink board,   manufacturing method thereof, and semiconductor package including the sameJMJ KOREA CO., LTD.
11171075Stacked microfluidic   cooled 3D electronic-photonic integrated circuitTELEFONAKTIEBOLAGET   LM ERICSSON (PUBL)
11171076Compute-in-memory   packages and methods forming the same--
11171078Semiconductor device   and method for manufacturing the sameFUJI ELECTRIC CO.,   LTD.
11171080Wiring substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11171081Wiring substrate,   semiconductor package and method of manufacturing wiring substrateSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11171084Top via with next   level line selective growthINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171086Semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11171088Electronic apparatus   including antennas and directors--
11171089Line space, routing   and patterning methodology--
11171090Semiconductor device   and method of manufacture--
11171091Semiconductor device   having contact plug connected to gate structure on PMOS region--
11171092Component with   dielectric layer for embedding in component carrierAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11171093Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11171094Hermetic fully-filled   metallized through-hole viasCORNING INCORPORATED
11171098Package and   manufacturing method thereof--
11171099Single-shot   encapsulationSEMTECH CORPORATION
11171104IC chip package with   dummy solder structure under corner, and related methodMARVELL ASIA PTE,   LTD.
11171105Semiconductor package   and manufacturing method of the same--
11171106Semiconductor package   structure with circuit substrate and manufacturing method thereof--
11171107Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11171108Semiconductor package   and method for manufacturing the same--
11171109Techniques for   forming semiconductor device packages and related packages, intermediate   products, and methodsMICRON TECHNOLOGY,   INC.
11171110Backside metalization   with through-wafer-via processing to allow use of high q bondwire inductancesSKYWORKS SOLUTIONS,   INC.
11171114Die stack with   cascade and vertical connectionsINTEL CORPORATION
11171117Interlayer connection   of stacked microelectronic componentsINVENSAS BONDING   TECHNOLOGIES, INC.
11171125Display device and   method for manufacturing display deviceSAMSUNG DISPLAY CO.,   LTD.
11171126Configurable   substrate and systemsOCTAVO SYSTEMS LLC
11171127Semiconductor device   and method of manufacturing semiconductor deviceAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11171129Method for producing   an optoelectronic component and optoelectronic componentOSRAM OLED GMBH
11171134Techniques providing   metal gate devices with multiple barrier layers--
11171136Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11171137Method of making   FinFET CMOS device including single diffusion break in each of NMOS and PMOS   regions--
11171138Semiconductor   arrangement and method of manufacture--
11171139Transistors with   various threshold voltages and method for manufacturing the same--
11171142Integrated circuit   with vertical structures on nodes of a gridINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171143Semiconductor   structure with dielectric fin in memory cell and method for forming the same--
11171150Three-dimensional   memory device containing a channel connection strap and method for making the   sameSANDISK TECHNOLOGIES   LLC
11171154Vertical memory   devicesYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11171155Multi-layer   semiconductor element, semiconductor device, and electronic device for   storage, and method of manufacturing the sameSONY CORPORATION
11171158SOI substrate   compatible with the RFSOI and FDSOI technologiesCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11171159Display backplane and   method for manufacturing the same, display panel and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11171166Camera assembly and   packaging method thereof, lens module, electronic deviceNINGBO SEMICONDUCTOR   INTERNATIONAL CORPORATION
11171177Phase change memory   devices with enhanced viasINTEL CORPORATION
11171199Metal-insulator-metal   capacitors with high breakdown voltage--
11171200Integrated circuits   having dielectric layers including an anti-reflective coatingTEXAS INSTRUMENTS   INCORPORATED
11171201Semiconductor   integrated circuit having a first buried layer and a second buried layerFUJI ELECTRIC CO.,   LTD.
11171204High thermal budget   compatible punch through stop integration using doped glassINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171205Semiconductor   constructions, memory arrays, electronic systems, and methods of forming   semiconductor constructionsMICRON TECHNOLOGY,   INC.
11171206Channel conduction in   semiconductor devicesMICRON TECHNOLOGY,   INC.
11171208High performance   circuit applications using stacked 3D metal linesTOKYO ELECTRON   LIMITED
11171209Semiconductor device   and method of manufacture--
11171211Group IV and III-V   p-type MOSFET with high hole mobility and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11171214Semiconductor   epitaxial wafer, semiconductor device, and method for manufacturing   semiconductor epitaxial waferPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11171217Memory structure and   manufacturing method thereof--
11171219Negative-capacitance   and ferroelectric field-effect transistor (NCFET and FE-FET) devices--
11171220Structure and method   for high-K metal gate--
11171221VFET bottom epitaxy   formed with anchorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11171222Semiconductor device   and manufacturing method thereofMAGNACHIP   SEMICONDUCTOR, LTD.
11171223Method for   manufacturing semiconductor device and integrated semiconductor deviceCSMC TECHNOLOGIES   FAB1 CO., LTD.
11171224Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11171227Semiconductor device   and fabricating method thereof--
11171228Nitride semiconductor   device and method for manufacturing the samePANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11171229Low switching loss   high performance power moduleCREE, INC.
11171230Semiconductor device   and method for manufacturing a semiconductor deviceINFINEON TECHNOLOGIES   AUSTRIA AG
11171236Cut-fin isolation   regions and method forming same--
11171237Middle of line gate   structuresGLOBALFOUNDRIES U.S.   INC.
11171238FinFET device with   high-k metal gate stack--
11171242Semiconductor device   and method for manufacturing semiconductor deviceFUJITSU LIMITED
11171243Transistor structures   with a metal oxide contact bufferINTEL CORPORATION
11171244Semiconductor   structure and manufacturing method thereof--
11171247Metal oxide thin film   transistor and manufacturing method thereof--
11171248Schottky rectifier   with surge-current ruggednessSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11171249Wafer-level methods   for manufacturing uniform layers of material on optoelectronic modulesAMS SENSORS SINGAPORE   PTE. LTD.
11171256Process for   manufacturing a plurality of crystalline semiconductor islands having a   variety of lattice parametersSOITEC
11171290Cross linked surface   coating and interfacial layer for a perovskite material photovoltaic deviceHUNT PEROVSKITE   TECHNOLOGIES, L.L.C.
11172567Assembly method and   device for circuit structural member and circuit structural memberXI'AN ZHONGXING NEW   SOFTWARE CO. LTD.
11172680Nanostructures   fabricated by metal asisted chemical etching for antibactertial applicationsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11173439Flow path switching   type collecting apparatus of by-product for semiconductor manufacturing   processMILAEBO CO., LTD.
11173523Substrate processing   apparatusEBARA CORPORATION
11173631Cutting apparatusDISCO CORPORATION
11173697Light assisted   platelet formation facilitating layer transfer from a semiconductor donor   substrate--
11174394Surface treatment   compositions and articles containing sameFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
11174399Surface treatment   method for imparting alcohol repellency to semiconductor substrateMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11174544Batch processing   system with vacuum isolationASM NEXX, INC.
11174545Oblique deposition   for quantum device fabricationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11174549Substrate processing   methodsSAMSUNG ELECTRONICS   CO., LTD.
11174949Actuator and valve   device using the sameFUJIKIN INCORPORATED
11175100Heat sinks using   memory shaping materialsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11175219Throughput-scalable   analytical system using single molecule analysis sensorsGENESENSE TECHNOLOGY   INC.
11175257Solid state sensor   for metal ion detection and trapping in solutionWAFERTECH, LLC
11175333System and process   for implementing accelerated test conditions for high voltage lifetime   evaluation of semiconductor power devicesCREE, INC.
11175448Light-emitting device   and method for fabricating sameDURA-CHIP (NANTONG)   LIMITED
11175455Gratings with   variable etch heights for waveguide displaysFACEBOOK   TECHNOLOGIES, LLC
11175487Optical distortion   reduction in projection systemsSUSS MICROTEC   PHOTONIC SYSTEMS INC.
11175582Photosensitive   stacked structureFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
11175583Silicon-containing   resist underlayer film-forming composition having phenyl group-containing   chromophoreNISSAN CHEMICAL   INDUSTRIES, LTD.
11175585Treatment liquid and   treatment liquid housing bodyFUJIFILM CORPORATION
11175587Stripper solutions   and methods of using stripper solutionsVERSUM MATERIALS US,   LLC
11175590Low dose charged   particle metrology systemASML NETHERLANDS B.V.
11175591Method of obtaining   measurements, apparatus for performing a process step, and metrology   apparatusASML NETHERLANDS B.V.
11176656Artificial   intelligence-enabled preparation end-pointingFEI COMPANY
11176900Display deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11176999Semiconductor memory   deviceTOSHIBA MEMORY   CORPORATION
11177067Magnetic shielding   for plasma sourcesLAM RESEARCH   CORPORATION
11177115Dual-level pulse   tuningAPPLIED MATERIALS,   INC.
11177118Substrate processing   apparatus and control method thereforTOKYO ELECTRON   LIMITED
11177123Compound   semiconductor laminate substrate, method for manufacturing same, and   semiconductor elementSHIN-ETSU CHEMICAL   CO., LTD.
11177124Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11177125Method for cleaning   semiconductor waferSHIN-ETSU HANDOTAI   CO., LTD.
11177126Removing or   preventing dry etch-induced damage in Al/In/GaN films by photoelectrochemical   etching--
11177127Functionalized   cyclosilazanes as precursors for high growth rate silicon-containing filmsVERSUM MATERIALS US,   LLC
11177128Apparatus and methods   for manufacturing semiconductor structures using protective barrier layerAPPLIED MATERIALS,   INC.
11177129Method of   manufacturing semiconductor device, method of forming pattern film, and   metal-containing organic filmTOSHIBA MEMORY   CORPORATION
11177130Patterning material   film stack with metal-containing top coat for enhanced sensitivity in extreme   ultraviolet (EUV) lithographyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177131Method and   apparatuses for reducing porogen accumulation from a UV-cure chamberNOVELLUS SYSTEMS,   INC.
11177132Self aligned block   masks for implantation controlINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177133Method of filling   recessTOKYO ELECTRON   LIMITED
11177134Conductive pattern   and method for manufacturing the same, thin film transistor, display   substrate, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11177135Mask member and   method for producing semiconductor deviceTOSHIBA MEMORY   CORPORATION
11177136Abatement and strip   process chamber in a dual loadlock configurationAPPLIED MATERIALS,   INC.
11177137Wafer etching process   and methods thereof--
11177138Double patterning   method--
11177139Electronic card with   printed circuit comprising an antenna with integrated slots and method for   the production thereofINSTITUT VEDECOM
111771403D semiconductor   device and structureMONOLITHIC 3D INC.
11177141Method for packaging   a chipJWL (ZHEJIANG)   SEMICONDUCTOR CO., LTD
11177142Method for dicing   integrated fan-out packages without seal rings--
11177143Substrate processing   apparatus, method of manufacturing semiconductor device, and recording mediumKOKUSAI ELECTRIC   CORPORATION
11177144Wafer spot heating   with beam width modulationAPPLIED MATERIALS,   INC.
11177145Apparatus and method   for manufacturing plurality of electronic circuitsPRAGMATIC PRINTING   LTD.
11177146Methods and apparatus   for processing a substrateAPPLIED MATERIALS,   INC.
11177147Substrate treatment   apparatus, controller of substrate treatment apparatus, method for   controlling substrate treatment apparatus, and memory medium storing programEBARA CORPORATION
11177148Laser processing   apparatusDISCO CORPORATION
11177149Wafer jig with   identification markDISCO CORPORATION
11177150Cluster tool and   method using the same--
11177151Sample holderKYOCERA CORPORATION
11177152Ceramic substrate   containing aluminum oxide and electrostatic chuck having electrode containing   tungsten with oxidesSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11177153Method of debonding   work-carrier pair with thin devicesCHENGDU ESWIN SIP   TECHNOLOGY CO., LTD.
11177154Carrier structure and   micro device structure--
11177155Direct bond method   providing thermal expansion matched devicesRAYTHEON COMPANY
11177156Semiconductor   package, manufacturing method of semiconductor device and semiconductor   package--
11177157Method for   constructing micro-LED display moduleLUMENS CO., LTD.
11177158Integrated circuit   structure with semiconductor-based isolation structure and methods to form   sameGLOBALFOUNDRIES U.S.   INC.
11177159Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11177160Double patterned   lithography using spacer assisted cuts for patterning stepsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177161Semiconductor device,   manufacturing method thereof, solid-state imaging device, and electronic   apparatus with multi-layer interconnectsSONY CORPORATION
11177162Trapezoidal   interconnect at tight BEOL pitchINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177163Top via structure   with enlarged contact area with upper metallization levelINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177164Self-aligned high   aspect ratio structures and methods of makingAPPLIED MATERIALS,   INC.
11177165Method of   manufacturing a semiconductor device having redistribution layer including a   dielectric layer made from a low-temperature cure polyimide--
11177166Etch stop layer   removal for capacitance reduction in damascene top via integrationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177167Ultrathin multilayer   metal alloy liner for nano Cu interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177168Device and method for   reducing contact resistance of a metal--
11177169Interconnects with   gouged viasINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177170Removal of barrier   and liner layers from a bottom of a viaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177171Encapsulated top via   interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177172Semiconductor   structure--
11177173Semiconductor device   with an interconnect structure and method for forming the same--
11177174Selective deposition   of carbon films and uses thereofAPPLIED MATERIALS,   INC.
11177175Microelectronic   devices and methods for filling vias in microelectronic devicesMICRON TECHNOLOGY,   INC.
11177176Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11177177Semiconductor device   and method of manufacture--
11177178FinFETs and methods   of forming FinFETs--
11177179Method of   manufacturing a semiconductor device and a semiconductor device--
11177180Profile control of a   gap fill structure--
11177181Scalable device for   FINFET technologyINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177182Vertical transistor   device comprising a two-dimensional (2D) material positioned in a channel   region of the device and methods of making such vertical transistor devicesGLOBALFOUNDRIES U.S.   INC.
11177183Thickness measurement   system and method--
11177184Method of   manufacturing a flip chip package and an apparatus for testing flip chipsSK HYNIX INC.
11177185Semiconductor memory   and method of manufacturing the semiconductor memoryTOSHIBA MEMORY   CORPORATION
11177186Bonded body and   insulated circuit boardMITSUBISHI MATERIALS   CORPORATION
11177187Sensor package and   manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11177188Heat dissipation   substrate for multi-chip package--
11177189Module including heat   dissipation structureMURATA MANUFACTURING   CO., LTD.
11177192Semiconductor device   including heat dissipation structure and fabricating method of the same--
11177197Semiconductor package   with solder standoffTEXAS INSTRUMENTS   INCORPORATED
11177198Plurality of lead   frames electrically connected to inductor chipROHM CO., LTD.
11177201Semiconductor   packages including routing dies and methods of forming same--
11177204Power electronics   package and method of manufacturing thereofGENERAL ELECTRIC   COMPANY
11177205Semiconductor package   having multi-level and multi-directional shape narrowing viasSAMSUNG ELECTRONICS   CO., LTD.
11177208Interconnect   structures and methods of forming the same--
11177210Integrated circuit   with non-functional structuresNXP B.V.
11177211Method of   manufacturing via structures of semiconductor devices--
11177212Contact formation   method and related structure--
11177213Embedded small via   anti-fuse deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177214Interconnects with   hybrid metal conductorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177218Package including   metallic bolstering pattern and manufacturing method of the package--
11177220Vertical and lateral   interconnects between diesINTEL CORPORATION
11177221Semiconductor device   package and method of manufacturing the same--
11177222Semiconductor   packages and associated methods with antennas and EMI isolation shieldsMICRON TECHNOLOGY,   INC.
11177224Method of   manufacturing semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11177225Semiconductor device   including physical unclonable functionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177234Package architecture   with improved via drill process and method for forming such packageINTEL CORPORATION
11177236Semiconductor device   having case to which circuit board is bonded by bonding material and method   of manafacturing thereofFUJI ELECTRIC CO.,   LTD.
11177237Manufacturing method   of semiconductor package--
11177246Photo-sensitive   silicon package embedding self-powered electronic systemTEXAS INSTRUMENTS   INCORPORATED
11177248Light-emitting   component, display device and method for manufacturing a display deviceOSRAM OLED GMBH
11177252Semiconductor device   and method of fabricating the sameLAPIS SEMICONDUCTOR   CO., LTD.
11177253Transistor with   integrated capacitorTEXAS INSTRUMENTS   INCORPORATED
11177254Stacked transistor   deviceAPPLIED MATERIALS,   INC.
11177255Transistor structures   having multiple threshold voltage channel materialsINTEL CORPORATION
11177257Fabrication of field   effect transistors with different threshold voltages through modified channel   interfacesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177258Stacked nanosheet   CFET with gate all around structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177259Multi-threshold gate   structure with doped gate dielectric layer--
11177260Semiconductor device   having fin-type field effect transistor and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11177261Nonvolatile nanotube   switch elements using sidewall contactsNANTERO, INC.
11177264Semiconductor devices   including a gate structure having multiple widthsSAMSUNG ELECTRONICS   CO., LTD.
11177271Device, a method used   in forming a circuit structure, a method used in forming an array of   elevationally-extending transistors and a circuit structure adjacent theretoMICRON TECHNOLOGY,   INC.
11177272Three-dimensional   memory device and method for manufacturing the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11177274Vertical non-volatile   memory device, method of fabricating the same device, and electric-electronic   system having the same deviceSAMSUNG ELECTRONICS   CO., LTD.
11177276Conductive   structures, assemblies having vertically-stacked memory cells over conductive   structures, and methods of forming conductive structuresMICRON TECHNOLOGY,   INC.
11177277Word line   architecture for three dimensional NAND flash memorySANDISK TECHNOLOGIES   LLC
11177281Fabrication method   for a 3-dimensional NOR memory arraySUNRISE MEMORY   CORPORATION
11177282Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11177283Electronic device and   method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11177284Ferroelectric memory   devices containing a two-dimensional charge carrier gas channel and methods   of making the sameSANDISK TECHNOLOGIES   LLC
11177285Conductive contacts   in semiconductor on insulator substrateELPIS TECHNOLOGIES   INC.
11177286Integrated circuit   device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11177289Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11177294Array substrate with   via hole structures, manufacturing method thereof and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11177296Array substrate,   display device, thin film transistor, and method for manufacturing array   substrateHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11177300Solid-state image   pickup apparatus, method of manufacturing solid-state image pickup apparatus,   and electronic apparatusSONY CORPORATION
11177334Display substrate,   display panel and method of fabricating display substrateHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11177343Three-dimensional   memory devices with backside isolation structuresYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11177344Multi-gate device   with air gap spacer and fabrication methods thereof--
11177349Field effect   transistor with controllable resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177350Insulated-gate   semiconductor device and method of manufacturing the sameFUJI ELECTRIC CO.,   LTD.
11177353Silicon carbide   semiconductor device, and manufacturing method of the sameDENSO CORPORATION
11177354Method of   manufacturing silicon carbide semiconductor devicesINFINEON TECHNOLOGIES   AG
11177355Semiconductor   structure and manufacturing method thereof--
11177358Semiconductor device   including source/drain epitaxial layer having facets and manufacturing method   thereof--
11177361FinFET and   gate-all-around FET with selective high-k oxide deposition--
11177362Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11177364Integrated circuit   device and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11177365Semiconductor device   with adhesion layer--
11177367Self-aligned bottom   spacer EPI last flow for VTFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177368Semiconductor   arrangement--
11177369Stacked vertical   field effect transistor with self-aligned junctionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177370Vertical field effect   transistor with self-aligned source and drain top junctionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177371Transistor with   superposed bars and double-gate structureCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11177376III-N epitaxial   device structures on free standing silicon mesasINTEL CORPORATION
11177377Semiconductive device   with mesa structure and method of fabricating the same--
11177378HEMT having   conduction barrier between drain fingertip and sourceTEXAS INSTRUMENTS   INCORPORATED
11177382FinFET having a   relaxation prevention anchor and related methods--
11177383Semiconductor device   structure and method for forming the same--
11177384Method of forming a   semiconductor device--
11177387Semiconductor device   and manufacturing method thereof--
11177388Semiconductor device   and method of manufacturing semiconductor deviceJAPAN DISPLAY INC.
11177389Integrated   transistors having gate material passing through a pillar of semiconductor   material, and methods of forming integrated transistorsMICRON TECHNOLOGY,   INC.
11177392Transistor and   semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11177394Wide bandgap   semiconductor switching device with wide area schottky junction, and   manufacturing process thereofSTMICROELECTRONICS   S.R.L.
11177395Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11177434Chip package--
11177460Sub-pixel structure,   pixel structure, display panel and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11177549Method of growing   titanium nitride on silicon substrate free from silicon nitride interface by   using a titanium seed layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11177552Semiconductor device   package and method for manufacturing the same--
11177561Protected RFID   antennaCHECKPOINT SYSTEMS,   INC.
11177634Gallium and nitrogen   containing laser device configured on a patterned substrateKYOCERA SLD LASER,   INC.
11177792Power supply   semiconductor integrated memory control circuitSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11177984CMOS analog circuits   having a triode-based active loadXILINX, INC.
11178754Display device and   method of manufacturing flexible printed circuit boardSAMSUNG DISPLAY CO.,   LTD.
11178772Component carrier   connected with a separate tilted component carrier for short electric   connectionAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11178773Conductor trace   structure reducing insertion loss of circuit board--
11178786Method for   manufacturing hermetic sealing lid memberHITACHI METALS, LTD.
11179809Manufacturing method   of glass substrate having holes, manufacturing method of interposer   substrate, and method for forming hole in glass substrateAGC INC.
11179822Polyurethane   polishing layer, polishing pad comprising polishing layer, method for   preparing polishing layer and method for planarizing materialHUBEI DINGHUI   MICROELECTRONICS MATERIALS CO., LTD
11179965Electrostatic chuck   optimized for refurbishmentAPPLIED MATERIALS,   INC.
11180303Storage container of   scrubbing member and package of sameEBARA CORPORATION
11180373Nanocrystalline   graphene and method of forming nanocrystalline grapheneSAMSUNG ELECTRONICS   CO., LTD.
11180407Glass substrate,   laminated substrate, and laminateAGC INC.
11180678Suppressing SiN   removal rates and reducing oxide trench dishing for Shallow Trench Isolation   (STI) processVERSUM MATERIALS US,   LLC
11180679Composition for   semiconductor processing and method for polishing substrate using the sameSKC SOLMICS CO., LTD.
11180697Etching solution   having silicon oxide corrosion inhibitor and method of using the sameVERSUM MATERIALS US,   LLC
11180719Use of compositions   comprising a siloxane-type additive for avoiding pattern collapse when   treating patterned materials with line-space dimensions of 50 nm or belowBASF SE
11180846Fine leveling of   large carousel based susceptorAPPLIED MATERIALS,   INC.
11180850Dynamic precursor   dosing for atomic layer depositionLAM RESEARCH   CORPORATION
11180853Substrate processing   apparatus and substrate processing methodEBARA CORPORATION
11180855Semiconductor   manufacturing component comprising deposition layer covering interlayer   boundary and manufacturing method thereofTOKAI CARBON KOREA   CO., LTD.
11180865Foundation substrate   for producing diamond film and method for producing diamond substrate using   sameSHIN-ETSU CHEMICAL   CO., LTD.
11181484Systems and methods   for advanced defect ablation protectionKLA CORPORATION
11181704Fabrication method of   high aspect ratio solder bumping with stud bump and injection molded solder,   and flip chip joining with the solder bumpINTERNATIONAL   BUSINESS MACHINES CORPORATION
11181821Composition for   forming organic film, substrate for manufacturing semiconductor apparatus,   method for forming organic film, patterning process, and polymerSHIN-ETSU CHEMICAL   CO., LTD.
11181824Semiconductor   apparatus and method for baking coating layer--
11181829Method for   determining a control parameter for an apparatus utilized in a semiconductor   manufacturing processASML NETHERLANDS B.V.
11181830Lithographic   apparatus and method of controlling a lithographic apparatusQONIAC GMBH
11181832Movable body   apparatus, exposure apparatus, and device manufacturing methodNIKON CORPORATION
11182528Electromigration   sign-off tool--
11182892Periodic   semiconductor device misregistration metrology system and methodKLA CORPORATION
11183367Atomic layer etching   processesASM IP HOLDING B.V.
11183368RF tuning systems   including tuning circuits having impedances for setting and adjusting   parameters of electrodes in electrostatic chucksLAM RESEARCH   CORPORATION
11183371Plasma processing   apparatus and plasma processing methodTOKYO ELECTRON   LIMITED
11183372Batch type plasma   substrate processing apparatusEUGENE TECHNOLOGY   CO., LTD.
11183381Semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11183382Method of   manufacturing semiconductor device, substrate processing apparatus, and   recording mediumKOKUSAI ELECTRIC   CORPORATION
11183383Tin oxide thin film   spacers in semiconductor device manufacturingLAM RESEARCH   CORPORATION
11183384Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11183385Method for   passivating silicon carbide epitaxial layerTHE 13TH RESEARCH   INSTITUTE OF CHINA ELECTRONICS
11183387Semiconductor device,   semiconductor wafer and method for manufacturing semiconductor deviceMITSUBISHI ELECTRIC   CORPORATION
11183388Semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11183389Fin field effect   transistor devices with self-aligned gatesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183390Method of enhancing a   DLC coated surface for enhanced multipaction resistanceNOKOMIS, INC.
11183391Method for real time   monitoring semiconductor fabrication process--
11183392Method for   manufacturing semiconductor devices and structures thereof--
11183393Atomic layer etching   using acid halideKANTO DENKA KOGYO   CO., LTD.
11183394Structure and method   to expose memory cells with different sizes--
11183395Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11183396Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
11183397Selective etch   process using hydrofluoric acid and ozone gasesBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY, CO., LTD
11183398Ruthenium hard mask   processTOKYO ELECTRON   LIMITED
11183399Semiconductor device   and method of manufacture--
11183400Progressive heating   of components of substrate processing systems using TCR element-based heatersLAM RESEARCH   CORPORATION
11183401System and related   techniques for handling aligned substrate pairsSUSS MICROTEC   LITHOGRAPHY GMBH
11183402Laser annealing   apparatus for semiconductors having multiple laser energy measuring meansSAMSUNG ELECTRONICS   CO., LTD.
11183403Light irradiation   type heat treatment apparatusSCREEN HOLDINGS CO.,   LTD.
11183404Diffuser and   semiconductor processing system using same--
11183405Semiconductor   manufacturing apparatus--
11183406Control of wafer bow   in multiple stationsLAM RESEARCH   CORPORATION
11183407Adaptive inset for   wafer cassette system--
11183408In-line wet bench   device and method for the wet-chemical treatment of semiconductor wafersHANWHA Q CELLS GMBH
11183409System for a   semiconductor fabrication facility and method for operating the same--
11183410Pellicle removal toolPHOTRONICS, INC.
11183411Method of pre   aligning carrier, wafer and carrier-wafer combination for throughput   efficiencyAPPLIED MATERIALS,   INC.
11183412Method for joining   quartz pieces and quartz electrodes and other devices of joined quartzWATLOW ELECTRIC   MANUFACTURING COMPANY
11183413Methods related to   preparation of a stencil to receive a plurality of IC unitsROKKO SYSTEMS PTE LTD
11183414Secondary packaging   method and secondary package of through silicon via chipSHENZHEN GOODIX   TECHNOLOGY CO., LTD.
11183415Adhesive containing   polydimethyl siloxaneNISSAN CHEMICAL   CORPORATION
11183416Adhesive tape for   semiconductor processing, and semiconductor device manufacturing methodLINTEC CORPORATION
11183417Method for   manufacturing laminate and method for manufacturing substrateSHIN-ETSU CHEMICAL   CO., LTD.
11183418Two axis goniometer   to accomplish fine, permanent, calibration of lift pin hoop orientationAPPLIED MATERIALS,   INC.
11183419Unconfined buried   interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183420High resistivity   silicon-on-insulator wafer manufacturing method for reducing substrate loss--
11183421Interconnection   structure of metal lines, method of fabricating the same and semiconductor   deviceCHANGXIN MEMORY   TECHNOLOGIES, INC.
11183422Semiconductor   structure and method for manufacturing the same--
11183423Liner structure in   interlayer dielectric structure for semiconductor devices--
11183424Barrier layer   formation for conductive feature--
11183425Method of   manufacturing semiconductor device and method of laminating metalKABUSHIKI KAISHA   TOSHIBA
11183426Method for forming a   FinFET structure that prevents or reduces deformation of adjacent fins--
11183427Differing device   characteristics on a single wafer by selective etchINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183428Method for   manufacturing transistor deviceSHANGHAI HUALI   INTEGRATED CIRCUIT CORPORATION
11183429Method of   manufacturing a semiconductor device including forming a gate insulating   material layer on a protection layer and removing the gate insulation   material layer and the protection layer on the first regionSAMSUNG ELECTRONICS   CO., LTD.
11183430Self-limiting liners   for increasing contact trench volume in n-type and p-type transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183431Method of   manufacturing semiconductor devices and semiconductor devices--
11183432Integrated circuits   with recessed gate electrodesINTEL CORPORATION
11183433Method of evaluating   silicon layer and a method of manufacturing silicon epitaxial waferSUMCO CORPORATION
11183434Methods of guiding   process models and inspection in a manufacturing processASML NETHERLANDS B.V.
11183435Endpointing detection   for chemical mechanical polishing based on spectrometryAPPLIED MATERIALS,   INC.
11183437Circuit packageHEWLETT-PACKARD   DEVELOPMENT COMPANY, L.P.
11183441Stress buffer layer   in embedded packageTEXAS INSTRUMENTS   INCORPORATED
11183442Manufacturing method   of heat dissipation component--
11183443Semiconductor   structure and method for manufacturing the same--
11183445Semiconductor   arrangement, laminated semiconductor arrangement and method for fabricating a   semiconductor arrangementINFINEON TECHNOLOGIES   AG
11183447Flip-chip package   substrate and method for fabricating the same--
11183448Wiring circuit board   and imaging deviceNITTO DENKO   CORPORATION
11183449Cryogenic integrated   circuits--
11183450Electronic device   having inverted lead pinsTEXAS INSTRUMENTS   INCORPORATED
11183451Interconnect clip   with angled contact surface and raised bridgeINFINEON TECHNOLOGIES   AG
11183453Electronic-component-embedded   substrate having a wiring line with a roughened surface, electronic component   device, and communication moduleMURATA MANUFACTURING   CO., LTD.
11183454Functional component   within interconnect structure of semiconductor device and method of forming   same--
11183455Interconnects with   enlarged contact areaINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183456Memory arrays and   methods used in forming a memory arrayMICRON TECHNOLOGY,   INC.
11183458Integrated circuit   packaging structure and methodSHENZHEN XIUYUAN   ELECTRONIC TECHNOLOGY CO., LTD
11183459Fabric-based items   with electrical component arraysAPPLE INC.
11183460Embedded die   packaging with integrated ceramic substrateTEXAS INSTRUMENTS   INCORPORATED
11183461Semiconductor   structure and manufacturing method thereof--
11183463Chip package method   and chip package structureSHANGHAI AVIC OPTO   ELECTRONICS CO., LTD.
11183464Package substrate   processing method and protective tapeDISCO CORPORATION
11183466Semiconductor package   including an electromagnetic shield and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11183467Flexible circuit   board, method for mounting the same, and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11183468Chip protected   against back-face attacksSTMICROELECTRONICS   (CROLLES 2) SAS
11183469Semiconductor deviceKIOXIA CORPORATION
11183476Silicon carbide   semiconductor device, silicon carbide semiconductor assembly, and method of   manufacturing silicon carbide semiconductor deviceFUJI ELECTRIC CO.,   LTD.
11183477Mixed hybrid bonding   structures and methods of forming the sameINTEL CORPORATION
11183478Apparatus and method   for transferring semiconductor devices from a substrate and stacking   semiconductor devices on each otherROHINNI, LLC
11183482Shift control method   in manufacture of semiconductor device--
11183483Multichip module and   electronic deviceMITSUBISHI HEAVY   INDUSTRIES, LTD.
11183487Integrated circuit   package and method--
11183488Three-dimensional   memory devices with stacked device chips using interposersYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11183492Multilevel template   assisted wafer bondingSKORPIOS   TECHNOLOGIES, INC.
11183493Semiconductor device   using EMC wafer support system and fabricating method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11183498Semiconductor memory   device having an electrically floating body transistorZENO SEMICONDUCTOR,   INC.
11183504Structures for   testing nanoscale devices including ferroelectric capacitors and methods for   forming the same--
11183505Process for   fabricating medium-voltage transistors and corresponding integrated circuitSTMICROELECTRONICS   (ROUSSET) SAS
11183506Method of making   embedded memory device with silicon-on-insulator substrateSILICON STORAGE   TECHNOLOGY, INC.
11183507Semiconductor memory   device and method for manufacturing sameTOSHIBA MEMORY   CORPORATION
11183508Methods of   semiconductor device fabricationYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11183509Non-volatile memory   with silicided bit line contactsCYPRESS SEMICONDUCTOR   CORPORATION
11183510Manufacturing method   of semiconductor device and semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11183511Memory device and   manufacturing method for the same--
11183512Methods for forming   three-dimensional memory device with support structure and resulting   three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11183513Semiconductor device   and method for fabricating the same--
11183514Vertically stacked   field effect transistorsGLOBALFOUNDRIES U.S.   INC.
11183515Display device   including polycrystalline silicon layer, method of manufacturing   polycrystalline silicon layer, and method of manufacturing display deviceSAMSUNG DISPLAY CO.,   LTD.
11183516Semiconductor device   and method for manufacturing the sameSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11183518Transistor array   panel and manufacturing method thereofSAMSUNG DISPLAY CO.,   LTD.
11183519Method and apparatus   for manufacturing TFT substrateHKC CORPORATION   LIMITED
11183526Image sensorSAMSUNG ELECTRONICS   CO., LTD.
11183540Imaging element,   method of manufacturing imaging element, and imaging deviceSONY CORPORATION
11183558Nanosheet transistor   having partially self-limiting bottom isolation extending into the substrate   and under the source/drain and gate regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183559Method for   manufacturing a semiconductor structure, semiconductor structure, and   electronic deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183560Multi-gate   semiconductor device--
11183561Nanosheet transistor   with inner spacersINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183562Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11183564Quantum dot devices   with strain controlINTEL CORPORATION
11183567Doped aluminum   nitride crystals and methods of making themCRYSTAL IS, INC.
11183568Two-dimensional   semiconductor device, optoelectronic unit and method for making the   two-dimensional semiconductor device--
11183569Semiconductor device   and method of manufacturing the sameRENESAS ELECTRONICS   CORPORATION
11183570Structures and   methods for noise isolation in semiconductor devices--
11183571Memory device and   manufacturing method thereof--
11183572Flash memory device   including a buried floating gate and a buried erase gate and methods of   forming the same--
11183574Work function layers   for transistor gate electrodes--
11183575Memory device and   method for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11183577Formation of air gap   spacers for reducing parasitic capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183578Contact over active   gate employing a stacked spacerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11183579Semiconductor device   having buried gate structure and method for fabricating the sameSK HYNIX INC.
11183580Structure and   formation method of semiconductor device with metal gate stack--
11183582Method for producing   pillar-shaped semiconductor deviceUNISANTIS ELECTRONICS   SINGAPORE PTE. LTD.
11183584Semiconductor device   and manufacturing method thereof--
11183586Cascode transistor   deviceXIAMEN SANAN   INTEGRATED CIRCUIT CO., LTD.
11183587Bipolar junction   transistor (BJT) comprising a multilayer base dielectric film--
11183591Lateral   double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor   with enhanced capabilitiesAVAGO TECHNOLOGIES   INTERNATIONAL SALES PTE. LTD.
11183594Dual gate control for   trench shaped thin film transistorsINTEL CORPORATION
11183596Thin film transistor   and method for fabricating sameIUCF-HYU   (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY)
11183599Semiconductor device   and manufacturing method thereof--
11183601Semiconductor device   with carrier lifetime controlFUJI ELECTRIC CO.,   LTD.
11183602Trench capacitor and   method for manufacturing the sameSHENZHEN WEITONGBO   TECHNOLOGY CO., LTD.
11183605Method for producing   a layer structure for thin-film solar cells using etching or laser ablation   to produce rear-electrode-layer-free region(CNBM) BENGBU DESIGN   RESEARCH INSTITUTE FOR GLASS INDUSTRY CO. LTD
11183612Method for producing   at least one optoelectronic component, and optoelectronic componentOSRAM OLED GMBH
11183633Switch device,   storage apparatus, and memory systemSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11183674Method for   manufacturing flexible OLED device and support substrateSAKAI DISPLAY   PRODUCTS CORPORATION
11183951Flexure stage with   modularized flexure units--
11184927Non-contiguous   channel bondingINTEL CORPORATION
11185895Substrate processing   method, substrate processing apparatus, and composite processing apparatusTOSHIBA MEMORY   CORPORATION
11185896Substrate liquid   processing apparatus, substrate liquid processing method, and   computer-readable storage medium having substrate liquid processing program   stored thereonTOKYO ELECTRON   LIMITED
11186060Methods of continuous   fabrication of holes in flexible substrate sheets and products relating to   the sameCORNING INCORPORATED
11186742Sealing resin   composition, electronic component device, and method of manufacturing   electronic component deviceSHOWA DENKO MATERIALS   CO., LTD.
11186748Aqueous anionic   functional silica slurry and amine carboxylic acid compositions for selective   nitride removal in polishing and methods of using themROHM AND HAAS   ELECTRONIC MATERIALS CMP HOLDINGS, INC.
11186749Slurry composition   and method of manufacturing integrated circuit device by using the sameSAMSUNG ELECTRONICS   CO., LTD.
11186757Resin composition,   resin layer, permanent adhesive, adhesive for temporary bonding, laminated   film, processed wafer, and method for manufacturing electronic component or   semiconductor deviceTORAY INDUSTRIES,   INC.
11186771Etching solution for   selectively removing silicon nitride during manufacture of a semiconductor   deviceVERSUM MATERIALS US,   LLC
11186772Etching composition,   method for etching insulating film of semiconductor devices using the same   and method for preparing semiconductor devicesSK INNOVATION CO.,   LTD.
11186906Holding arrangement   for holding a substrate, carrier including the holding arrangement,   processing system employing the carrier, and method for releasing a substrate   from a holding arrangementAPPLIED MATERIALS,   INC.
11186908Apparatus and method   of manufacturing display apparatusSAMSUNG DISPLAY CO.,   LTD.
11186910Apparatus for   multi-flow precursor dosageAPPLIED MATERIALS,   INC.
11187222Vacuum pumping   arrangementEDWARDS LIMITED
11187594Bonded assembly with   integrated temperature sensing in bond layerWATLOW ELECTRIC   MANUFACTURING COMPANY
11187602Device and method for   pressure force inspection--
11187722Probe pin and   electronic device using the sameOMRON CORPORATION
11187747Inspection system and   malfunction analysis/prediction method for inspection systemTOKYO ELECTRON   LIMITED
11187926Binding device,   display panel, binding system and operating method thereofBOE TECHNOLOGY GROUP   CO., LTD.
11187953Laser processing   apparatus, semiconductor device manufacturing method, and amorphous silicon   crystallization methodTHE JAPAN STEEL   WORKS, LTD.
11187976Methods of detecting   printing defects on photoresist patternsSK HYNIX INC.
11187977Imprint apparatus,   imprint method, and method of manufacturing articleCANON KABUSHIKI   KAISHA
11187979Imprint apparatus,   imprint method, and article manufacturing methodCANON KABUSHIKI   KAISHA
11187983EUV patterning of   monolayers for selective atomic layer depositionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11187986Apparatuses for   reducing metal residue in edge bead region from metal-containing resistsINPRIA CORPORATION
11187992Predictive modeling   of metrology in semiconductor processesAPPLIED MATERIALS,   INC.
11187997Photolithography   apparatus and method for handling wafer--
11187998Substrate holder,   substrate support and method of clamping a substrate to a clamping systemASML NETHERLANDS B.V.
11187999Movable body   apparatus, moving method, exposure apparatus, exposure method, flat-panel   display manufacturing method, and device manufacturing methodNIKON CORPORATION
11188001Alignment apparatus,   alignment method, lithography apparatus, and method of manufacturing articleCANON KABUSHIKI   KAISHA
11188237Anti-hacking   mechanisms for flash memory deviceSILICON STORAGE   TECHNOLOGY, INC.
11188697On-chip memory access   pattern detection for power and resource reductionXILINX, INC.
11188805Lamination system, IC   sheet, scroll of IC sheet, and method for manufacturing IC chipSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11189356One-time-programmable   memory--
11189409Electronic substrates   having embedded dielectric magnetic material to form inductorsINTEL CORPORATION
11189462Ion stratification   using bias pulses of short durationTOKYO ELECTRON   LIMITED
11189465Adjustable capacitor,   impedance matching device and semiconductor processing apparatusBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
11189466High voltage   switching circuitRENO TECHNOLOGIES,   INC.
11189467Apparatus and method   of attaching pad on edge ringSAMSUNG ELECTRONICS   CO., LTD.
11189469Etching method and   plasma processing apparatusTOKYO ELECTRON   LIMITED
11189470Search device, search   method and plasma processing apparatusHITACHI HIGH-TECH   CORPORATION
11189479Diffusion barrier   layerAPPLIED MATERIALS,   INC.
11189480Element chip   manufacturing methodPANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11189481Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
11189482Thin film formation   methodULVAC, INC.
11189483Method of   manufacturing semiconductor device and non-transitory computer-readable   recording mediumKOKUSAI ELECTRIC   CORPORATION
11189484Semiconductor   nitridation passivationMICRON TECHNOLOGY,   INC.
11189485Steam oxidation   initiation for high aspect ratio conformal radical oxidationAPPLIED MATERIALS,   INC.
11189486Method for depositing   an insulating material into a via--
11189487Method and apparatus   for high pressure cure of flowable dielectric filmsINTEL CORPORATION
11189488Core-shell   nanoplatelets and uses thereofNEXDOT
11189489Substrate treatment   apparatus and manufacturing method of semiconductor deviceTOSHIBA MEMORY   CORPORATION
11189490Method of   manufacturing a semiconductor device and a semiconductor device--
11189491Method of forming   mask pattern and method of fabricating semiconductor device using the sameSAMSUNG ELECTRONICS   CO., LTD.
11189492Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11189493Silicon carbide   semiconductor device and method for manufacturing the sameDENSO CORPORATION
11189494Method of   manufacturing semiconductor deviceKABUSHIKI KAISHA   TOSHIBA
11189495Semiconductor   structure and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11189496Plasma reactor for   ultra-high aspect ratio etching and etching method thereofADVANCED   MICRO-FABRICATION EQUIPMENT INC. CHINA
11189497Chemical mechanical   planarization using nano-abrasive slurry--
11189498Method of etching   silicon-containing film, computer-readable storage medium, and apparatus for   etching silicon-containing filmTOKYO ELECTRON   LIMITED
11189499Atomic layer etch   (ALE) of tungsten or other metal layersTOKYO ELECTRON   LIMITED
11189500Method of   manufacturing a component carrier with an embedded cluster and the component   carrierAT&S (CHONGQING)   COMPANY LIMITED
11189501Chip package   structure and manufacturing method thereof--
11189502Showerhead with   interlaced gas feed and removal and methods of useAPPLIED MATERIALS,   INC.
11189503Substrate drying   method, photoresist developing method, photolithography method including the   same, and substrate drying systemSAMSUNG ELECTRONICS   CO., LTD.
11189504Photoresist stripping   device and photoresist stripping method--
11189505Substrate liquid   processing apparatus, substrate liquid processing method and storage mediumTOKYO ELECTRON   LIMITED
11189506Rod-shaped lamp and   heat treatment apparatusSCREEN HOLDINGS CO.,   LTD.
11189507Chip packaging   apparatus and method thereofCAPCON LIMITED
11189508Purged viewport for   quartz dome in epitaxy reactorAPPLIED MATERIALS,   INC.
11189509System and method for   controlling semiconductor manufacturing equipment--
11189510Adaptive inset for   wafer cassette system--
11189511Side storage pods,   equipment front end modules, and methods for operating EFEMsAPPLIED MATERIALS,   INC.
11189512StockerSEMES CO., LTD.
11189513Transport mechanism   for wafers of different sizes and types--
11189514Substrate processing   apparatus and substrate transfer methodTOKYO ELECTRON   LIMITED
11189515Method for alignment,   process tool and method for wafer-level alignment--
11189516Method for mask and   substrate alignmentAPPLIED MATERIALS,   INC.
11189517RF electrostatic   chuck filter circuitAPPLIED MATERIALS,   INC.
11189518Method of processing   a semiconductor wafer--
11189519Masking a zone at the   edge of a donor substrate during an ion implantation stepSOITEC
11189520Imaging device,   method of manufacturing imaging device, and electronic deviceSONY CORPORATION
11189521Methods of   manufacturing redistribution circuit structures using phase shift mask--
11189522Semiconductor device   and method of manufacturing the same--
11189523Semiconductor   structure and fabrication method thereof--
11189524Semiconductor   arrangement and method of making--
11189525Via-first process for   connecting a contact and a gate electrode--
11189526Apparatus comprising   staircase structuresMICRON TECHNOLOGY,   INC.
11189527Self-aligned top vias   over metal lines formed by a damascene processINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189528Subtractive RIE   interconnectINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189529Methods of forming   metal chalcogenide pillarsAPPLIED MATERIALS,   INC.
11189530Manufacturing method   of chipsDISCO CORPORATION
11189531Fin field-effect   transistor device and method--
11189532Dual width finned   semiconductor structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189533Wafer quality   inspection method and apparatus, and semiconductor device manufacturing   method including the wafer quality inspection methodSAMSUNG ELECTRONICS   CO., LTD.
11189534Semiconductor   assembly and deterioration detection methodFUJI ELECTRIC CO.,   LTD.
11189535Semiconductor chip   including chip pad, redistribution wiring test pad, and redistribution wiring   connection padSAMSUNG ELECTRONICS   CO., LTD.
11189536Method and apparatus   for on-chip stress detectionMICRON TECHNOLOGY,   INC.
11189537Circuit package, an   electronic circuit package, and methods for encapsulating an electronic   circuitINFINEON TECHNOLOGIES   AG
11189538Semiconductor   structure with polyimide packaging and manufacturing method--
11189539Apparatus having a   functional structure delimited by a frame structure and method for producing   sameINFINEON TECHNOLOGIES   AG
11189542Method for   fabricating an electronic module via compression moldingINFINEON TECHNOLOGIES   AUSTRIA AG
11189544Plurality of cooling   tubes with coolant for a power conversion packageDENSO CORPORATION
11189546Semiconductor   arrangement and method for making--
11189547Semiconductor module   and semiconductor module manufacturing methodFUJI ELECTRIC CO.,   LTD.
11189549Semiconductor device   and method for manufacturing the samePANASONIC   INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
11189552Semiconductor packageSAMSUNG ELECTRONICS   CO., LTD.
11189553Wiring substrate,   semiconductor package having the wiring substrate, and manufacturing method   thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11189556Manufacturing of a   power semiconductor moduleABB POWER GRIDS   SWITZERLAND AG
11189557Hybrid packageNXP USA, INC.
11189558Process to yield   ultra-large integrated circuits and associated integrated circuitsRAYTHEON COMPANY
11189560Semiconductor device   comprising etch stop layer over dielectric layer and method of manufacture--
11189561Placing top vias at   line ends by selective growth of via mask from line cut dielectricINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189562Interconnection   structure having increased conductive features and method of manufacturing   the same--
11189563Semiconductor   structure and manufacturing method thereof--
11189565Semiconductor device   with programmable anti-fuse feature and method for fabricating the same--
11189566Tight pitch via   structures enabled by orthogonal and non-orthogonal merged viasINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189568Top via interconnect   having a line with a reduced bottom dimensionINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189571Electronic circuit   device and method of manufacturing electronic circuit deviceRISING TECHNOLOGIES   CO., LTD.
11189573Semiconductor package   with electromagnetic interference shielding using metal layers and viasINTEL CORPORATION
11189575Specialized surface   mount device for symmetric heat distribution in packageQUALCOMM INCORPORATED
11189576Semiconductor device   package and a method of manufacturing the same--
11189577Semiconductor   structure--
11189583Semiconductor   structure and manufacturing method thereof--
11189587Semiconductor device   package with organic reinforcement structure--
11189590Processes for   adjusting dimensions of dielectric bond line materials and related films,   articles and assembliesMICRON TECHNOLOGY,   INC.
11189591Electronic moduleSHINDENGEN ELECTRIC   MANUFACTURING CO., LTD.
11189593Integrated device   packageANALOG DEVICES   INTERNATIONAL UNLIMITED COMPANY
11189594Bonding apparatus and   bonding methodSHINKAWA LTD.
11189595Package-on-package   assembly with wire bond viasINVENSAS CORPORATION
11189596Methods of forming   multi-chip wafer level packages--
11189598Semiconductor device   and method of forming embedded die substrate, and system-in-package modules   with the sameSTATS CHIPPAC PTE.   LTD.
11189599System formed through   package-in-package formation--
11189603Semiconductor   packages and methods of forming same--
11189609Methods for reducing   heat transfer in semiconductor assemblies, and associated systems and devicesMICRON TECHNOLOGY,   INC.
11189610Substrate structure   and manufacturing process--
11189613Semiconductor device--
11189614Process etch with   reduced loading effectINTEL CORPORATION
11189615Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11189616Multi-threshold   voltage non-planar complementary metal-oxtde-semiconductor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189617Gate-all-around   devices with reduced parasitic capacitanceQUALCOMM INCORPORATED
11189618Semiconductor memory   device including work function adjusting layer in buried gate line and method   of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11189621DRAM array,   semiconductor layout structure therefor and fabrication methodCHANGXIN MEMORY   TECHNOLOGIES, INC.
11189626Partially disposed   gate layer into the trenchesTEXAS INSTRUMENTS   INCORPORATED
11189627Method to reduce kink   effect in semiconductor devices--
11189628Trench gate high   voltage transistor for embedded memory--
11189633Semiconductor device   and apparatus of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
111896353D-NAND moldAPPLIED MATERIALS,   INC.
11189637Three-dimensional   memory array including self-aligned dielectric pillar structures and methods   of making the sameSANDISK TECHNOLOGIES   LLC
11189646Display substrate   including signal line electrically connected to conductive pattern through   the plurality of via holesBOE TECHNOLOGY GROUP   CO., LTD.
11189647Liquid crystal   display device and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11189654Manufacturing methods   of semiconductor image sensor devices--
11189655Isolation structure   for suppressing floating diffusion junction leakage in CMOS image sensorOMNIVISION   TECHNOLOGIES, INC.
11189662Memory cell stack and   via formation for a memory deviceMICRON TECHNOLOGY,   INC.
11189682Display device and   method of manufacturing the sameSAMSUNG DISPLAY CO.,   LTD.
11189688Insulated gate power   semiconductor device and method for manufacturing such deviceABB POWER GRIDS   SWITZERLAND AG
11189690Method for forming a   superjunction transistor deviceINFINEON TECHNOLOGIES   AUSTRIA AG
11189691Method of   manufacturing semiconductor deviceUNITED   MICROELECTRONICS CORP.
11189693Transistor having   reduced contact resistanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189694Semiconductor devices   and methods of forming the same--
11189695Semiconductor device   and method for fabricating the same--
11189697Ultra-thin fin   structure and method of fabricating the same--
11189700Fabrication of   wrap-around and conducting metal oxide contacts for IGZO non-planar devicesINTEL CORPORATION
11189705Methods of reducing   parasitic capacitance in multi-gate field-effect transistors--
11189706FinFET structure with   airgap and method of forming the same--
11189707Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11189709Semiconductor device   and method for manufacturing semiconductor deviceROHM CO., LTD.
11189710Method of forming a   bottom isolation dielectric by directional sputtering of a capping layer over   a pair of stacksAPPLIED MATERIALS,   INC.
11189711Semiconductor   structuresSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11189714Gate stack structure   and method for forming the same--
11189719Apparatus and   circuits including transistors with different gate stack materials and   methods of fabricating the same--
11189721Trench gate trench   field plate vertical MOSFETTEXAS INSTRUMENTS   INCORPORATED
11189724Method of forming a   top epitaxy source/drain structure for a vertical transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189725VTFET with cell   height constraintsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11189726Transistor with a   negative capacitance and a method of creating the same--
11189727FinFET contacts and   method forming same--
11189728Method of   manufacturing a semiconductor device and a semiconductor device--
11189729Forming a sacrificial   liner for dual channel devicesTESSERA, INC.
11189730Non-selective   epitaxial source/drain deposition to reduce dopant diffusion for germanium   nMOS transistorsINTEL CORPORATION
11189734Semiconductor device   and display deviceJAPAN DISPLAY INC.
11189735Semiconductor device   and display apparatusJOLED INC.
11189749Transfer apparatus   and transfer method for transferring light emitting diode chip--
11189754Semiconductor   substrate--
11189761Image display device   and method for manufacturing image display deviceNICHIA CORPORATION
11189763Backlight structureWUHAN CHINA STAR   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11189768Light emitting   device, method of manufacturing light emitting device, and lighting tool for   vehicleTOSHIBA HOKUTO   ELECTRONICS CORPORATION
11189774High-temperature   carbon-based superconductor: B-doped Q-carbonNORTH CAROLINA STATE   UNIVERSITY
11189791Integrated circuit   and fabrication method thereof--
11189905Integrated antenna   array packaging structures and methodsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11191164Wiring structure and   method of manufacturing the same, semiconductor device, multilayer wiring   structure and method of manufacturing the same, semiconductor element   mounting substrate, method of forming pattern structure, imprint mold and   method of manufacturing the same, imprint mold set, and method of   manufacturing multilayer wiring boardDAI NIPPON PRINTING   CO., LTD.
11191168Method of   manufacturing composite circuit board and composite circuit boardHONGQISHENG PRECISION   ELECTRONICS (QINHUANGDAO) CO., LTD.
11191169Method and apparatus   for flexible circuit cable attachmentJABIL INC.
11191198Shield packageTATSUTA ELECTRIC WIRE   & CABLE CO., LTD.
11191950High resolution   brain-electronics interfaceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11192147Substrate processing   apparatus and substrate processing methodEBARA CORPORATION
11192209Laser pretreatment of   metal substrates for electrical circuit boardsAB MIKROELEKTRONIK   GMBH
11192239Substrate processing   apparatusBROOKS AUTOMATION,   INC.
11192282Template, template   manufacturing method, and semiconductor device manufacturing methodTOSHIBA MEMORY   CORPORATION
11192323Bonding structure of   e chuck to aluminum base configurationAPPLIED MATERIALS,   INC.
11192340Device modified   substrate article and methods for makingCORNING INCORPORATED
11192777MEMS sensor package   systems and methodsINFINEON TECHNOLOGIES   AG
11192781Semiconductor device   having silicon layer with trenchDENSO CORPORATION
11192786Composition,   composition reservoir, and method for producing compositionFUJIFILM CORPORATION
11192971Pattern forming   material, composition for pattern formation, pattern forming method and   method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
11192972Polymer material,   composition, and method of manufacturing semiconductor deviceTOSHIBA MEMORY   CORPORATION
11193043System for chemical   mechanical polishing of Ge-based materials and devices--
11193047Electrically   conductive adhesive film and dicing-die bonding film using the sameFURUKAWA ELECTRIC   CO., LTD.
11193094Liquid composition   for reducing damage of cobalt, alumina, interlayer insulating film and   silicon nitride, and washing method using sameMITSUBISHI GAS   CHEMICAL COMPANY, INC.
11193206Formulation for   deposition of silicon doped hafnium oxide as ferroelectric materialsVERSUM MATERIALS US,   LLC
11193208Wafer/support   arrangement, method for producing the arrangement, and use of the arrangement   in the processing of the waferNISSAN CHEMICAL   INDUSTRIES, LTD.
11193218Sputtering equipment   and method of manufacturing semiconductor deviceDENSO CORPORATION
11193903Smart packaging for   improved medication regimen complianceQUANTAED, LLC
111939533D chip testing   through micro-C4 interfaceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11193954Probe card and test   apparatus having the probe cardSK HYNIX INC.
11194180Optical adjustable   filter sub-assemblyIL-VI DELAWARE, INC.
11194203Liquid crystal   display deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11194251Resist underlayer   film-forming composition for lithography containing polymer having blocked   isocyanate structureNISSAN CHEMICAL   INDUSTRIES, LTD.
11194258Method and apparatus   for determining a fingerprint of a performance parameterASML NETHERLANDS B.V.
11194990Fingerprint sensor   device and method--
11195087Synapse array of a   neuromorphic device including a synapse array having a plurality of   ferroelectricity field effect transistorsSK HYNIX INC.
11195088Data processing   device using neural network, electronic component, and electronic deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11195268Target selection   improvements for better design alignmentKLA-TENCOR   CORPORATION
11195667Methods of making   non-covalently bonded carbon-titania nanocomposite thin films and   applications of the sameNORTHWESTERN   UNIVERSITY
11195691Method of   automatically focusing a charged particle beam on a surface region of a   sample, method of calculating a converging set of sharpness values of images   of a charged particle beam device and charged particle beam device for   imaging a sampleAPPLIED MATERIALS,   INC.
11195695Ion implantation   method, ion implantation apparatus and semiconductor deviceINFINEON TECHNOLOGIES   AG
11195696Electron beam   generator, plasma processing apparatus having the same and plasma processing   method using the sameSAMSUNG ELECTRONICS   CO., LTD.
11195697Plasma control   apparatusSPP TECHNOLOGIES CO.,   LTD.
11195698RF impedance matching   circuit and systems and methods incorporating sameRENO TECHNOLOGIES,   INC.
11195704Pedestal assembly for   plasma processing apparatusBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11195705Plasma generating   unit and substrate treating apparatus comprising the sameSEMES CO., LTD.
11195706Systems and methods   for achieving a pre-determined factor associated with an edge region within a   plasma chamber by synchronizing main and edge RF generatorsLAM RESEARCH   CORPORATION
11195711Healing method before   transfer of a semiconducting layerCOMMISSARIAT A   L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
11195712Process for   deposition of titanium oxynitride for use in integrated circuit fabricationASM IP HOLDING B.V.
11195713Methods of forming a   silicon-insulator layer and semiconductor device having the sameINFINEON TECHNOLOGIES   AG
11195714Pattern-forming   methodJSR CORPORATION
11195715Epitaxial growth   constrained by a templateGLOBALFOUNDRIES U.S.   INC.
11195716Method of producing   semiconductor epitaxial wafer and method of producing semiconductor deviceSUMCO CORPORATION
11195717Semiconductor device   and method--
11195718Spacer open process   by dual plasmaBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD.
11195719Reducing in-plane   distortion from wafer to wafer bonding using a dummy waferINTEL CORPORATION
11195720Method for ion   implantation that adjusts a target's tilt angle based on a distribution of   ejected ions from a target--
11195721Ohmic contacts and   methods for manufacturing the samePRINCETON OPTRONICS,   INC.
11195722Method of manufacture   using complementary conductivity-selective wet-etching techniques for   III-nitride materials and devicesGEORGIA TECH RESEARCH   CORPORATION
11195723Non-atomic layer   deposition (ALD) method of forming sidewall passivation layer during high   aspect ratio carbon layer etchTOKYO ELECTRON   LIMITED
11195724Method of   manufacturing semiconductor structure--
11195725Dry etch process   landing on metal oxide etch stop layer over metal layer and structure formed   therebyTEXAS INSTRUMENTS   INCORPORATED
11195726Semiconductor device   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11195727High density organic   interconnect structuresINTEL CORPORATION
11195728Temporary protective   film for semiconductor sealing moldingSHOWA DENKO MATERIALS   CO., LTD.
11195729Substrate polishing   apparatus and methodEBARA CORPORATION
11195730Method and apparatus   for processing wafer-shaped articlesLAM RESEARCH AG
11195731Substrate processing   device, substrate processing method, and substrate processing systemSCREEN HOLDINGS CO.,   LTD.
11195732Low thermal budget   annealing--
11195733Operation method of   vacuum processing deviceHITACHI HIGH-TECH   CORPORATION
11195734Dual load lock   chamberAPPLIED MATERIALS,   INC.
11195735Load lock for a   substrate container and device having such a load lock--
11195736Substrate processing   apparatus, method of detaching substrate from vacuum suction table of   substrate processing apparatus, and method of placing substrate onto vacuum   suction table of substrate processing apparatusEBARA CORPORATION
11195737Apparatus for storing   and transporting semiconductor elements, and method of making the same--
11195738Substrate processing   apparatusBROOKS AUTOMATION,   INC.
11195739Substrate loading   systemMOLECULAR IMPRINTS,   INC.
11195740Methods and apparatus   for wafer handling and processingMICRON TECHNOLOGY,   INC.
11195741Micro device   arrangement in donor substrateVUEREAL INC.
11195742Micro device transfer   apparatus and methodSAMSUNG DISPLAY CO.,   LTD.
11195743Adjustable substrate   support and adjustment method--
11195744Substrate treatment   apparatus and manufacturing method of a semiconductor deviceTOSHIBA MEMORY   CORPORATION
11195745Forming single and   double diffusion breaks for fin field-effect transistor structuresINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195746Nanosheet transistor   with self-aligned dielectric pillarINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195748Interconnect   structures and methods for forming sameINVENSAS CORPORATION
11195749Semiconductor device   and manufacturing methodFUJI ELECTRIC CO.,   LTD.
11195750Etch profile control   of interconnect structures--
11195751Bilayer barrier for   interconnect and memory structures formed in the BEOLINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195752Semiconductor device   and method of forming same--
11195753Tiered-profile   contact for semiconductorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195754Transistor with   reduced gate resistance and improved process margin of forming self-aligned   contactINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195755Field effect   transistor devices with self-aligned source/drain contacts and gate contacts   positioned over active transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195756Proximity contact   cover ring for plasma dicingAPPLIED MATERIALS,   INC.
11195757Wafer processing   methodDISCO CORPORATION
11195758Semiconductor device   and method for manufacturing semiconductor device having plurality of   insulatorSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11195759Semiconductor   arrangement and method for making--
11195760Fin-type field-effect   transistor device having substrate with heavy doped and light doped regions,   and method of fabricating the same--
11195761IC structure with   short channel gate structure having shorter gate height than long channel   gate structureGLOBALFOUNDRIES U.S.   INC.
11195762Multi-metal dipole   doping to offer multi-threshold voltage pairs without channel doping for   highly scaling CMOS deviceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195763Method of   manufacturing a semiconductor device and a semiconductor device--
11195764Vertical transport   field-effect transistors having germanium channel surfacesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195765Semiconductor device   including stressed source/drain, method of manufacturing the same and   electronic device including the sameINSTITUTE OF   MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
11195766Manufacturing a   combined semiconductor deviceINFINEON TECHNOLOGIES   AG
11195767Integration of a   III-V device on a Si substrateIMEC VZW
11195770Method of   manufacturing semiconductor device, semiconductor device, and power   conversion deviceMITSUBISHI ELECTRIC   CORPORATION
11195771Substrate structure   of semiconductor device package and method of manufacturing the same--
11195772CMOS based devices   for harsh mediaMELEXIS TECHNOLOGIES   NV
11195774Semiconductor   packagesSAMSUNG ELECTRONICS   CO., LTD.
11195775Semiconductor module,   semiconductor device, and manufacturing method of semiconductor moduleFUJI ELECTRIC CO.,   LTD.
11195777Semiconductor module   and method of evaluating semiconductor moduleMITSUBISHI ELECTRIC   CORPORATION
11195778Electronic power   moduleAUDI AG
11195780Stacked silicon   package assembly having thermal management using phase change materialXILINX, INC.
11195781Bonded   three-dimensional memory devices and methods of making the same by replacing   carrier substrate with source layerSANDISK TECHNOLOGIES   LLC
11195785Interposer with   through electrode having a wiring protection layerSAMSUNG ELECTRONICS   CO., LTD.
11195787Semiconductor device   including an antennaINFINEON TECHNOLOGIES   AG
11195788Hybrid dielectric   scheme in packages--
11195789Integrated circuit   module with a structurally balanced package using a bottom side interposerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195791Method for forming   semiconductor contact structure--
11195792Top via stackINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195793Metal filling in a   dielectric layer under metal layer one (M1)and above an active device layer   in semiconductor devicesQUALCOMM INCORPORATED
11195795Well-controlled   edge-to-edge spacing between adjacent interconnectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195796Semiconductor device   structure and method for forming the same--
11195798Tungsten alloys in   semiconductor devicesINTEL CORPORATION
11195800Electronic device   module and method of manufacturing the sameSAMSUNG   ELECTRO-MECHANICS CO., LTD.
11195802Semiconductor package   including shielding plate in redistribution structure, semiconductor package   including conductive via in redistribution structure, and manufacturing   method thereof--
11195803Semiconductor   element, semiconductor device, power conversion device, and method of   manufacturing semiconductor elementMITSUBISHI ELECTRIC   CORPORATION
11195804Semiconductor   structure--
11195805Capacitor die   embedded in package substrate for providing capacitance to surface mounted   dieINTEL CORPORATION
11195807Semiconductor device,   high-frequency power amplifier, and method of manufacturing semiconductor   deviceMITSUBISHI ELECTRIC   CORPORATION
11195808Electronic package   having antenna function and fabrication method thereof--
11195810Bonding structure and   method of forming same--
11195816Integrated circuit   packages comprising a plurality of redistribution structures and methods of   forming the same--
11195818Backside contact for   thermal displacement in a multi-wafer stacked integrated circuit--
11195819Semiconductor deviceLONGITUDE LICENSING   LIMITED
11195823Semiconductor package   and manufacturing method thereof--
11195830Memory devicesMICRON TECHNOLOGY,   INC.
11195832High performance   nanosheet fabrication method with enhanced high mobility channel elementsTOKYO ELECTRON   LIMITED
11195833Semiconductor device   and method for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11195834Semiconductor device   having deep wells--
11195836Semiconductor memory   devicesSAMSUNG ELECTRONICS   CO., LTD.
11195837Semiconductor devices   including support patternsSAMSUNG ELECTRONICS   CO., LTD.
11195841Integrated circuit   and method for manufacturing the same--
11195845Substrate processing   method and device manufactured by the sameASM IP HOLDING B.V.
11195847Memory device and   method for forming the same--
11195848Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11195849Semiconductor device   and method of manufacturing the sameTOSHIBA MEMORY   CORPORATION
11195850Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11195853Contact structures   having conductive portions in substrate in three-dimensional memory devices   and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11195855Semiconductor memory   device and method of manufacturing the sameKIOXIA CORPORATION
11195867High dielectric   constant dielectric layer forming method, image sensor device, and   manufacturing method thereof--
11195868Image distance sensor   and manufacture method thereof as well as a ranging deviceBEIJING BOE DISPLAY   TECHNOLOGY CO., LTD.
11195874Detach and reattach   of a flexible polyimide based X-ray detectorCARESTREAM HEALTH,   INC.
11195881Array substrate and   flexible display panel--
11195904High-frequency   transistorNUVOTON TECHNOLOGY   CORPORATION JAPAN
11195905Metal-oxide-semiconductor   transistor and method of fabricating the same--
11195906Semiconductor device   to suppress electric field concentration on insulating protection filmDENSO CORPORATION
11195911Bottom dielectric   isolation structure for nanosheet containing devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195912Inner spacer for   nanosheet transistorsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195913Semiconductor device   including fin structure and manufacturing method thereof--
11195918Structure of   semiconductor device and method for fabricating the same--
11195919Method of fabricating   a semiconductor device with strained SiGe fins and a Si cladding layerINTEL CORPORATION
11195920Semiconductor   structure having porous semiconductor segment for RF devices and bulk   semiconductor region for non-RF devicesNEWPORT FAB, LLC
11195923Method of fabricating   a semiconductor device having reduced contact resistanceAPPLIED MATERIALS,   INC.
11195924Broken bandgap   contactINTEL CORPORATION
11195928Semiconductor devices   including a gate isolation structure and a gate capping layer including   different materials from each otherSAMSUNG ELECTRONICS   CO., LTD.
11195929Conformal replacement   gate electrode for short channel devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195930Semiconductor devices   with backside power rail and methods of fabrication thereof--
11195931Gate structure,   semiconductor device and the method of forming semiconductor device--
11195932Ferroelectric gate   dielectrics in integrated circuitsINTEL CORPORATION
11195933Method of forming a   GaN sensor having a controlled and stable threshold voltageTOWER SEMICONDUCTOR   LTD.
11195934Structure and method   for bi-layer self-aligned contact--
11195935Semiconductor device   with novel spacer structures having novel configurationsGLOBALFOUNDRIES U.S.   INC.
11195936Semiconductor   structure--
11195937Multi-gate transistor   structure--
11195938Device performance by   fluorine treatment--
11195942Semiconductor device   including electrode trench structure and isolation trench structure and   manufacturing method thereforeINFINEON TECHNOLOGIES   AG
11195944Gallium nitride (GaN)   transistor structures on a substrateINTEL CORPORATION
11195945Cap structure coupled   to source to reduce saturation current in HEMT device--
11195946Method of   manufacturing a silicon carbide semiconductor device with trench gate   structure and vertical pn junction between body region and drift structureINFINEON TECHNOLOGIES   AG
11195947Semiconductor device   with doped region adjacent isolation structure in extension regionGLOBALFOUNDRIES U.S.   INC.
11195948High voltage   semiconductor device and manufacturing method thereof--
11195950Semiconductor device   with at least a portion of gate electrode enclosed by an insulating structure   and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11195951Semiconductor device   with self-aligned wavy contact profile and method of forming the same--
11195952Semiconductor devices   including a stress patternSAMSUNG ELECTRONICS   CO., LTD.
11195958Semiconductor device   with deep trench isolation and trench capacitorTEXAS INSTRUMENTS   INCORPORATED
11195982Qubit frequency   tuning structures and fabrication methods for flip chip quantum computing   devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11195987Method for producing   composite wafer having oxide single-crystal filmSHIN-ETSU CHEMICAL   CO., LTD.
11196142Millimeter wave   antenna and EMI shielding integrated with fan-out packageMICRON TECHNOLOGY,   INC.
11196329Methods and systems   for controllably moving one or more moveable stages in a displacement deviceTHE UNIVERSITY OF   BRITISH COLUMBIA
11196360System and method for   electrostatically chucking a substrate to a carrierAPPLIED MATERIALS,   INC.
11197367Component carrier   comprising a double layer structureAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11197377Flexible circuit   board and method for producing sameSTEMCO CO., LTD.
11198626Apparatus for   producing conditioned waterKURITA WATER   INDUSTRIES LTD.
11198797Chemical mechanical   polishing compositions having stabilized abrasive particles for polishing   dielectric substratesROHM AND HAAS   ELECTRONIC MATERIALS CMP HOLDINGS, INC.
11198815Etching composition   and method for fabricating semiconductor device by using the sameSAMSUNG ELECTRONICS   CO., LTD.
11198816Etching compositionsFUJIFILM ELECTRONIC   MATERIALS U.S.A., INC.
11198901Method for forming   nano-gaps in grapheneOXFORD UNIVERSITY   INNOVATION LIMITED
11198935Heating part,   substrate processing apparatus, and method of manufacturing semiconductor   deviceKOKUSAI ELECTRIC   CORPORATION
11198939Recursive inject   apparatus for improved distribution of gasAPPLIED MATERIALS,   INC.
11198949Method of producing   epitaxial silicon waferSUMCO CORPORATION
11198951Melt-growth of   single-crystal alloy semiconductor structures and semiconductor assemblies   incorporating such structuresUNIVERSITY OF   SOUTHAMPTON
11199298Method for   manufacturing light emitting diode filamentZHONGSHAN MLS   ELECTRONIC CO., LTD
11199466System and method for   liquid leak detection--
11199507Systems for parsing   material properties from within SHG signalsFEMTOMETRIX, INC.
11199528Sensor built-in   filter structure and wafer accommodation containerTDK CORPORATION
11199562Wafer testing system   including a wafer-flattening multi-zone vacuum chuck and method for operating   the sameWESTERN DIGITAL   TECHNOLOGIES, INC.
11199572Electronics testerAEHR TEST SYSTEMS
11199605Resistivity-based   adjustment of measurements from in-situ monitoringAPPLIED MATERIALS,   INC.
11199673Optoelectronic device   with integrated underfill exclusion structureHEWLETT PACKARD   ENTERPRISE DEVELOPMENT LP
11199769Method and apparatus   for neutral beam processing based on gas cluster ion beam technology--
11199771Pressure control   valve, a fluid handling structure for lithographic apparatus and a   lithographic apparatusASML NETHERLANDS B.V.
11199775Resist underlayer   film-forming composition containing naphthol aralkyl resinNISSAN CHEMICAL   CORPORATION
11199776Resin compositionTORAY INDUSTRIES,   INC.
11199777Resist underlayer   film-forming composition containing novolac polymer having secondary amino   groupNISSAN CHEMICAL   INDUSTRIES, LTD.
11199785Coating and   developing apparatus and coating and developing methodTOKYO ELECTRON   LIMITED
11199892Hybrid computing   module--
11200262Systems and methods   of mapping, transforming, and sharing data and ideasFRAMEABLE INC.
11201034Plasma processing   apparatus and control methodTOKYO ELECTRON   LIMITED
11201035Radical source with   contained plasmaTOKYO ELECTRON   LIMITED
11201036Plasma strip tool   with uniformity controlBEIJING E-TOWN   SEMICONDUCTOR TECHNOLOGY CO., LTD
11201037Process kit with   adjustable tuning ring for edge uniformity controlAPPLIED MATERIALS,   INC.
11201038Support assembly and   support assembly assembling methodTOKYO ELECTRON   LIMITED
11201039Mounting apparatus   for object to be processed and processing apparatusTOKYO ELECTRON   LIMITED
11201040Substrate supporting   unit and film forming device having the substrate supporting unitNHK SPRING CO., LTD.
11201049Thiourea organic   compound for gallium arsenide based optoelectronics surface passivationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201050Substrate processing   method, recording medium and substrate processing apparatusTOKYO ELECTRON   LIMITED
11201051Method for layer by   layer growth of conformal filmsTOKYO ELECTRON   LIMITED
11201052Composition for   forming silica layer, silica layer and electronic device incorporating silica   layerSAMSUNG SDI CO., LTD.
11201053Film forming method   and film forming apparatusTOKYO ELECTRON   LIMITED
11201054Method of   manufacturing semiconductor device having higher exhaust pipe temperature and   non-transitory computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
11201055Semiconductor device   having high-&#x3ba; dielectric layer and method for manufacturing the   same--
11201056Pitch multiplication   with high pattern fidelityINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201057Techniques and   apparatus for anisotropic stress compensation in substrates using ion   implantationAPPLIED MATERIALS,   INC.
11201058GaN devices with ion   implanted ohmic contacts and method of fabricating devices incorporating the   sameTHE UNITED STATES OF   AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY
11201059Device having work   function metal stack and method of forming the same--
11201060Structure and   formation method of semiconductor device with metal gate stack--
11201061Multiple nanosecond   laser pulse anneal processes and resultant semiconductor structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201062Method and apparatus   for processing a substrateTOKYO ELECTRON   LIMITED
11201063Substrate processing   method and substrate processing apparatusTOKYO ELECTRON   LIMITED
11201064Signal line   patterning for standard cells--
11201065Testing semiconductor   componentsTEXAS INSTRUMENTS   INCORPORATED
11201066Control of under-fill   using a dam on a packaging substrate for a dual-sided ball grid array packageSKYWORKS SOLUTIONS,   INC.
11201067Substrate treatment   method and substrate treatment deviceSCREEN HOLDINGS CO.,   LTD.
11201068Heat treatment   apparatus, method of managing heat treatment apparatus and storage mediumTOKYO ELECTRON   LIMITED
11201069Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11201070Side opening unified   podBROOKS AUTOMATION,   INC.
11201071Fume-removing deviceBUM JE WOO
11201072Purge nozzle module   for load portAE CO., LTD.
11201073Substrate transport   apparatusBROOKS AUTOMATION,   INC
11201074System and method for   semiconductor device print check alignmentKLA CORPORATION
11201075Holding apparatus for   electrostatically holding a component, including a base body joined by   diffusion bonding, and process for its manufactureBERLINER GLAS GMBH
11201076Electrostatic chuck   deviceSUMITOMO OSAKA CEMENT   CO., LTD.
11201077Parallel assembly of   discrete components onto a substrateKULICKE & SOFFA   NETHERLANDS B.V.
11201078Substrate position   calibration for substrate supports in substrate processing systemsAPPLIED MATERIALS,   INC.
11201079Wafer chuck--
11201080Epitaxy substrate and   method of manufacturing the same--
11201081Method for separating   thin layers of solid material from a solid bodySILTECTRA GMBH
11201082Deep trench isolation   structure in semiconductor device--
11201083Methods for forming   memory devices, and associated devices and systemsMICRON TECHNOLOGY,   INC.
11201084Fin field-effect   transistor device and method of forming the same--
11201085Semiconductor device   structure having air gap and method for forming the same--
11201086Semiconductor devices   and methods of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
11201087Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11201088Semiconductor device   and method for forming the sameSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11201089Robust low-k bottom   spacer for VFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201090Semiconductor   structures with isolation structures and fabrication methods thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11201091Semiconductor   structure implementing series-connected transistor and resistor and method   for forming the same--
11201092Gate channel length   control in VFETINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201093Method of   manufacturing a semiconductor device including the horizontal channel FET and   the vertical channel FETIMEC VZW
11201094Forming metal gates   with multiple threshold voltages--
11201095Chip package having a   cover with windowXILINX, INC.
11201097Method of manufacture   of a semiconductor device--
11201099Semiconductor device   and method of manufacturing the sameDENSO CORPORATION
11201102Module lid with   embedded two-phase cooling and insulating layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201103Vapor chamber on   heat-generating componentMICROSOFT TECHNOLOGY   LICENSING, LLC
11201106Semiconductor device   with conductors embedded in a substrate--
11201107Bonded   three-dimensional memory devices and methods of making the same by replacing   carrier substrate with source layerSANDISK TECHNOLOGIES   LLC
11201109Hermetic metallized   via with improved reliabilityCORNING INCORPORATED
11201110Semiconductor device   package with conductive pillars and method for manufacturing the same--
11201112Fully-aligned   skip-viasINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201113Integrated passive   coupler and methodNXP B.V.
11201114Methods of forming   thin film resistor structures utilizing interconnect liner materialsINTEL CORPORATION
11201115Semiconductor device--
11201116Semiconductor device   having inter-metal dielectric patterns and method for fabricating the sameUNITED SEMICONDUCTOR   (XIAMEN) CO., LTD.
11201118Chip package and   method of forming the same--
11201120Display having an   amorphous silicon light shield below a thin film transistorBOE TECHNOLOGY GROUP   CO., LTD.
11201122Method of fabricating   semiconductor device with reduced warpage and better trench filling   performance--
11201123Substrate structure   and manufacturing method thereof--
11201124Semiconductor   devices, semiconductor wafers, and methods of manufacturing the sameOMNIVISION   TECHNOLOGIES, INC.
11201127Device comprising   contact to contact coupling of packagesQUALCOMM INCORPORATED
11201129Designs and methods   for conductive bumpsINTEL CORPORATION
11201132Method for setting   conditions for heating semiconductor chip during bonding, method for   measuring viscosity of non-conductive film, and bonding apparatusSHINKAWA LTD.
11201133Bonding apparatus and   methodSAMSUNG DISPLAY CO.,   LTD.
11201134Method of   manufacturing semiconductor device--
11201135Three dimensional   integrated circuits stacking approach--
11201136High bandwidth moduleINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201137Systems and methods   for powering an integrated circuit having multiple interconnected dieCEREBRAS SYSTEMS INC.
11201138Wafer level   integration including design/co-design, structure process, equipment stress   management and thermal managementINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201142Semiconductor   package, package on package structure and method of froming package on   package structure--
11201148Architecture for   monolithic 3D integration of semiconductor devicesTOKYO ELECTRON   LIMITED
11201150System on chipSAMSUNG ELECTRONICS   CO., LTD.
11201152Method, apparatus,   and system for fin-over-nanosheet complementary field-effect-transistorGLOBALFOUNDRIES INC.
11201153Stacked field effect   transistor with wrap-around contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201156Semiconductor devices   and methods for fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11201157Bonded semiconductor   devices having processor and static random-access memory and methods for   forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11201163High-density NOR-type   flash memoryHOUSTON
11201164Memory devicesMICRON TECHNOLOGY,   INC.
11201169Memory device and   method of fabricating the same--
11201170Three-dimensional   semiconductor memory device and manufacturing method of the three-dimensional   semiconductor memory deviceSK HYNIX INC.
11201191Semiconductor memory   device having a plurality of memory cells each having a phase change materialTOSHIBA MEMORY   CORPORATION
11201209Semiconductor device   and method for forming the same--
11201210Semiconductor device,   inverter circuit, drive device, vehicle, and elevatorKABUSHIKI KAISHA   TOSHIBA
11201211Method of   manufacturing a super junction structure and super junction structure--
11201212MOSFET with ultra low   drain leakageINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201215MOSFET and memory   cell having improved drain current through back bias applicationZENO SEMICONDUCTOR,   INC.
11201216Silicon carbide   semiconductor device and manufacturing method of silicon carbide   semiconductor deviceDENSO CORPORATION
11201217Nitride semiconductor   substrateCOORSTEK KK
11201218Silicon carbide   epitaxial substrate, method of manufacturing thereof, silicon carbide   semiconductor device, and method of manufacturing thereofFUJI ELECTRIC CO.,   LTD.
11201221Backside contact   structures and fabrication for metal on both sides of devicesINTEL CORPORATION
11201222Semiconductor device   and fabrication method thereofINNOSCIENCE (ZHUHAI)   TECHNOLOGY CO., LTD.
11201225Structure and   formation method of semiconductor device with stressor--
11201228Semiconductor device   with air-spacer--
11201229Structure and   formation method of semiconductor device with metal gate stack--
11201230Semiconductor device   structure with metal gate stacks--
11201231Silicon germanium   alloy fins with reduced defectsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201232Semiconductor   structure with metal containing layer--
11201238Semiconductor device,   method of manufacturing semiconductor device, inverter circuit, driving   device, vehicle, and elevatorKABUSHIKI KAISHA   TOSHIBA
11201241Vertical field effect   transistor and method of manufacturing a vertical field effect transistorINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201243Nanowire stack GAA   device and methods for producing the same--
11201246Field-effect   transistor structure and fabrication methodINTERNATIONAL   BUSINESS MACHINES CORPORATION
11201249Liquid crystal   display device comprising an oxide semiconductorSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11201250Schottky barrier   diode and method for manufacturing the sameELECTRONICS AND   TELECOMMUNICATIONS RESEARCH INSTITUTE
11201251High speed photo   detectors with reduced aperture metal contact and method thereforOEPIC SEMICONDUCTORS,   INC.
11201256Infrared detecting   device and infrared detecting system including the sameSAMSUNG ELECTRONICS   CO., LTD.
11201258Method for   manufacturing light emitting deviceNICHIA CORPORATION
11201281Method for forming a   flat bottom electrode via (BEVA) top surface for memory--
11201315Electro-optical   device with a luminance adjustment layerSEIKO EPSON   CORPORATION
11201386Semiconductor device   package and method for manufacturing the same--
11201533Planar positioning   deviceC.C.M. BEHEER B.V.
11203094Substrate cleaning   device, substrate processing apparatus, substrate cleaning method and   substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11203123Methods and systems   for combined negative pressure and electroadhesion-based manipulation in   manufacturingGRABIT, INC.
11203488Substrate processing   apparatus, method of manufacturing semiconductor device and non-transitory   computer-readable recording mediumKOKUSAI ELECTRIC   CORPORATION
11203525Method of controlling   the placement of micro-objectsPALO ALTO RESEARCH   CENTER INCORPORATED
11203526Reprogrammable   quantum processor architecture incorporating quantum error correctionEQUAL1.LABS INC.
11203528N&#x2014;H free   and Si-rich per-hydridopolysilzane compositions, their synthesis, and   applicationsL'AIR LIQUIDE,   SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROC&#XE9;D&#XE9;S   GEORGES CLAUDE
11203662Polymer, organic   layer composition, and method of forming patternsSAMSUNG SDI CO., LTD.
11203703Polishing slurry and   method of polishing substrate by using the polishing slurrySAMSUNG DISPLAY CO.,   LTD.
11203731Composition for   surface treatment and method of producing the same, surface treatment method,   and method of producing semiconductor substrateFUJIMI INCORPORATED
11203816Electroplating seed   layer buildup and repairAPPLIED MATERIALS,   INC.
11204200Adaptive baking   method--
11204313Throughput-scalable   analytical system using transmembrane pore sensorsGENESENSE TECHNOLOGY   INC.
11204327Amorphous germanium   waveguides for spectroscopic sensing and data communication applicationsMASSACHUSETTS   INSTITUTE OF TECHNOLOGY
11204332Repeater defect   detectionKLA-TENCOR   CORPORATION
11204379Structures and   methods for RF de-embeddingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11204549Superstrate with an   offset mesa and methods of using the sameCANON KABUSHIKI   KAISHA
11205562Hybrid electron beam   and RF plasma system for controlled content of radicals and ionsTOKYO ELECTRON   LIMITED
11205570Method for   manufacturing single-grained nanowire and method for manufacturing   semiconductor device employing same single-grained nanowire--
11205571Mask forming methodTOKYO ELECTRON   LIMITED
11205572Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11205573Ge-containing Co-film   forming material, Ge-containing Co film and film forming method thereofL'AIR LIQUIDE,   SOCIET&#XE9; ANONYME POUR L'ETUDE ET L'EXPLOITATION DES   PROC&#XE9;D&#XE9;S GEORGES CLAUDE
11205574Method for forming a   semiconductor memory structure--
11205575Method for stripping   one or more layers from a semiconductor waferTEXAS INSTRUMENTS   INCORPORATED
11205576Monolayer film   mediated precision material etchTOKYO ELECTRON   LIMITED
11205577Method of selectively   etching silicon oxide film on substrateTOKYO ELECTRON   LIMITED
11205578Dopant anneal with   stabilization step for IC with matched devicesTEXAS INSTRUMENTS   INCORPORATED
11205579Molding wafer chamber--
11205580Method of   manufacturing molded chipDISCO CORPORATION
11205581Thermal reflector   device for semiconductor fabrication tool--
11205582Methods and   apparatuses for effluent monitoring for brush conditioningILLINOIS TOOL WORKS   INC.
11205583Substrate transport   vacuum platformPERSIMMON   TECHNOLOGIES CORPORATION
11205584Member for   semiconductor manufacturing apparatus and method for producing the sameNGK INSULATORS, LTD.
11205585Substrate processing   apparatus and method of operating the sameASM IP HOLDING B.V.
11205586Integrated circuits   with line breaks and line bridges within a single interconnect levelINTEL CORPORATION
11205587Liner and cap   structures for reducing local interconnect vertical resistance without   compromising reliabilityINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205588Interconnect   architecture with enhanced reliabilityINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205589Methods and   apparatuses for forming interconnection structuresAPPLIED MATERIALS,   INC.
11205590Self-aligned contacts   for MOLINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205591Top via interconnect   with self-aligned barrier layerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205592Self-aligned top via   structureINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205593Asymmetric fin   trimming for fins of FinFET deviceAPPLIED MATERIALS,   INC.
11205594Fin spacer protected   source and drain regions in FinFETs--
11205595Methods of   fabricating semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11205596Method of FinFET   contact formationSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11205597Semiconductor device   and method--
11205598Double sided   NMOS/PMOS structure and methods of forming the same--
11205599Evaluation method of   silicon epitaxial waferSHIN-ETSU HANDOTAI   CO., LTD.
11205600Integrated circuits   protected by substrates with cavities, and methods of manufactureINVENSAS CORPORATION
11205601Semiconductor package   and semiconductor apparatusSAMSUNG ELECTRONICS   CO., LTD.
11205602Semiconductor device   and manufacturing method thereofAMKOR TECHNOLOGY   SINGAPORE HOLDING PTE. LTD.
11205603Semiconductor package   and method manufacturing the same--
11205604Semiconductor package   including a thermal conductive layer and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11205605Semiconductor   structure with back gate and method of fabricating the same--
11205607Semiconductor   structure and method of manufacturing thereof--
11205609Semiconductor   structure with an air gap--
11205611Leadframe capacitorsTEXAS INSTRUMENTS   INCORPORATED
11205612Integrated circuit   package and method--
11205613Organic mold   interconnects in shielded interconnects frames for integrated-circuit   packagesINTEL CORPORATION
11205614Stack packagesSAMSUNG ELECTRONICS   CO., LTD.
11205615Semiconductor device   and method of manufacture--
11205617Interconnect   structure having a graphene layerSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11205618Graphene barrier   layer--
11205619Hybrid bonding using   dummy bonding contacts and dummy interconnectsYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11205621Device and method for   alignment of vertically stacked wafers and dieSTMICROELECTRONICS,   INC.
11205626Coreless organic   packages with embedded die and magnetic inductor structuresINTEL CORPORATION
11205627Semiconductor device   package including emitting devices and method of manufacturing the same--
11205628Semiconductor device   package and method of manufacturing the same--
11205629Package structure and   method of fabricating the same--
11205630Vias in composite IC   chip structuresINTEL CORPORATION
11205633Methods of bonding of   semiconductor elements to substrates, and related bonding systemsKULICKE AND SOFFA   INDUSTRIES, INC.
11205636Semiconductor package   and method of manufacturing the same--
11205637Semiconductor   packages having improved thermal discharge and electromagnetic shielding   characteristicsSAMSUNG ELECTRONICS   CO., LTD.
11205639Integrated circuit   device with stacked dies having mirrored circuitryXILINX, INC.
11205645Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11205647Semiconductor device   and method of manufacture--
11205648IC structure with   single active region having different doping profile than set of active   regionsGLOBALFOUNDRIES U.S.   INC.
11205649Integrated circuit   devices and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11205650Input/output   semiconductor devices--
11205652Semiconductor memory   device and method of fabricating the sameSAMSUNG ELECTRONICS   CO., LTD.
11205655Method for   manufacturing semiconductor device including fin-structured transistorRENESAS ELECTRONICS   CORPORATION
11205656Trench structures for   three-dimensional memory devicesYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11205657Semiconductor   constructionsMICRON TECHNOLOGY,   INC.
11205658Three-dimensional   memory device with corrosion-resistant composite spacerYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11205660Memory arrays and   methods used in forming a memory array comprising strings of memory cellsMICRON TECHNOLOGY,   INC.
11205661Three-dimensional   memory devices with enlarged joint critical dimension and methods for forming   the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11205662Methods for reducing   defects in semiconductor plug in three-dimensional memory deviceYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
11205663Vertical memory   devices and methods of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11205666Array substrate and   display panel--
11205669Semiconductor device   including photoelectric conversion elementSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11205670Alignment of multiple   image dice in packageTELEDYNE DIGITAL   IMAGING, INC.
11205680Non-volatile random   access memory (NVRAM)NXP USA, INC.
11205683Image sensorSAMSUNG ELECTRONICS   CO., LTD.
11205689Light emitting   display apparatusCANON KABUSHIKI   KAISHA
11205695Method of fabricating   a thick oxide feature on a semiconductor waferTEXAS INSTRUMENTS   INCORPORATED
11205697Shallow trench   isolating structure and semiconductor deviceFUJIAN JINHUA   INTEGRATED CIRCUIT CO., LTD.
11205698Multiple work   function nanosheet transistors with inner spacer modulationINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205700Air gap spacer and   related methods--
11205701Transistors with   sectioned extension regionsGLOBALFOUNDRIES U.S.   INC.
11205702Method for   manufacturing a structure for forming a tridimensional monolithic integrated   circuitSOITEC
11205703Semiconductor device   and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11205704Semiconductor device   and production method thereforMITSUBISHI ELECTRIC   CORPORATION
11205705Metal gate structure   and method of fabricating the same--
11205706Method of   manufacturing a semiconductor device and a semiconductor device--
11205707Optimizing gate   profile for performance and gate fillINTEL CORPORATION
11205708Dual self-aligned   gate endcap (SAGE) architecturesINTEL CORPORATION
11205709Defect filling in   patterned layer--
11205710Fabricating method of   semiconductor structure--
11205711Selective inner   spacer implementations--
11205712Methods of   manufacturing low-temperature polysilicon thin film and transistorHKC CORPORATION   LIMITED
11205713FinFET having a   non-faceted top surface portion for a source/drain region--
11205715Self-aligned nanowireINTEL CORPORATION
11205717Epitaxially   fabricated heterojunction bipolar transistorsINTEL CORPORATION
11205718High performance   super-beta NPN (SBNPN)TEXAS INSTRUMENTS   INCORPORATED
11205721Semiconductor device   with isolation layer and fabrication method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11205723Selective   source/drain recess for improved performance, isolation, and scalingINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205724Self-aligned gate   hard mask and method forming same--
11205726Thin film transistor   and manufacturing method thereof, gate driving circuit, display substrate and   display deviceHEFEI XINSHENG   OPTOELECTRONICS TECHNOLOGY CO., LTD.
11205728Vertical field effect   transistor with reduced parasitic capacitanceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11205729Semiconductor device   and method for manufacturing sameSHARP KABUSHIKI   KAISHA
11205768Method for   manufacturing of display deviceSAMSUNG DISPLAY CO.,   LTD.
11205974Piezoelectric driving   device, piezoelectric motor, robot, electronic component conveyance   apparatus, printer, and projectorSEIKO EPSON   CORPORATION
11205978Handling and   processing double-sided devices on fragile substratesAPPLIED MATERIALS,   INC.
11207715System and method for   monitoring treatment of microelectronic substrates with fluid sprays such as   cryogenic fluid spraysTEL MANUFACTURING AND   ENGINEERING OF AMERICA, INC.
11207717Substrate processing   apparatus, substrate processing method and computer-readable recording mediumTOKYO ELECTRON   LIMITED
11207757Composition for   polishing pad, polishing pad and preparation method of semiconductor deviceSKC SOLMICS CO., LTD.
11208119Guide module and   driving device having the sameSEJIN-IGB CO., LTD.
11208333Synthesis of   vertically aligned metal oxide nanostructuresKING ABDULLAH   UNIVERSITY OF SCIENCE AND TECHNOLOGY
11208540Method of making   cured partsSOLVAY SPECIALTY   POLYMERS ITALY S.P.A.
11208718Epitaxial growth   device, production method for epitaxial wafer, and lift pin for epitaxial   growth deviceSUMCO CORPORATION
11208719SiC composite   substrate and method for manufacturing sameSHIN-ETSU CHEMICAL   CO., LTD.
11208721Substrate processing   apparatusTOKYO ELECTRON   LIMITED
11208725Substrate processing   apparatusTOKYO ELECTRON   LIMITED
11209398High quality factor   embedded resonator wafersAPPLIED MATERIALS,   INC.
11209478Pulse system   verificationAPPLIED MATERIALS,   INC.
11209479Stressing integrated   circuits using a radiation sourceINTERNATIONAL   BUSINESS MACHINES CORPORATION
11209485Low power active load--
11209497Method and system for   thermal control of devices in an electronics testerAEHR TEST SYSTEMS
11209635Magnification   compensation and/or beam steering in optical systemsSUSS MICROTEC   PHOTONIC SYSTEMS INC.
11209702Array substrate,   display panel and display deviceBOE TECHNOLOGY GROUP   CO., LTD.
11209729Vacuum-integrated   hardmask processes and apparatusLAM RESEARCH   CORPORATION
11209731Imprint device and   method for manufacturing articleCANON KABUSHIKI   KAISHA
11209735Composition for   forming metal-containing film, method of producing composition for forming   metal-containing film, semiconductor device, and method of producing   semiconductor deviceMITSUI CHEMICALS,   INC.
11209739Method and apparatus   for aligning two optical subsystemsEV GROUP E. THALLNER   GMBH
11210447Reconfiguring layout   and sizing for transistor components to simultaneously optimize logic devices   and non-logic devices--
11211229Processing method and   plasma processing apparatusTOKYO ELECTRON   LIMITED
11211232Methods for cleaning   semiconductor device manufacturing apparatus--
11211241Substrate processing   method and substrate processing apparatusSCREEN HOLDINGS CO.,   LTD.
11211242System and method for   cleaning contact elements and support hardware using functionalized surface   microfeaturesINTERNATIONAL TEST   SOLUTIONS, LLC
11211243Method of filling   gaps with carbon and nitrogen doped film--
11211244Ultraviolet radiation   activated atomic layer deposition--
11211245III-N multichip   modules and methods of fabricationINTEL CORPORATION
11211246Method and   composition for selectively modifying base material surfaceJSR CORPORATION
11211247Water soluble   organic-inorganic hybrid mask formulations and their applicationsAPPLIED MATERIALS,   INC.
11211248SiC electronic device   fabricated by Al/Be co-implantationABB POWER GRIDS   SWITZERLAND AG
11211249Herbal extracts and   flavor systems for oral products and methods of making the sameSENSIENT FLAVORS LLC
11211250Laminated element   manufacturing methodHAMAMATSU PHOTONICS   K.K.
11211252Systems and methods   for copper (I) suppression in electrochemical depositionAPPLIED MATERIALS,   INC.
11211253Atomic layer   deposition and etch in a single plasma chamber for critical dimension controlLAM RESEARCH   CORPORTATION
11211254Process for   integrated circuit fabrication using a buffer layer as a stop for chemical   mechanical polishing of a coupled dielectric oxide layerSTMICROELECTRONICS   PTE LTD
11211255Semiconductor   structureSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11211256Method with CMP for   metal ion prevention--
11211257Semiconductor device   fabrication with removal of accumulation of material from sidewall--
11211258Method of addressing   dissimilar etch ratesINVENSENSE, INC.
11211259Structure and method   for embedded gettering in a silicon on insulator wafer--
11211260Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11211261Package structures   and methods for forming the same--
11211262Electronic apparatus   having inter-chip stiffenerINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211263Structure for arrayed   partial molding of packagesQUALCOMM INCORPORATED
11211264Substrate processing   apparatus and substrate processing methodSCREEN HOLDINGS CO.,   LTD.
11211265Heat treatment   apparatus and heat treatment methodTOKYO ELECTRON   LIMITED
11211266Universal load port   for ultraviolet radiation semiconductor wafer processing machineTEXAS INSTRUMENTS   INCORPORATED
11211267Substrate processing   apparatus and substrate processing methodTOSHIBA MEMORY   CORPORATION
11211268Deadlock   determination method and semiconductor apparatusBEIJING NAURA   MICROELECTRONICS EQUIPMENT CO., LTD.
11211269Multi-object capable   loadlock systemAPPLIED MATERIALS,   INC.
11211270Pattern inspection   method and pattern inspection apparatusNUFLARE TECHNOLOGY,   INC.
11211271Systems and methods   for semiconductor structure sample preparation and analysis--
11211272Contaminant detection   tools and related methodsMICRON TECHNOLOGY,   INC.
11211273Carrier substrate and   packaging method using the sameSAMSUNG ELECTRONICS   CO., LTD.
11211274Latching mechanism   for a substrate containerENTEGRIS, INC.
11211275Substrate storage   containerSHIN-ETSU POLYMER   CO., LTD.
11211276Anti-ejection   apparatus for wafer unitsDISCO CORPORATION
11211277Substrate processing   apparatusKOKUSAI ELECTRIC   CORPORATION
11211278Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
11211279Method for processing   a 3D integrated circuit and structureMONOLITHIC 3D INC.
11211280Substrate support and   substrate processing apparatusKOKUSAI ELECTRIC   CORPORATION
11211281Substrate processing   apparatus and substrate processing methodTOKYO ELECTRON   LIMITED
11211282Apparatus to reduce   contamination in a plasma etching chamberAPPLIED MATERIALS,   INC.
11211283Method for forming a   bulk semiconductor substrate configured to exhibit soi behavior--
11211284Semiconductor device   including trench isolation layer and method of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
11211285Method of producing   bonded wafer and bonded waferSUMCO CORPORATION
11211286Airgap formation   processesAPPLIED MATERIALS,   INC.
11211287Semiconductor device   and method for fabricating the same--
11211288Semiconductor deviceTOKYO ELECTRON   LIMITED
11211289Metal loss prevention   using implantation--
11211290MIM capacitor with   adjustable capacitance via electronic fusesQUALCOMM INCORPORATED
11211291Via formation with   robust hardmask removalINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211292Assemblies containing   PMOS decks vertically-integrated with NMOS decks, and methods of forming   integrated assembliesMICRON TECHNOLOGY,   INC.
11211293FinFET device and   methods of forming the same--
11211294Semiconductor device   and method of manufacturing the sameSAMSUNG ELECTRONICS   CO., LTD.
11211295FinFET doping methods   and structures thereof--
11211296Comparing method and   laser processing apparatusDISCO CORPORATION
11211297Method for testing   bridging in adjacent semiconductor devices and test structure--
11211298System and method for   a transducer in an EWLB packageINFINEON TECHNOLOGIES   AG
11211299Wiring structure   having at least one sub-unit--
11211300Electronic component   and camera moduleSONY SEMICONDUCTOR   SOLUTIONS CORPORATION
11211301Semiconductor device   and method of manufacture--
11211304Assembly and method   for mounting an electronic component to a substrateINFINEON TECHNOLOGIES   AUSTRIA AG
11211314Interposer for   electrically connecting stacked integrated circuit device packagesINTEL CORPORATION
11211316Wiring structure and   method for manufacturing the same--
11211317Component carrier   comprising a component having vertical through connectionAT&S AUSTRIA   TECHNOLOGIE &#X26; SYSTEMTECHNIK AKTIENGESELLSCHAFT
11211318Bump layout for   coplanarity improvement--
11211321Package structure and   manufacturing method thereof--
11211323Method of fabricating   field effect transistor having non-orthogonal gate electrode--
11211324Via contact   patterning method to increase edge placement error marginINTEL CORPORATION
11211325Semiconductor device   package and method for manufacturing the same--
11211326Wiring substrate and   manufacturing method thereofSHINKO ELECTRIC   INDUSTRIES CO., LTD.
11211327Via sizing for IR   drop reduction--
11211330Standard cell layout   architectures and drawing styles for 5nm and beyondADVANCED MICRO   DEVICES, INC.
11211331Semiconductor   structure having a via and methods of manufacturing the same--
11211332Molded die last chip   combinationADVANCED MICRO   DEVICES, INC.
11211336Integrated fan-out   package and method for fabricating the same--
11211337Face-up fan-out   electronic package with passive components using a supportINTEL CORPORATION
11211338Apparatus for   stacking substrates and method for the sameNIKON CORPORATION
11211339Semiconductor device--
11211341Package structure and   method of fabrcating the same--
11211342Multiplexer cell and   semiconductor device having camouflage design, and method for forming   multiplexer cell--
11211343Fan-out antenna   packaging structure and packaging methodSJ SEMICONDUCTOR   (JIANGYIN) CORPORATION
11211345In-package RF   waveguides as high bandwidth chip-to-chip interconnects and methods for using   the sameINTEL CORPORATION
11211346Semiconductor device   and method of manufacture--
11211348First wafer,   fabricating method thereof and wafer stackWUHAN XINXIN   SEMICONDUCTOR MANUFACTURING CO., LTD.
11211349Semiconductor device   including a plurality of bonding padsRENESAS ELECTRONICS   CORPORATION
11211350Semiconductor package   and manufacturing method thereof--
11211351Apparatuses including   redistribution layers and related microelectronic devicesMICRON TECHNOLOGY,   INC.
11211352Bump structure to   prevent metal redeposit and to prevent bond pad consumption and corrosion--
11211355Semiconductor device,   method for manufacturing semiconductor device, and power conversion deviceMITSUBISHI ELECTRIC   CORPORATION
11211356Power semiconductor   package and method for fabricating a power semiconductor packageINFINEON TECHNOLOGIES   AG
11211358Packaged   semiconductor devices and packaging methods--
11211359Semiconductor device   and method of forming modular 3D semiconductor package with horizontal and   vertical oriented substratesSEMICONDUCTOR   COMPONENTS INDUSTRIES, LLC
11211360Passive device   module, semiconductor package including the same, and manufacturing method   thereof--
11211361Semiconductor device   and method for manufacturing the sameKIOXIA CORPORATION
112113623D trench capacitor   for integrated passive devices--
11211363Semiconductor device   having through silicon vias and manufacturing method thereofLONGITUDE LICENSING   LIMITED
11211364Semiconductor device   assemblies and systems with improved thermal performance and methods for   making the sameMICRON TECHNOLOGY,   INC.
11211366Method for   manufacturing display device and substrate for manufacturing display deviceLG ELECTRONICS INC.
11211368Semiconductor deviceROHM CO., LTD.
11211371Integrated circuit   package and method--
11211372Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11211375Integrated circuit   deviceSAMSUNG ELECTRONICS   CO., LTD.
11211379Fabrication of field   effect transistors with different threshold voltages through modified channel   interfacesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211380Semiconductor   structure and manufacturing method thereof--
11211381Semiconductor device   structure and method for forming the same--
11211382Methods and   apparatuses including a boundary of a well beneath an active area of a tapMICRON TECHNOLOGY,   INC.
11211383Semiconductor device   and manufacturing method thereof--
11211385Semiconductor device   and manufacturing method thereof--
11211387Fin-based strap cell   structure for improving memory performance--
11211388Array boundfary   structure to reduce dishing--
11211389Memory deviceSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11211390Staircase patterning   for 3D NAND devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211394Three-dimensional   memory device with source structure and methods for forming the sameYANGTZE MEMORY   TECHNOLOGIES CO., LTD.
112113953D memory array   having select lines--
11211398Method for in situ   preparation of antimony-doped silicon and silicon germanium filmsSUNRISE MEMORY   CORPORATION
11211399Electronic apparatus   with an oxide-only tunneling structure by a select gate tier, and related   methodsMICRON TECHNOLOGY,   INC.
11211401Memory device and   method for fabricating the same--
11211406Semiconductor device   and method for controlling semiconductor deviceRENESAS ELECTRONICS   CORPORATION
11211408Wiring layer and   manufacturing method thereforSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11211423Method of producing   semiconductor epitaxial wafer, semiconductor epitaxial wafer, and method of   producing solid-state image sensorSUMCO CORPORATION
11211428Integrated circuit   including transistors having a common baseSTMICROELECTRONICS   (ROUSSET) SAS
11211447Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11211448Capacitor structure   with MIM layer over metal pillarsGLOBALFOUNDRIES U.S.   INC.
11211450Integrated circuit   device and method of forming the sameSAMSUNG ELECTRONICS   CO., LTD.
11211452Transistor having   stacked source/drain regions with formation assistance regions and   multi-region wrap-around source/drain contactsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211454Semiconductor devices   including source/drain regions having antimony doped layerSAMSUNG ELECTRONICS   CO., LTD.
11211455Formation of   dislocations in source and drain regions of FinFET devices--
11211459Semiconductor device   and method of manufacturing a semiconductor deviceINFINEON TECHNOLOGIES   AG
112114602D crystal   hetero-structures and manufacturing methods thereof--
11211462Using selectively   formed cap layers to form self-aligned contacts to source/drain regionsINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211465Semiconductor device   having gate dielectric and inhibitor film over gate dielectric--
11211466Semiconductor device   having an air gap and method for fabricating the sameSK HYNIX INC.
11211467Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11211468Silicon carbide   device with trench gate structure and method of manufacturingINFINEON TECHNOLOGIES   AG
11211469Third generation   flash memory structure with self-aligned contact and methods for forming the   same--
11211470Semiconductor device   and method--
11211472Semiconductor device   and method of forming the same--
11211473Epitaxial fin   structures having an epitaxial buffer region and an epitaxial capping region--
11211474Gate oxide for   nanosheet transistor devicesINTERNATIONAL   BUSINESS MACHINES CORPORATION
11211475Semiconductor device   and formation method thereofSEMICONDUCTOR   MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
11211476FinFET device and   method of forming same--
11211477FinFETs having   epitaxial capping layer on fin and methods for forming the same--
11211478Semiconductor   structure and method for forming sameSEMICONDUCTOR   MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION
11211479Method of fabricating   trimmed fin and fin structure--
11211480Heterojunction   bipolar transistor--
11211483Method for forming an   insulation layer in a semiconductor body and transistor deviceINFINEON TECHNOLOGIES   AUSTRIA AG
11211486Power MOS device with   low gate charge and a method for manufacturing the sameUNIVERSITY OF   ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA
11211488Method for producing   a pillar-shaped semiconductor deviceUNISANTIS ELECTRONICS   SINGAPORE PTE. LTD.
11211491Semiconductor memory   structure having drain stressor, source stressor and buried gate and method   of manufacturing the same--
11211493Apparatus and method   of modulating threshold voltage for fin field effect transistor (FinFET) and   nanosheet FETSAMSUNG ELECTRONICS   CO., LTD.
11211495Semiconductor devicesSAMSUNG ELECTRONICS   CO., LTD.
11211496FinFET device and   method of forming--
11211497Semiconductor deviceSAMSUNG ELECTRONICS   CO., LTD.
11211498FinFETs with   wrap-around silicide and method forming the same--
11211500Semiconductor device   and method for manufacturing semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11211501Semiconductor deviceSEMICONDUCTOR ENERGY   LABORATORY CO., LTD.
11211503Memory arraysMICRON TECHNOLOGY,   INC.
11211516Stack-like III-V   semiconductor product and production methodAZUR SPACE SOLAR   POWER GMBH
11211517Pressurized heated   rolling press for manufacture and method of useUTICA LEASECO, LLC
11211521Method of   manufacturing light-emitting device including phosphor piecesNICHIA CORPORATION
11211522Method of selectively   transferring semiconductor device--
11211529Semiconductor   light-emitting element and method for manufacturing sameTOSHIBA MATERIALS   CO., LTD.
11211535Method for   fabricating micro light-emitting diode display--
11211551Current sensor   package with continuous insulationINFINEON TECHNOLOGIES   AG
11211687Method of fabricating   a semiconductor structure with an antenna moduleSJ SEMICONDUCTOR   (JIANGYIN) CORPORATION
11212914Circuit board and   display deviceBEIJING BOE   OPTOELECTRONICS TECHNOLOGY CO., LTD.

 

 

 




https://blog.sciencenet.cn/blog-681765-1358366.html

上一篇:2021年美国局电气元件和结构部件领域的专利竞争态势——三星电子、三星电机、村田制造公司领先,国内华为技术公司领先
下一篇:2021年美国局半导体零配件领域的专利竞争态势——台湾积体电路制造公司、三星电子公司、国际商业机器公司领先
收藏 IP: 61.158.148.*| 热度|

0

该博文允许注册用户评论 请点击登录 评论 (0 个评论)

数据加载中...
扫一扫,分享此博文

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-9-27 12:21

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部