|
█武汉大学科教管理与评价研究中心 陈立新 张琳 黄颖
第38个技术领域是半导体制造,包括半导体及其部件的制造方法和设备。2021年,美国专利商标局在该领域共授权专利10212项(增长率为-16%),占总授权量的3.1%,是专利数量第36多的领域。
2021年,美国在该领域获得专利权3220项,占该领域专利授权总量的27%。中国在该领域做出专利发明569项,获得专利权613项,流失专利发明-44项。日本和韩国获得的专利权数量分别为2157和884项。
表17.38-1 2021年各国半导体制造领域的在美专利发明和专利权数量
国家 和地区 | 发明 数量 | 专利权 数量 | 净流失 数量 | 专利 流失率 | 发明 份额 | 专利权 份额 | 份额 流失量 | |
1 | 美国 | 3198 | 3220 | -22 | -0.7% | 31.3% | 31.5% | -0.2% |
2 | 日本 | 2164 | 2157 | 7 | 0.3% | 21.2% | 21.1% | 0.1% |
3 | 韩国 | 919 | 884 | 35 | 3.8% | 9.0% | 8.7% | 0.3% |
4 | 中国 | 569 | 613 | -44 | -7.7% | 5.6% | 6.0% | -0.4% |
5 | 德国 | 248 | 255 | -7 | -2.8% | 2.4% | 2.5% | -0.1% |
6 | 法国 | 122 | 121 | 1 | 0.8% | 1.2% | 1.2% | 0.0% |
7 | 加拿大 | 22 | 27 | -5 | -22.7% | 0.2% | 0.3% | 0.0% |
8 | 英国 | 39 | 40 | -1 | -2.6% | 0.4% | 0.4% | 0.0% |
9 | 瑞士 | 17 | 13 | 4 | 23.5% | 0.2% | 0.1% | 0.0% |
10 | 荷兰 | 45 | 122 | -77 | -171.1% | 0.4% | 1.2% | -0.8% |
11 | 瑞典 | 7 | 8 | -1 | -14.3% | 0.1% | 0.1% | 0.0% |
12 | 以色列 | 59 | 23 | 36 | 61.0% | 0.6% | 0.2% | 0.4% |
13 | 意大利 | 34 | 24 | 10 | 29.4% | 0.3% | 0.2% | 0.1% |
14 | 印度 | 28 | 0 | 28 | 100.0% | 0.3% | 0.0% | 0.3% |
15 | 其他 | 2741 | 2705 | 36 | 1.3% | 26.8% | 26.5% | 0.4% |
小计 | 10212 | 10212 | 0 | 0% | 100% | 100% | 0% |
注:本表分别按照专利第一发明人和第一权利人进行统计(中国的数据暂未包含香港、澳门、台湾地区的专利)。
图17.38-1 2021年各国半导体制造领域的在美专利发明和专利权数量对比
2021年,在半导体制造领域上获得美国专利授权最多的机构是台湾积体电路制造公司、国际商业机器公司、三星电子公司。中国专利最多的机构是台湾积体电路制造公司,获得1730项专利。
表17.38-2 2021年半导体制造领域在美专利授权前10机构
机构名称 | 国家 | 机构英文名称 | 2021 | 2020 | |
1 | 台湾积体电路制造公司 | 中国 | TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. | 1730 | 1798 |
2 | 国际商业机器公司 | 美国 | INTERNATIONAL BUSINESS MACHINES CORPORATION | 714 | 911 |
3 | 三星电子公司 | 韩国 | SAMSUNG ELECTRONICS CO., LTD. | 530 | 571 |
4 | 应用材料公司 | 美国 | APPLIED MATERIALS, INC. | 406 | 401 |
5 | 东京电子株式会社 | 日本 | TOKYO ELECTRON LIMITED | 361 | 363 |
6 | 英特尔公司 | 美国 | INTEL CORPORATION | 277 | 306 |
7 | 美光科技公司 | 美国 | MICRON TECHNOLOGY, INC. | 274 | 261 |
8 | 株式会社迪思科 | 日本 | DISCO CORPORATION | 123 | 109 |
9 | 英飞凌科技公司 | 德国 | INFINEON TECHNOLOGIES AG | 122 | 136 |
9 | 德州仪器公司 | 美国 | TEXAS INSTRUMENTS INCORPORATED | 121 | 172 |
注:本表数据按照第一权利人进行统计。
图17.38-2 2021年半导体制造领域在美专利授权前10机构
感谢大连理工大学刘则渊教授、河南师范大学梁立明教授、科技部中国科学技术发展战略研究院武夷山研究员、大连理工大学丁堃教授、大连理工大学杨中楷教授对本报告的大力支持与帮助。同时,向以不同形式对本报告提出意见和建议的专家学者们表示诚挚的感谢。
如需要中美欧日韩五局及PCT专利数据、专利报告,以及咨询相关专利问题请添加微信号。
附表 2021年该领域美国授权专利
PATENT NO. | TITLE | ASSIGNEE |
10882080 | Substrate processing apparatus and method of processing substrate | SCREEN HOLDINGS CO., LTD. |
10882082 | Freeze cleaning apparatus | TOSHIBA MEMORY CORPORATION |
10882130 | Ceramic-aluminum assembly with bonding trenches | WATLOW ELECTRIC MANUFACTURING COMPANY |
10882141 | Substrate suction stage, substrate treatment apparatus, and substrate treatment method | MITSUBISHI ELECTRIC CORPORATION |
10882194 | Robot linear drive heat transfer | PERSIMMON TECHNOLOGIES CORPORATION |
10882221 | Peeling method of flexible substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10882228 | Mold for insert molding | DENSO CORPORATION |
10882258 | Microchip affixing probe and method of use | PHARMASEQ, INC. |
10882318 | Method of manufacturing semiconductor substrate and method of manufacturing substrate for liquid ejection head | CANON KABUSHIKI KAISHA |
10882697 | Storage apparatus and storage method | MURATA MACHINERY, LTD. |
10882736 | Semiconductor structures provided within a cavity and related design structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10882737 | Through silicon interposer wafer and method of manufacturing the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
10882739 | Formation of antireflective surfaces | BROOKHAVEN SCIENCE ASSOCIATES, LLC. |
10882740 | Wafer-level package with enhanced performance and manufacturing method thereof | QORVO US, INC. |
10882757 | Anhydrous nickel chloride and method for producing the same | JX NIPPON MINING & METALS CORPORATION |
10882778 | Glass substrate, laminated substrate, laminate, and method for producing semiconductor package | AGC INC. |
10882874 | Vanadium compound | ADEKA CORPORATION |
10883168 | Processing system for small substrates | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10883172 | Method of manufacturing lithography template | KOKUSAI ELECTRIC CORPORATION |
10883173 | Gas storage cylinder, deposition system, and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10883176 | Method for directly synthesizing graphene on surface of target object and device including graphene prepared using the method | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10883185 | Copper electrodeposition solution and process for high aspect ratio patterns | AVENI |
10883191 | Method for producing III-N templates and the reprocessing thereof and III-N template | FREIBERGER COMPOUND MATERIALS GMBH |
10883194 | Diamond components for quantum imaging, sensing and information processing devices | ELEMENT SIX TECHNOLOGIES LIMITED |
10883700 | Lens, light emitting device and method of manufacturing the lens and the light emitting device | NICHIA CORPORATION |
10883770 | Loop type heat pipe | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10883820 | Apparatus and method for metrology | -- |
10883824 | Pattern light emitting device capable of having plurality of different pattern light sources installed thereon and inspection device | KOH YOUNG TECHNOLOGY INC. |
10883932 | Advanced in-situ particle detection system for semiconductor substrate processing systems | APPLIED MATERIALS, INC. |
10883950 | Multi-parallel sensor array system | WATLOW ELECTRIC MANUFACTURING COMPANY |
10883953 | Semiconductor device for sensing impedance changes in a medium | TEXAS INSTRUMENTS INCORPORATED |
10884051 | Line detecting tool and line detecting method for light emitting diode substrate | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10884078 | Ferromagnetic multilayer film, magnetoresistance effect element, and method for manufacturing ferromagnetic multilayer film | TDK CORPORATION |
10884336 | Method for fabricating semiconductor device, pattern writing apparatus, recording medium recording program, and pattern transfer apparatus | NUFLARE TECHNOLOGY, INC. |
10884337 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
10884338 | Chemical liquid, chemical liquid storage body, manufacturing method of chemical liquid, and manufacturing method of chemical liquid storage body | FUJIFILM CORPORATION |
10884400 | Matching process controllers for improved matching of process | APPLIED MATERIALS, INC. |
10885244 | Test pattern, test method for semiconductor device, and computer-implemented method for designing integrated circuit layout | SAMSUNG ELECTRONICS CO., LTD. |
10885820 | Pixel inspection method, pixel inspection device, and display device | SHARP KABUSHIKI KAISHA |
10885978 | Nonvolatile nanotube switches with reduced switching voltages and currents | NANTERO, INC. |
10886097 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10886106 | Plasma processing apparatus and method for venting a processing chamber to atmosphere | HITACHI HIGH-TECH CORPORATION |
10886109 | Stage and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10886113 | Process kit and method for processing a substrate | APPLIED MATERIALS, INC. |
10886119 | Aromatic underlayer | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10886120 | Hydrogen ventilation of CMOS wafers | TEXAS INSTRUMENTS INCORPORATED |
10886121 | Methods of reducing silicon consumption, methods of forming a semiconductor structure, and methods of forming isolation structures | MICRON TECHNOLOGY, INC. |
10886122 | Methods for conformal treatment of dielectric films with low thermal budget | APPLIED MATERIALS, INC. |
10886123 | Methods for forming low temperature semiconductor layers and related semiconductor device structures | ASM IP HOLDING B.V. |
10886124 | Multi-state device based on ion trapping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886125 | Method for manufacturing a nano-wire array and a device that comprises a nano-wire array | TECHNION RESEARCH AND DEVELOPMENT FOUNDATION LTD. |
10886126 | Uniform multilayer graphene by chemical vapor deposition | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10886127 | Method of producing wafer and apparatus for producing wafer | DISCO CORPORATION |
10886128 | Method and apparatus for manufacturing vapor deposition mask | -- |
10886129 | Method for manufacturing semiconductor device and method for evaluating semiconductor device | SHIN-ETSU HANDOTAI CO., LTD. |
10886130 | Methods of forming crystalline semiconductor material, and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10886131 | Display device manufacturing method and display device manufacturing apparatus | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886132 | Manufacturing method of high-dielectric-constant gate insulating film of semiconductor device | SCREEN HOLDINGS CO., LTD. |
10886133 | Substrate processing method and substrate processing device | SCREEN HOLDINGS CO., LTD. |
10886134 | Polishing method | SHIN-ETSU HANDOTAI CO., LTD. |
10886135 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10886136 | Method for processing substrates | TOKYO ELECTRON LIMITED |
10886137 | Selective nitride removal | APPLIED MATERIALS, INC. |
10886138 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10886139 | Method of etching one or more of mixed metal and dielectric layers of a semiconductor device | TESCAN BRNO, S.R.O. |
10886140 | 3D NAND etch | APPLIED MATERIALS, INC. |
10886141 | Method of depositing tungsten | WONIK IPS CO., LTD. |
10886142 | Annealing method, process chamber and annealing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10886143 | Semiconductor device, manufacturing method thereof, display device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886144 | Method for doping layer, thin film transistor and method for fabricating the same | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10886145 | Production of a multi-chip component | OSRAM OLED GMBH |
10886146 | Copper foil with carrier, production method for same, production method for coreless support with wiring layer, and production method for printed circuit board | MITSUI MINING & SMELTING CO., LTD. |
10886147 | Package structure and method for forming the same | -- |
10886149 | Semiconductor device package and method of manufacturing the same | -- |
10886150 | Positioning apparatus | WEBER MACHINENBAU GMBH BREIDENBACH |
10886151 | Heating apparatus and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10886152 | Method and system for dual stretching of wafers for isolated segmented chip scale packages | LUMILEDS LLC |
10886153 | Display including an LED element having a pressure sensitive adhesive (PSA) for micro pick and bond assembly of the display | INTEL CORPORATION |
10886154 | Electrostatic chuck and substrate fixing device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10886155 | Optical stack deposition and on-board metrology | APPLIED MATERIALS, INC. |
10886156 | Accomodating device for retaining wafers | EV GROUP E. THALLNER GMBH |
10886157 | Wafer holding unit | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10886158 | Method for transferring structures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886159 | Method of processing wafer | DISCO CORPORATION |
10886160 | Sinker to buried layer connection region for narrow deep trenches | TEXAS INSTRUMENTS INCORPORATED |
10886161 | Semiconductor device using inter-diffusion and method for manufacturing the same | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10886162 | Semiconductor-on-insulator substrate for RF applications | SOITEC |
10886163 | Method for manufacturing bonded wafer | SHIN-ETSU HANDOTAI CO., LTD. |
10886164 | Isolated semiconductor layer over buried isolation layer | TEXAS INSTRUMENTS INCORPORATED |
10886165 | Method of forming negatively sloped isolation structures | -- |
10886166 | Dielectric surface modification in sub-40nm pitch interconnect patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886167 | Semiconductor device for improving device characteristics | SAMSUNG ELECTRONICS CO., LTD. |
10886168 | Surface modified dielectric refill structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886169 | Airgap formation in BEOL interconnect structure using sidewall image transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886170 | Method of forming tungsten film | TOKYO ELECTRON LIMITED |
10886171 | Rlink-on-die interconnect features to enable signaling | INTEL CORPORATION |
10886172 | Methods for wordline separation in 3D-NAND devices | APPLIED MATERIALS, INC. |
10886173 | Platform and method of operating for integrated end-to-end fully self-aligned interconnect process | TOKYO ELECTRON LIMITED |
10886174 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886175 | Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom | INTEL CORPORATION |
10886176 | Self-aligned interconnect patterning for back-end-of-line (BEOL) structures including self-aligned via through the underlying interlevel metal layer | TOKYO ELECTRON LIMITED |
10886177 | 3D chip with shared clock distribution network | XCELSIS CORPORATION |
10886178 | Device with highly active acceptor doping and method of production thereof | GLOBALFOUNDRIES INC. |
10886179 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886180 | Semiconductor device with fin end spacer and method of manufacturing the same | -- |
10886181 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10886182 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
10886183 | Method and structure for forming a vertical field-effect transistor using a replacement metal gate process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886184 | Test condition determining apparatus and test condition determining method | MITSUBISHI ELECTRIC CORPORATION |
10886185 | Electrical component testing in stacked semiconductor arrangement | -- |
10886189 | Semiconductor die with improved ruggedness | CREE, INC. |
10886193 | Thermal interface material | PARKER-HANNIFIN CORPORATION |
10886195 | Systems and methods for improved through-silicon-vias | INTEL CORPORATION |
10886196 | Semiconductor devices having conductive vias and methods of forming the same | MICRON TECHNOLOGY, INC. |
10886197 | Controlling via critical dimension with a titanium nitride hard mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886199 | Molded semiconductor package with double-sided cooling | INFINEON TECHNOLOGIES AG |
10886200 | Power module and manufacturing method thereof | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
10886201 | Power device having a substrate with metal layers exposed at surfaces of an insulation layer and manufacturing method thereof | -- |
10886204 | Semiconductor device with lead terminals having portions thereof extending obliquely | ROHM CO., LTD. |
10886205 | Terminal structure and semiconductor module | FUJI ELECTRIC CO., LTD. |
10886208 | Semiconductor device package, electronic assembly and method for manufacturing the same | -- |
10886209 | Multiple-layer, self-equalizing interconnects in package substrates | INTEL CORPORATION |
10886210 | Cover for an electronic device and method of fabrication | STMICROELECTRONICS (GRENOBLE 2) SAS |
10886214 | Semiconductor device and method for manufacturing same | MICRON TECHNOLOGY, INC. |
10886215 | Interconnect structure and related methods | IMEC VZW |
10886216 | Electric fuse structure for optimizing programming current window of the electric fuse structure and manufacturing method | SHANGHAI HUALI INTEGRATED CIRCUIT MFG. CO., LTD. |
10886217 | Integrated circuit device with back-side interconnection to deep source/drain semiconductor | INTEL CORPORATION |
10886222 | Via contact, memory device, and method of forming semiconductor structure | -- |
10886223 | Semiconductor package | -- |
10886225 | BEOL alternative metal interconnects: integration and process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886226 | Conductive contact having staircase barrier layers | -- |
10886227 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10886228 | Improving size and efficiency of dies | INTEL CORPORATION |
10886231 | Method of forming RDLS and structure formed thereof | -- |
10886232 | Package structure and fabrication methods | APPLIED MATERIALS, INC. |
10886233 | Semiconductor device package and method of manufacturing the same | -- |
10886234 | Semiconductor device and semiconductor package comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
10886235 | Integrated shield package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10886238 | Supporting InFO packages to reduce warpage | -- |
10886239 | Customisation of an integrated circuit during the realisation thereof | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10886241 | Semiconductor package structure | -- |
10886242 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10886243 | Fan-out antenna packaging structure and preparation thereof | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10886244 | Collars for under-bump metal structures and associated systems and methods | MICRON TECHNOLOGY, INC. |
10886245 | Semiconductor structure, 3DIC structure and method of fabricating the same | -- |
10886248 | Laser bonding apparatus, method of bonding semiconductor devices, and method of manufacturing semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886249 | Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization | AMS INTERNATIONAL AG |
10886252 | Method of bonding semiconductor substrates | IMEC VZW |
10886253 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10886254 | Flip-chip electronic device with carrier having heat dissipation elements free of solder mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886255 | Die stack structure, semiconductor package having the same and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10886256 | Methods and systems for wafer bonding alignment compensation | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886263 | Stacked semiconductor package assemblies including double sided redistribution layers | -- |
10886265 | Integrated circuit device with a two-dimensional semiconductor material and a dielectric material that includes fixed charges | INTEL CORPORATION |
10886266 | Integration of vertical GaN varactor with HEMT | QUALCOMM INCORPORATED |
10886267 | Reference voltage generation device | ABLIC INC. |
10886268 | Method of manufacturing a semiconductor device with separated merged source/drain structure | -- |
10886269 | Semiconductor device and manufacturing method thereof | -- |
10886270 | Manufacturing method of semiconductor device | -- |
10886271 | Fabrication of fin field effect transistors for complementary metal oxide semiconductor devices including separate n-type and p-type source/drains using a single spacer deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886272 | Techniques for forming dual-strain fins for co-integrated n-MOS and p-MOS devices | INTEL CORPORATION |
10886273 | Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors | MICRON TECHNOLOGY, INC. |
10886275 | Nanosheet one transistor dynamic random access device with silicon/silicon germanium channel and common gate structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886277 | Methods of manufacturing devices including a buried gate cell and a bit line structure including a thermal oxide buffer pattern | SAMSUNG ELECTRONICS CO., LTD. |
10886278 | Method of forming an array of capacitors, a method of forming DRAM circuitry, and a method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10886280 | Semiconductor device having a gate and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10886284 | Anti-fuse with reduced programming voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886285 | Memory circuitry and methods of forming memory circuitry | MICRON TECHNOLOGY, INC. |
10886287 | Multiple-time programmable (MTP) memory device with a wrap-around control gate | GLOBALFOUNDRIES INC. |
10886288 | Vertical semiconductor memory device structures including vertical channel structures and vertical dummy structures | SAMSUNG ELECTRONICS CO., LTD. |
10886291 | Joint opening structures of three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886292 | Semiconductor device, semiconductor wafer, memory device, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886293 | Semiconductor device and method of fabricating the same | TOSHIBA MEMORY CORPORATION |
10886294 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10886298 | Method of forming a memory device | -- |
10886301 | Test circuit, array substrate, display panel, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10886306 | Display device with overlapping lines spaced apart from an insulating layer | SAMSUNG DISPLAY CO., LTD. |
10886311 | Photo-detecting apparatus | ARTILUX, INC. |
10886315 | Photosensitive assembly and formation methods thereof, lens module, and electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10886341 | Display panel and method for preparing the same, display panel motherboard and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10886355 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
10886361 | Semiconductor devices including resistor structures | SAMSUNG ELECTRONICS CO., LTD. |
10886362 | Multilayer dielectric for metal-insulator-metal capacitor (MIMCAP) capacitance and leakage improvement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886364 | Vertical memory cell with mechanical structural reinforcement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886366 | Semiconductor structures for peripheral circuitry having hydrogen diffusion barriers and method of making the same | SANDISK TECHNOLOGIES LLC |
10886367 | Forming FinFET with reduced variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886368 | I/O device scheme for gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886369 | Formation of self-limited inner spacer for gate-all-around nanosheet FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886370 | Semiconductor device including silicon carbide body and method of manufacturing | INFINEON TECHNOLOGIES AG |
10886371 | Silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10886372 | Silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10886373 | Composite oxide semiconductor and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886375 | Semiconductor device having buried gate structure and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10886376 | Formation of wrap-around-contact to reduce contact resistivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886378 | Method of forming air-gap spacers and gate contact over active region and the resulting device | GLOBALFOUNDRIES INC. |
10886379 | Semiconductor device and method of manufacturing same | RENESAS ELECTRONICS CORPORATION |
10886380 | Semiconductor storage device and method for forming a profile of a capacitor thereof | CHANGXIN MEMORY TECHNOLOGIES, INC. |
10886381 | Epitaxial structure of N-face group III nitride, active device, and method for fabricating the same with integration and polarity inversion | -- |
10886382 | Cascode amplifier optimization | SKYWORKS SOLUTIONS, INC. |
10886383 | Replacement gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10886384 | Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886385 | Semiconductor structures having increased channel strain using fin release in gate regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886386 | Dual width FinFET | STMICROELECTRONICS, INC. |
10886389 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10886390 | Method of manufacturing semiconductor device and semiconductor device | FUJI ELECTRIC CO., LTD. |
10886393 | High electron mobility transistor with tunable threshold voltage | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10886395 | Method for fabricating tunneling field effect transistor having interfacial layer containing nitrogen | -- |
10886397 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10886398 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10886401 | Semiconductor device with well region and protection region electrically connected by connection region | NISSAN MOTOR CO., LTD. |
10886403 | Close proximity and lateral resistance reduction for bottom source/drain epitaxy in vertical transistor devices | ELPIS TECHNOLOGIES INC. |
10886404 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10886407 | Semiconductor device, manufacturing method for semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10886408 | Group III-V material transistors employing nitride-based dopant diffusion barrier layer | INTEL CORPORATION |
10886412 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886413 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886414 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10886420 | Thin optoelectronic modules with apertures and their manufacture | AMS SENSORS SINGAPORE PTE. LTD. |
10886421 | Semiconductor film, method of producing semiconductor film, solar cell, light-emitting diode, thin film transistor, and electronic device | FUJIFILM CORPORATION |
10886445 | Vertical solid-state transducers having backside terminals and associated systems and methods | MICRON TECHNOLOGY, INC. |
10886448 | Method for producing lead frame, method for producing package and method for producing light emitting device | NICHIA CORPORATION |
10886451 | Thermoelectric material, method of fabricating the same, and thermoelectric device | UNIVERSITY-INDUSTRY FOUNDATION (UIF), YONSEI UNIVERSITY |
10886462 | Encapsulated memory pillars | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10886468 | Manufacturing method and manufacturing apparatus for organic EL display device | SAKAI DISPLAY PRODUCTS CORPORATION |
10886594 | Packaging structure and packaging method for antenna | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10886704 | Semiconductor laser and method for producing a semiconductor laser | OSRAM OLED GMBH |
10886909 | Electric assembly including an insulated gate bipolar transistor device and a wide-bandgap transistor device | INFINEON TECHNOLOGIES AG |
10887977 | Hybrid component carrier and method for manufacturing the same | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10887985 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10887995 | Method for manufacturing a printed circuit board including an embedded electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10888040 | Double-sided module with electromagnetic shielding | QORVO US, INC. |
10888855 | Silicon-titanium dioxide-polypyrrole three-dimensional bionic composite material based on hierarchical assembly and use thereof | JIANGNAN UNIVERSITY |
10888929 | Sheet and composite sheet | NITTO DENKO CORPORATION |
10889052 | Imprint apparatus, method for manufacturing article, and exposure apparatus | CANON KABUSHIKI KAISHA |
10889082 | Laminated structure and method for producing the same | SONY CORPORATION |
10889097 | Wafer debonding system and method | -- |
10889102 | Workpiece referencing system for and method of referencing workpieces supported by a workpiece carrier | ASM ASSEMBLY SYSTEMS SINGAPORE PTE. LTD |
10889438 | Stocker | MURATA MACHINERY, LTD. |
10889492 | Methods for producing thin-film layers and microsystems having thin-film layers | INFINEON TECHNOLOGIES AG |
10889757 | Etching compositions | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
10889888 | Sputtering target, method for manufacturing sputtering target, and method for forming thin film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10889891 | Apparatus for gaseous byproduct abatement and foreline cleaning | APPLIED MATERIALS, INC. |
10889893 | Atomic layer deposition apparatus and atomic layer deposition method | THE JAPAN STEEL WORKS, LTD. |
10889894 | Faceplate with embedded heater | APPLIED MATERIALS, INC. |
10889908 | Superconformal filling composition and superconformally filling a recessed feature of an article | GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE |
10889911 | Plating apparatus and plating method | EBARA CORPORATION |
10889914 | Location-specific growth and transfer of single crystalline TMD monolayer arrays | THE TRUSTEES OF THE STEVENS INSTITUTE OF TECHNOLOGY |
10890433 | Interferometric thickness measuring apparatus using multiple light sources coupled with a selecting means | DISCO CORPORATION |
10890491 | Optical detector for an optical detection | TRINAMIX GMBH |
10890554 | Sensors with a non-planar sensing structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10890614 | Method for determining a junction temperature of a device under test and method for controlling a junction temperature of a device under test | -- |
10890813 | Electronic device having a first electronic component and a second electronic component connected by wiring, and manufacturing method therefor | OMRON CORPORATION |
10890843 | Fast imprint lithography | TOKYO ELECTRON LIMITED |
10890846 | Photosensitive resin composition and cured film prepared therefrom | ROHM AND HAAS ELECTRONIC MATERIALS KOREA LTD |
10891459 | Fingerprint sensor module and method for manufacturing a fingerprint sensor module | FINGERPRINT CARDS AB |
10892011 | Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells | -- |
10892140 | Nanosecond pulser bias compensation | EAGLE HARBOR TECHNOLOGIES, INC. |
10892141 | Nanosecond pulser pulse generation | EAGLE HARBOR TECHNOLOGIES, INC. |
10892142 | System for fabricating a semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892145 | Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10892146 | Endpoint detecting method and endpoint detecting apparatus | TOKYO ELECTRON LIMITED |
10892155 | Semiconductor cleaner systems and methods | BROOKS AUTOMATION (GERMANY) GMBH |
10892156 | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures | ASM IP HOLDING B.V. |
10892157 | Methods for depositing blocking layers on conductive surfaces | APPLIED MATERIALS, INC. |
10892158 | Manufacturing method of a semiconductor device and a plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10892159 | Semipolar or nonpolar group III-nitride substrates | SAPHLUX, INC. |
10892160 | Method for producing electrotechnical thin layers at room temperature, and electrotechnical thin layer | DYNAMIC SOLAR SYSTEMS AG |
10892161 | Enhanced selective deposition process | APPLIED MATERIALS, INC. |
10892162 | Silicon film forming method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10892163 | Semiconductor device with side wall protection film for bond pad and wiring | ABLIC INC. |
10892164 | Dual hard mask replacement gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892165 | Semiconductor manufacturing device and method of polishing semiconductor substrate | LAPIS SEMICONDUCTOR CO., LTD. |
10892166 | System and method for light field correction of colored surfaces in an image | L'OREAL |
10892167 | Gas permeable superstrate and methods of using the same | CANON KABUSHIKI KAISHA |
10892168 | Semiconductor device and method for forming a semiconductor device | INFINEON TECHNOLOGIES AG |
10892169 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10892170 | Fabricating an integrated circuit chip module with stiffening frame and orthogonal heat spreader | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892171 | Removal apparatus for removing residual gas and substrate treating facility including the same | SAMSUNG ELECTRONICS CO., LTD. |
10892172 | Removal of process effluents | PLANAR SEMICONDUCTOR, INC. |
10892173 | Substrate cleaning roll, substrate cleaning apparatus, and substrate cleaning method | EBARA CORPORATION |
10892174 | Substrate cleaning brush and substrate cleaning apparatus | SCREEN HOLDINGS CO., LTD. |
10892175 | Stable heater rebuild inspection and maintenance platform | SAMSUNG ELECTRONICS CO., LTD. |
10892176 | Substrate processing apparatus having top plate with through hole and substrate processing method | TOKYO ELECTRON LIMITED |
10892177 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10892178 | Substrate processing system, method of controlling substrate processing system, computer-readable storage medium, and method of manufacturing article | CANON KABUSHIKI KAISHA |
10892179 | Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods | LAM RESEARCH CORPORATION |
10892180 | Lift pin assembly | APPLIED MATERIALS, INC. |
10892181 | Semiconductor device with mitigated local layout effects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892182 | Relating to semiconductor devices | X-FAB SARAWAK SDN. BHD. |
10892183 | Methods for removing metal oxides | MICROMATERIALS LLC |
10892184 | Photobucket floor colors with selective grafting | INTEL CORPORATION |
10892185 | Semiconductor device including a blocking pattern in an interconnection line | SAMSUNG ELECTRONICS CO., LTD. |
10892186 | Integration of ALD copper with high temperature PVD copper deposition for BEOL interconnect | APPLIED MATERIALS, INC. |
10892187 | Method for creating a fully self-aligned via | MICROMATERIALS LLC |
10892188 | Self-aligned trench MOSFET contacts having widths less than minimum lithography limits | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10892189 | Method for manufacturing a semiconductor device having a dummy section | LAPIS SEMICONDUCTOR CO., LTD. |
10892190 | Manufacturing process of element chip | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10892191 | Method of manufacturing a semiconductor device | TOSHIBA MEMORY CORPORATION |
10892192 | Non-planar I/O and logic semiconductor devices having different workfunction on common substrate | INTEL CORPORATION |
10892193 | Controlling active fin height of FinFET device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892194 | Semiconductor device and method for fabricating the same | -- |
10892195 | Method and structure for forming a vertical field-effect transistor using a replacement metal gate process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892196 | Magnetic property measuring system, a method for measuring magnetic properties, and a method for manufacturing a magnetic memory device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10892197 | Edge seal configurations for a lower electrode assembly | LAM RESEARCH CORPORATION |
10892198 | Systems and methods for improved performance in semiconductor processing | APPLIED MATERIALS, INC. |
10892199 | Semiconductor package structure, product and method for manufacturing the same | -- |
10892201 | Electronic device comprising a support substrate and an encapsulating cover for an electronic component | STMICROELECTRONICS (GRENOBLE 2) SAS |
10892202 | External gettering method and device | MICRON TECHNOLOGY, INC. |
10892207 | Power module | ROBERT BOSCH GMBH |
10892209 | Semiconductor device with metal die attach to substrate with multi-size cavity | TEXAS INSTRUMENTS INCORPORATED |
10892211 | Side-solderable leadless package | SEMTECH CORPORATION |
10892213 | Wiring structure and method for manufacturing the same | -- |
10892216 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10892217 | Wiring substrate and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10892219 | Molded embedded bridge for enhanced EMIB applications | INTEL CORPORATION |
10892224 | Apparatuses comprising protective material along surfaces of tungsten-containing structures | MICRON TECHNOLOGY, INC. |
10892228 | Method of manufacturing conductive feature and method of manufacturing package | -- |
10892229 | Media shield with EMI capability for pressure sensor | NXP USA, INC. |
10892231 | Electronics package including integrated electromagnetic interference shield and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
10892232 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10892233 | Mitigating moisture-driven degradation of features designed to prevent structural failure of semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892235 | Die seal ring and manufacturing method thereof | -- |
10892237 | Methods of fabricating high voltage semiconductor devices having improved electric field suppression | GENERAL ELECTRIC COMPANY |
10892248 | Multi-stacked die package with flexible interconnect | INTEL CORPORATION |
10892249 | Carrier and integrated memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892250 | Stacked package structure with encapsulation and redistribution layer and fabricating method thereof | -- |
10892252 | Face-to-face mounted IC dies with orthogonal top interconnect layers | XCELSIS CORPORATION |
10892254 | Defect-tolerant layout and packaging for GaN power devices | -- |
10892261 | Metal resistor and self-aligned gate edge (SAGE) architecture having a metal resistor | INTEL CORPORATION |
10892263 | Methods of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892265 | Word line structure and method of manufacturing the same | -- |
10892267 | Three-dimensional memory device containing through-memory-level contact via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10892268 | Integrated structures containing vertically-stacked memory cells | MICRON TECHNOLOGY, INC. |
10892269 | Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit | TOSHIBA MEMORY CORPORATION |
10892270 | Semiconductor memory device having an array chip bonded to a circuit chip by a bonding metal | TOSHIBA MEMORY CORPORATION |
10892275 | Stacked connections in 3D memory and methods of making the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892276 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892277 | High-κ dielectric layer in three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10892278 | Three-dimensional semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10892281 | Method for manufacturing a transistor having a sharp junction by forming raised source-drain regions before forming gate regions and corresponding transistor produced by said method | STMICROELECTRONICS, INC. |
10892282 | Metal oxide film and method for forming metal oxide film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10892284 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10892290 | Interconnect layer contact and method for improved packaged integrated circuit reliability | OMNIVISION TECHNOLOGIES, INC. |
10892291 | Bonding pad architecture using capacitive deep trench isolation (CDTI) structures for electrical connection | STMICROELECTRONICS (CROLLES 2) SAS |
10892310 | Display device including a passivation layer having an uneven surface | SAMSUNG DISPLAY CO., LTD. |
10892317 | Power trench capacitor compatible with deep trench isolation process | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10892318 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10892320 | Semiconductor devices having stacked trench gate electrodes overlapping a well region | -- |
10892321 | MOS transistors in parallel | STMICROELECTRONICS (ROUSSET) SAS |
10892322 | Circuits employing a double diffusion break (DDB) and single diffusion break (SDB) in different type diffusion region(s), and related fabrication methods | QUALCOMM INCORPORATED |
10892323 | Semiconductor structure and manufacturing method thereof | -- |
10892326 | Removal of a bottom-most nanowire from a nanowire device stack | INTEL CORPORATION |
10892328 | Source/drain extension regions and air spacers for nanosheet field-effect transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892329 | Method for manufacturing semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
10892331 | Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892332 | Gate insulating layer having a plurality of silicon oxide layer with varying thickness | KABUSHIKI KAISHA TOSHIBA |
10892333 | Method of making a gallium nitride device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892334 | n-Type SiC single crystal substrate, method for producing same and SiC epitaxial wafer | SHOWA DENKO K.K. |
10892335 | Device isolation by fixed charge | INTEL CORPORATION |
10892336 | Wrap-around-contact structure for top source/drain in vertical FETS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892337 | Backside source/drain replacement for semiconductor devices with metallization on both sides | INTEL CORPORATION |
10892338 | Scaled gate contact and source/drain cap | GLOBALFOUNDRIES INC. |
10892340 | Memory cell structures | MICRON TECHNOLOGY, INC. |
10892341 | Flash memory with assistant gate and method of fabricating the same | -- |
10892342 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10892344 | Atomic layer deposition of selected molecular clusters | STMICROELECTRONICS, INC. |
10892345 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10892348 | Method of rounding fin-shaped structure | -- |
10892349 | FinFETs with deposited fin bodies | MICRON TECHNOLOGY, INC. |
10892355 | Lateral fin static induction transistor | HRL LABORATORIES, LLC |
10892357 | Double-channel HEMT device and manufacturing method thereof | STMICROELECTRONICS S.R.L. |
10892358 | Insulating structure of high electron mobility transistor and manufacturing method thereof | -- |
10892361 | Lateral insulated-gate bipolar transistor and method therefor | NXP USA, INC. |
10892362 | Devices for LDMOS and other MOS transistors with hybrid contact | SILICET, LLC |
10892364 | Dielectric isolated fin with improved fin profile | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892365 | Fin field effect transistor having crystalline titanium germanosilicide stressor layer | -- |
10892367 | Metal oxide film, semiconductor device, and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10892372 | High performance solar cells, arrays and manufacturing processes therefor | MPOWER TECHNOLOGY, INC. |
10892376 | Method and device for producing a photovoltaic element with stabilised efficiency | UNIVERSITÄT KONSTANZ |
10892384 | Etched trenches in bond materials for die singulation, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10892404 | Sacrificial buffer layer for metal removal at a bevel edge of a substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10892434 | Light-emitting electrochemical cell | LUNALEC AB |
10892439 | Display panel having filler layer and heat dissipation layer and packaging method thereof, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10892712 | Stacked-die bulk acoustic wave oscillator package | TEXAS INSTRUMENTS INCORPORATED |
10893605 | Textured test pads for printed circuit board testing | SEAGATE TECHNOLOGY LLC |
10894744 | Oxide sintered material and method for manufacturing the same, sputtering target, and method for manufacturing semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10894799 | Composition for depositing silicon-containing thin film including disilylamine compound and method for manufacturing silicon-containing thin film using the same | DNF CO., LTD. |
10894887 | Composition for forming film protecting against aqueous hydrogen peroxide solution | NISSAN CHEMICAL CORPORATION |
10894901 | Method for producing polishing composition and polishing method | FUJIMI INCORPORATED |
10894906 | Composite particles, method of refining and use thereof | VERSUM MATERIALS US, LLC |
10894935 | Composition for removing silicone resins and method of thinning substrate by using the same | SAMSUNG ELECTRONICS CO., LTD. |
10895329 | Fluid control system, base block used for same, and method for manufacturing fluid control system | FUJIKIN INCORPORATED |
10895538 | Method of preparing sample surface, method of analyzing sample surface, field-enhanced oxidation probe, and scanning probe microscope including field-enhanced oxidation probe | SUMCO CORPORATION |
10895539 | In-situ chamber clean end point detection systems and methods using computer vision systems | LAM RESEARCH CORPORATION |
10895594 | Electrical connector assembly having fan support thereon | FOXCONN (KUNSHAN) COMPUTER CONNECTOR CO., LTD. |
10895808 | Substrate holder, a lithographic apparatus and method of manufacturing devices | ASML NETHERLANDS B.V. |
10895814 | Shifting-in/out mechanism, and shifting-in/out device used for workpiece table of photoetching machine | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10896780 | Resonant LC tank package and method of manufacture | INTEL IP CORPORATION |
10896801 | Multiple electron beam image acquisition apparatus, and alignment method of multiple electron beam optical system | NUFLARE TECHNOLOGY, INC. |
10896803 | Ion beam mill etch depth monitoring with nanometer-scale resolution | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10896809 | High voltage switch with isolated power | EAGLE HARBOR TECHNOLOGIES, INC. |
10896815 | Semiconductor substrate singulation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10896816 | Silicon residue removal in nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896817 | Laser irradiation apparatus, thin film transistor, and method of manufacturing thin film transistor | V TECHNOLOGY CO. LTD. |
10896818 | Stacking fault-free semipolar and nonpolar GaN grown on foreign substrates by eliminating the nitrogen polar facets during the growth | YALE UNIVERSITY |
10896819 | Backside metal photolithographic patterning die singulation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10896820 | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process | ASM IP HOLDING B.V. |
10896821 | Asymmetric wafer bow compensation by physical vapor deposition | LAM RESEARCH CORPORATION |
10896822 | Grinding apparatus | DISCO CORPORATION |
10896823 | Limited dose atomic layer processes for localizing coatings on non-planar surfaces | -- |
10896824 | Roughness reduction methods for materials using illuminated etch solutions | TOKYO ELECTRON LIMITED |
10896825 | Mold | TOSHIBA MEMORY CORPORATION |
10896826 | Method for fabricating semiconductor device and lead frame | RENESAS ELECTRONICS CORPORATION |
10896827 | Support for manufacturing semiconductor packages, use of support for manufacturing semiconductor packages, and method for manufacturing semiconductor packages | ZEON CORPORATION |
10896828 | Wafer manufacturing cleaning apparatus, process and method of use | INTERNATIONAL TEST SOLUTIONS, INC. |
10896829 | Excimer laser annealing apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10896830 | Separating apparatus | DISCO CORPORATION |
10896831 | Film forming apparatus | NUFLARE TECHNOLOGY, INC. |
10896832 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10896833 | Methods and apparatus for detecting an endpoint of a seasoning process | APPLIED MATERIALS, INC. |
10896834 | Wafer shipper with stacked support rings | ENTEGRIS, INC. |
10896835 | Processing system | TOKYO ELECTRON LIMITED |
10896836 | Electrostatic chuck | DISCO CORPORATION |
10896837 | Ceramic foam for helium light-up suppression | LAM RESEARCH CORPORATION |
10896838 | Electrostatic chucks and substrate processing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10896840 | Tape heating methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10896841 | Film formation apparatus | SHIBAURA MECHATRONICS CORPORATION |
10896842 | Manufacturing method of sample table | TOKYO ELECTRON LIMITED |
10896843 | Wafer holding device and wafer chucking and dechucking method | SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD. |
10896844 | Staircase formation in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10896845 | Airgap vertical transistor without structural collapse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896846 | Controlling performance and reliability of conductive regions in a metallization network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896847 | Techniques for revealing a backside of an integrated circuit device, and associated configurations | INTEL CORPORATION |
10896848 | Method of manufacturing a semiconductor device | -- |
10896849 | Method of dicing a semiconductor wafer using a protective film formed by coating a mixture of water-soluble resin and organic solvent | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10896850 | Wafer processing method | DISCO CORPORATION |
10896851 | Vertically stacked transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896852 | Methods for doping a sub-fin region of a semiconductor fin structure and devices containing the same | INTEL CORPORATION |
10896853 | Mask-free methods of forming structures in a semiconductor device | GLOBALFOUNDRIES INC. |
10896854 | Forming fins utilizing alternating pattern of spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896855 | Asymmetric gate spacer formation using multiple ion implants | APPLIED MATERIALS, INC. |
10896856 | Semiconductor structures, static random access memories, and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10896857 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896858 | Processing apparatus and processing method | TOKYO ELECTRON LIMITED |
10896859 | Display substrate, method for forming display substrate and method for detecting the same | CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10896860 | Method and curable compound for casting electronic components or component groups | ROBERT BOSCH GMBH |
10896861 | Heterogeneous multi-layer MMIC assembly | RAYTHEON COMPANY |
10896862 | Thermal interface material on package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896863 | Semiconductor device and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10896866 | Power module semiconductor device and inverter equipment, and fabrication method of the power module semiconductor device, and metallic mold | ROHM CO., LTD. |
10896868 | Electronic device and connector | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10896869 | Method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10896870 | Semiconductor device with air gaps in interlayer insulating layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10896874 | Interconnects separated by a dielectric region formed using removable sacrificial plugs | GLOBALFOUNDRIES INC. |
10896875 | Forming conductive plugs for memory device | MICRON TECHNOLOGY, INC. |
10896877 | System in package with double side mounted board | FLEX LTD. |
10896878 | Integrated circuit saw bow break point | NXP B.V. |
10896881 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10896882 | Electronic package having heat dissipating element and method for fabricating the same | PHOENIX & CORPORATION |
10896883 | Integrated circuit security | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896886 | Semiconductor devices having discretely located passivation material, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10896888 | Integrated circuit (IC) device including a force mitigation system for reducing under-pad damage caused by wire bond | MICROCHIP TECHNOLOGY INCORPORATED |
10896889 | Multilayer clip structure attached to a chip | JMJ KOREA CO., LTD. |
10896894 | Wafer-level methods of fabricating semiconductor device packages and related packages | MICRON TECHNOLOGY, INC. |
10896897 | LED display module and method of making thereof | SCT LTD. |
10896900 | Methods and systems for packaging an integrated circuit | MARVELL ASIA PTE, LTD. |
10896901 | Method of manufacturing semiconductor device, and mounting device | SHINKAWA LTD. |
10896902 | Systems and methods for efficient transfer of semiconductor elements | INVENSAS BONDING TECHNOLOGIES, INC. |
10896907 | Retrograde transistor doping by heterojunction materials | INTEL CORPORATION |
10896909 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10896910 | Memory structure and manufacturing method thereof | -- |
10896911 | Method for forming memory device involving ion implantation of the control gate spacer and wet etching process to expose sidewall of control gate | -- |
10896912 | Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896913 | Semiconductor memory device including memory pillars and transistor and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10896918 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
10896920 | Thin film transistor array substrate and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10896922 | Imaging apparatus, imaging system, moving object, and method for manufacturing imaging apparatus | CANON KABUSHIKI KAISHA |
10896929 | Integrated circuit components incorporating energy harvesting components/devices, and methods for fabrication, manufacture and production of integrated circuit components incorporating energy harvesting components/devices | FACE INTERNATIONAL CORPORATION |
10896931 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10896948 | Flexible display device with bridged wire traces | LG DISPLAY CO., LTD. |
10896950 | Method and apparatus for a thin film dielectric stack | NXP USA, INC. |
10896952 | SiC device and methods of manufacturing thereof | INFINEON TECHNOLOGIES AG |
10896954 | Electronic device including a drift region | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10896955 | Semiconductor device including a functional layer and a method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10896956 | Field effect transistor with reduced contact resistance | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10896957 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10896958 | Silicon-on-insulator backside contacts | QUALCOMM INCORPORATED |
10896960 | Silicon carbide MOSFET inverter circuit | FUJI ELECTRIC CO., LTD. |
10896962 | Asymmetric threshold voltages in semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896963 | Semiconductor device contacts with increased contact area | INTEL CORPORATION |
10896964 | Semiconductor devices having variously-shaped source/drain patterns | SAMSUNG ELECTRONICS CO., LTD. |
10896965 | Formation of wrap-around-contact to reduce contact resistivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896966 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10896969 | Manufacturing method of an HEMT transistor of the normally off type with reduced resistance in the on state and HEMT transistor | STMICROELECTRONICS S.R.L. |
10896970 | Process of forming high electron mobility transistor (HEMT) and HEMT formed by the same | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10896971 | Vertical transistor with body contact fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896973 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10896974 | Method of fabricating semiconductor device | -- |
10896976 | Embedded source/drain structure for tall FinFet and method of formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896978 | Oxide semiconductor device and method for manufacturing same | V TECHNOLOGY CO., LTD. |
10896979 | Compact vertical injection punch through floating gate analog memory and a manufacture thereof | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10896991 | Photovoltaic devices and method of manufacturing | FIRST SOLAR, INC. |
10897028 | Multilayer diamond display system and method | -- |
10897120 | Externally-strain-engineered semiconductor photonic and electronic devices and assemblies and methods of making same | UNIVERSITY OF HOUSTON SYSTEM |
10897121 | Lateral current injection electro-optical device with well-separated doped III-V layers structured as photonic crystals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10897808 | Filter device and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10897814 | Characterization vehicles for printed circuit board and system design | PDF SOLUTIONS, INC. |
10898725 | Integrated optogenetic device with light-emitting diodes and glass-like carbon electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10898897 | Metal assisted chemical etching for fabricating high aspect ratio and straight silicon nanopillar arrays for sorting applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10898987 | Table for holding workpiece and processing apparatus with the table | EBARA CORPORATION |
10899067 | Multi-layer stamp | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10899606 | Microneedles | SPTS TECHNOLOGIES LIMITED |
10899607 | III-V nitride resonate based photoacoustic sensor | UNIVERSITY OF SOUTH CAROLINA |
10899615 | Feeding process of chlorine fluoride | KANTO DENKA KOGYO CO., LTD. |
10899670 | Manufacturing method of ceramic electrostatic chuck | -- |
10899945 | Use of a chemical mechanical polishing (CMP) composition for polishing of cobalt comprising substrates | BASF SE |
10900118 | Magnetically enhanced low temperature-high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond like films | IONQUEST CORP. |
10900120 | Passivation against vapor deposition | ASM IP HOLDING B.V. |
10900124 | Substrate processing chamber with showerhead having cooled faceplate | LAM RESEARCH CORPORATION |
10900126 | Substrate treating method and apparatus used therefor | SCREEN HOLDINGS CO., LTD. |
10900127 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10900142 | Apparatus for manufacturing a second substrate on a first substrate including removal of the first substrate | SAMSUNG ELECTRONICS CO., LTD. |
10900719 | Heat dissipation unit | -- |
10900883 | Mold test apparatus and method | SAMSUNG ELECTRONICS CO., LTD. |
10900952 | Dual surface charge sensing biosensor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900953 | DNA sequencing using MOSFET transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10900995 | Tri-axial MEMS accelerometer | CHINESE ACADEMY OF SCIENCES INSTITUTE OF GEOLOGY AND GEOPHYSICS |
10901021 | Method for detecting wafer processing parameters with micro resonator array sensors | APPLIED MATERIALS, INC. |
10901282 | Thin film transistor substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10901316 | Iodine-containing polymers for chemically amplified resist compositions | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10901317 | Extreme ultraviolet (EUV) lithography patterning methods utilizing EUV resist hardening | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10901318 | Substrate processing apparatus, method of adjusting parameters of coating module, and storage medium | TOKYO ELECTRON LIMITED |
10901320 | Developing method, developing apparatus, and computer-readable recording medium | TOKYO ELECTRON LIMITED |
10901321 | Strip process for high aspect ratio structure | MATTSON TECHNOLOGY, INC. |
10901322 | Methods for evaluating resist development | ASML NETHERLANDS B.V. |
10901324 | Imprint method, imprint apparatus, and article manufacturing method using the same | CANON KABUSHIKI KAISHA |
10901402 | Closed-loop automatic defect inspection and classification | APPLIED MATERIALS ISRAEL, LTD. |
10901463 | Display device, method for manufacturing the device and laser processing apparatus for manufacturing the display device | SAMSUNG DISPLAY CO., LTD. |
10902804 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10902917 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
10902919 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10903002 | Method for manufacturing a magnetic memory element using Ru and diamond like carbon hard masks | SPIN MEMORY, INC. |
10903013 | Dielectric powder and multilayer capacitor using the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10903049 | Plasma processing apparatus and measurement circuit | TOKYO ELECTRON LIMITED |
10903050 | Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity | LAM RESEARCH CORPORATION |
10903052 | Systems and methods for radial and azimuthal control of plasma uniformity | APPLIED MATERIALS, INC. |
10903053 | Plasma processing apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10903054 | Multi-zone gas distribution systems and methods | APPLIED MATERIALS, INC. |
10903055 | Edge ring for bevel polymer reduction | APPLIED MATERIALS, INC. |
10903056 | Plasma source for rotating susceptor | APPLIED MATERIALS, INC. |
10903057 | Temperature adjustment device and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10903058 | Apparatus for treating objects with plasma, use of this apparatus and method of using this apparatus | COATING PLASMA INDUSTRIE |
10903059 | Film formation apparatus | SHIBAURA MECHATRONICS CORPORATION |
10903065 | Halogen removal module and associated systems and methods | LAM RESEARCH CORPORATION |
10903066 | Heater support kit for bevel etch chamber | APPLIED MATERIALS, INC. |
10903067 | Cooled reflective adapter plate for a deposition chamber | APPLIED MATERIALS, INC. |
10903068 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903069 | Method of fabricating layered structure | JIANGSU ADVANCED MEMORY TECHNOLOGY CO., LTD. |
10903070 | Asymmetric wafer bow compensation by chemical vapor deposition | LAM RESEARCH CORPORATION |
10903071 | Selective deposition of silicon oxide | LAM RESEARCH CORPORATION |
10903072 | Conductive C-plane GaN substrate | MITSUBISHI CHEMICAL CORPORATION |
10903073 | Systems and methods of dislocation filtering for layer transfer | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10903074 | GaN laminate and method of manufacturing the same | SCIOCS COMPANY LIMITED |
10903075 | Ordered nanoscale electric field concentrators for embedded thin film devices | UNIVERSITY OF MASSACHUSETTS |
10903076 | Material selective regrowth structure and method | THE TEXAS STATE UNIVERSITY—SAN MARCOS |
10903077 | Methods to protect nitride layers during formation of silicon germanium nano-wires in microelectronic workpieces | TOKYO ELECTRON LIMITED |
10903078 | Methods for processing a silicon carbide wafer, and a silicon carbide semiconductor device | INFINEON TECHNOLOGIES AG |
10903079 | Method for forming complementary doped semiconductor regions in a semiconductor body | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10903080 | Transistor device and method for preparing the same | -- |
10903081 | Substrate processing method | TOKYO ELECTRON LIMITED |
10903082 | Spacer sculpting for forming semiconductor devices | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10903083 | Substrate processing method, substrate processing apparatus and substrate processing system | TOKYO ELECTRON LIMITED |
10903084 | Method of etching silicon containing films selectively against each other | TOKYO ELECTRON LIMITED |
10903085 | Method for etching organic region | TOKYO ELECTRON LIMITED |
10903086 | Titanium silicide region forming method | TOKYO ELECTRON LIMITED |
10903087 | Laser processing method | DISCO CORPORATION |
10903088 | Electronic package and method for fabricating the same | -- |
10903089 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10903090 | Method of singulate a package structure using a light transmitting film on a polymer layer | -- |
10903091 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10903092 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10903093 | Heating device | KELK LTD. |
10903094 | Electrostatic puck assembly with metal bonded backing plate for high temperature processes | APPLIED MATERIALS, INC. |
10903095 | Heat treatment apparatus of light irradiation type | SCREEN HOLDINGS CO., LTD. |
10903096 | System and apparatus for process chamber window cooling | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10903097 | In-situ wafer temperature measurement and control | AXCELIS TECHNOLOGIES, INC. |
10903098 | Substrate processing system and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10903099 | Semiconductor wafer placement position determination method and semiconductor epitaxial wafer production method | SUMCO CORPORATION |
10903100 | Method of obtaining amount of deviation of a measuring device, and method of calibrating transfer position data in a processing system | TOKYO ELECTRON LIMITED |
10903101 | Substrate processing apparatus and method for detecting abnormality of substrate | EBARA CORPORATION |
10903102 | Methods for purging a substrate carrier at a factory interface | APPLIED MATERIALS, INC. |
10903103 | Front opening unified pod | -- |
10903104 | Dual scara arm | BROOKS AUTOMATION, INC. |
10903105 | Flip chip bonding device and bonding method | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10903106 | Layered body of temporary adhesive | NISSAN CHEMICAL INDUSTRIES, LTD. |
10903107 | Semiconductor process transport apparatus comprising an adapter pendant | BROOKS AUTOMATION, INC. |
10903108 | Semiconductor devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903109 | Methods of forming high aspect ratio openings and methods of forming high aspect ratio features | MICRON TECHNOLOGY, INC. |
10903110 | Method of forming fine interconnection for a semiconductor device | -- |
10903111 | Semiconductor device with linerless contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903112 | Methods and apparatus for smoothing dynamic random access memory bit line metal | APPLIED MATERIALS, INC. |
10903113 | Selective deposition of aluminum and nitrogen containing material | ASM IP HOLDING B.V. |
10903114 | Decoupled via fill | INTEL CORPORATION |
10903115 | Controlling grain boundaries in high aspect-ratio conductive regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903116 | Void-free metallic interconnect structures with self-formed diffusion barrier layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903117 | Fabricating vias with lower resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903118 | Chamferless via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903119 | Semiconductor chip, method of producing a semiconductor chip and apparatus having a plurality of semiconductor chips | OSRAM OLED GMBH |
10903120 | Semiconductor wafer dicing crack prevention using chip peripheral trenches | INFINEON TECHNOLOGIES AUSTRIA AG |
10903121 | Hybrid wafer dicing approach using a uniform rotating beam laser scribing process and plasma etch process | APPLIED MATERIALS, INC. |
10903122 | Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors | MICRON TECHNOLOGY, INC. |
10903123 | High threshold voltage FET with the same fin height as regular threshold voltage vertical FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903124 | Transistor structure with n/p boundary buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903125 | Transistor with strained channel and fabrication method thereof | -- |
10903126 | Light irradiation type heat treatment method and heat treatment apparatus | SCREEN HOLDING CO., LTD. |
10903127 | Film for a package substrate | SAMSUNG ELECTRONICS CO., LTD. |
10903129 | Electronic device | ROHM CO., LTD. |
10903130 | Semiconductor apparatus and manufacturing method of semiconductor apparatus | FUJI ELECTRIC CO., LTD. |
10903131 | Semiconductor packages including bridge die spaced apart from semiconductor die | SK HYNIX INC. |
10903133 | Method of producing an SMD package with top side cooling | INFINEON TECHNOLOGIES AUSTRIA AG |
10903134 | Silicon heat-dissipation package for compact electronic devices | -- |
10903135 | Chip package structure and manufacturing method thereof | HUAWEI TECHNOLOGIES CO., LTD. |
10903136 | Package structure having a plurality of insulating layers | -- |
10903137 | Electrical interconnections with improved compliance due to stress relaxation and method of making | INTEL CORPORATION |
10903138 | Semiconductor device and method of manufacturing the same | DENSO CORPORATION |
10903141 | Thermal management of RF devices using embedded microjet arrays | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10903142 | Micro through-silicon via for transistor density scaling | INTEL CORPORATION |
10903143 | Semiconductor device | -- |
10903148 | High performance multi-component electronics power module | MICROCHIP TECHNOLOGY INCORPORATED |
10903150 | Lead frame | OHKUCHI MATERIALS CO., LTD. |
10903151 | Semiconductor device package and method of manufacturing the same | -- |
10903153 | Thinned die stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903154 | Semiconductor device and method of forming cantilevered protrusion on a semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10903156 | Electronic device with stud bumps | TDK CORPORATION |
10903157 | Semiconductor device having a glass substrate core layer | SKC CO., LTD. |
10903162 | Fuse element resistance enhancement by laser anneal and ion implantation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903164 | Bonded assembly including a semiconductor-on-insulator die and methods for making the same | SANDISK TECHNOLOGIES LLC |
10903165 | Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903166 | Integrated circuit packages | INTEL IP CORPORATION |
10903167 | Electronic package, packaging substrate, and methods for fabricating the same | -- |
10903168 | Multi-RDL structure packages and methods of fabricating the same | ADVANCED MICRO DEVICES, INC. |
10903169 | Conductive structure and wiring structure including the same | -- |
10903172 | Package with interlocking leads and manufacturing the same | STMICROELECTRONICS, INC. |
10903177 | Method of manufacturing a semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10903181 | Wafer level fan out semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10903183 | Semiconductor device and method of using leadframe bodies to form openings through encapsulant for vertical interconnect of semiconductor die | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
10903187 | Selective area heating for 3D chip stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903190 | Semiconductor package using a coreless signal distribution structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10903195 | High-resolution micro-LED display device and manufacturing method of the same | LG DISPLAY CO., LTD. |
10903198 | Semiconductor package assembly and method for forming the same | -- |
10903199 | Method for designing vehicle controller-only semiconductor based on die and vehicle controller-only semiconductor by the same | HYUNDAI AUTRON CO., LTD. |
10903200 | Semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
10903201 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10903202 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10903206 | Semiconductor device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903207 | Method of forming an integrated circuit (IC) with shallow trench isolation (STI) regions and the resulting IC structure | GLOBALFOUNDRIES INC. |
10903208 | Distributed decoupling capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903209 | MOS transistors in parallel | STMICROELECTRONICS (ROUSSET) SAS |
10903210 | Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903212 | Fin field effect transistor devices with modified spacer and gate dielectric thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903213 | Integrated circuit devices | SAMSUNG ELECTRONICS CO., LTD. |
10903221 | Memory cells and memory arrays | MICRON TECHNOLOGY, INC. |
10903222 | Three-dimensional memory device containing a carbon-doped source contact layer and methods for making the same | SANDISK TECHNOLOGIES LLC |
10903223 | Driver placement in memories having stacked memory arrays | MICRON TECHNOLOGY, INC. |
10903224 | Semiconductor device and method for fabricating the same | -- |
10903228 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10903230 | Three-dimensional memory device containing through-memory-level contact via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10903231 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903232 | Three-dimensional memory devices containing memory stack structures with laterally separated charge storage elements and method of making thereof | SANDISK TECHNOLOGIES LLC |
10903233 | Semiconductor memory device and manufacturing method of semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10903235 | Non-volatile memory device and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10903236 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10903238 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10903240 | Integrated circuits (ICs) on a glass substrate | QUALCOMM INCORPORATED |
10903249 | Array substrate and manufacturing method thereof, display device | ORDOS YUANSHENG OPTOELECTRONICS CO., LTD. |
10903263 | Front-side type image sensor and method for manufacturing such a sensor | SOITEC |
10903269 | Magnetic memory device and fabrication method thereof | -- |
10903295 | Display apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10903302 | Display device having an organic layer between a plurality of signal layers | SAMSUNG DISPLAY CO., LTD. |
10903303 | Display panel with a separation pillar having slanting side surfaces disposed on a pixel definition layer | -- |
10903306 | Integrated trench capacitor | TEXAS INSTRUMENTS INCORPORATED |
10903307 | Fabrication of a MIM capacitor structure with via etch control with integrated maskless etch tuning layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903314 | Semiconductor device and method for manufacturing the same | -- |
10903315 | Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903316 | Radio frequency switches with air gap structures | GLOBALFOUNDRIES INC. |
10903318 | External resistance reduction with embedded bottom source/drain for vertical transport FET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903319 | Patterning graphene with a hard mask coating | NANOMEDICAL DIAGNOSTICS, INC. |
10903321 | Semiconductor device and method of manufacturing a semiconductor device using an alignment layer | INFINEON TECHNOLOGIES AUSTRIA AG |
10903323 | Semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10903324 | Semiconductor device including fin-FET and etch stop layers | SAMSUNG ELECTRONICS CO., LTD. |
10903325 | Memory transistor with multiple charge storing layers and a high work function gate electrode | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903327 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10903328 | Method for fabricating semiconductor device | -- |
10903329 | Contact photolithography-based nanopatterning using photoresist features having re-entrant profiles | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10903330 | Tapered gate electrode for semiconductor devices | GENERAL ELECTRIC COMPANY |
10903332 | Fully depleted SOI transistor with a buried ferroelectric layer in back-gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903333 | Doped gate dielectric materials | HRL LABORATORIES, LLC |
10903335 | Self-aligned internal spacer with EUV | IMEC VZW |
10903336 | Semiconductor device and method of manufacturing the same | -- |
10903337 | Air gap spacer with wrap-around etch stop layer under gate spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903339 | Vertical transport FET devices having a sacrificial doped layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903341 | Methods for manufacturing a MOSFET | INFINEON TECHNOLOGIES AUSTRIA AG |
10903342 | Oxide-nitride-oxide stack having multiple oxynitride layers | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
10903343 | Semiconductor device | MURATA MANUFACTURING CO., LTD. |
10903345 | Power MOSFET with metal filled deep sinker contact for CSP | TEXAS INSTRUMENTS INCORPORATED |
10903352 | Manufacturing method of vertical GaN-based semiconductor device and vertical GaN-based semiconductor device | FUJI ELECTRIC CO., LTD. |
10903354 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10903356 | LDMOS device with body diffusion self-aligned to gate | TEXAS INSTRUMENTS INCORPORATED |
10903357 | Laterally diffused metal oxide semiconductor (LDMOS) transistor on a semiconductor on insulator (SOI) layer with a backside device | QUALCOMM INCORPORATED |
10903358 | Vertical fin field effect transistor with reduced gate length variations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903360 | Vertically integrated memory cells with complementary pass transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903362 | Semiconductor device with asymmetric strained source/drain structure and fabrication method | -- |
10903363 | Ferroelectric semiconductor device | SK HYNIX INC. |
10903365 | Transistors with uniform source/drain epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903366 | Forming fin-FET semiconductor structures | -- |
10903368 | Semiconductor device and display device including semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10903369 | Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10903370 | Semiconductor integrated circuit device | SOCIONEXT INC. |
10903390 | Automated assembly and mounting of solar cells on space panels | SOLAERO TECHNOLOGIES CORP. |
10903392 | Method of producing optoelectronic semiconductor components and optoelectronic semiconductor component | OSRAM OLED GMBH |
10903393 | Transfer template, display substrate, display panel, and method for manufacturing the same | BOE TECHNOLOGY GROUP CO., LTD. |
10903398 | Dielectric film coating for full conversion ceramic platelets | OSRAM OPTO SEMICONDUCTORS GMBH |
10903406 | Housing comprising a semiconductor body and a method for producing a housing with a semiconductor body | OSRAM OLED GMBH |
10903415 | Large structure monitoring with a substrate-free flexible sensor system | UNITED TECHNOLOGIES CORPORATION |
10903444 | OLED package substrate having a conductor attached to electrode on an array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10903548 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10903561 | Semiconductor device package and method of manufacturing the same | -- |
10903623 | Method and structure for manufacturable large area gallium and nitrogen containing substrate | SORAA LASER DIODE, INC. |
10903803 | Semiconductor device and power amplifier module | MURATA MANUFACTURING CO., LTD. |
10903817 | Bulk acoustic wave resonator and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10904996 | Substrate support with electrically floating power supply | APPLIED MATERIALS, INC. |
10906061 | Coating method, coating apparatus and method for manufacturing component | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10906283 | Wafer bonding apparatus for directly bonding wafers and a wafer bonding system having the same | SAMSUNG ELECTRONICS CO., LTD. |
10906288 | Method for manufacturing display device | -- |
10906305 | Liquid ejection head | CANON KABUSHIKI KAISHA |
10906756 | Substrate processing device | TOKYO ELECTRON LIMITED |
10907073 | Polishing composition | FUJIMI INCORPORATED |
10907074 | Polishing compositions for reduced defectivity and methods of using the same | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
10907097 | Method and apparatus for preparing quantum dots | BOE TECHNOLOGY GROUP CO., LTD. |
10907247 | Apparatus and method for processing sputtered IC units | ROKKO SYSTEMS PTE LTD |
10907251 | Liner assemblies for substrate processing systems | -- |
10907253 | Method of manufacturing semiconductor device, substrate processing apparatus and recording medium | KOKUSAI ELECTRIC CORPORATION |
10907864 | Cooling system | TOKYO ELECTRON LIMITED |
10907952 | Optical measurement device and method with improved measurement precision | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10908113 | Liquid-sensing apparatus and method of manufacturing the same | -- |
10908121 | Membrane device, measurement device, and method for producing membrane device | HITACHI, LTD. |
10908195 | System and method for controlling power to a heater | WATLOW ELECTRIC MANUFACTURING COMPANY |
10908196 | System and method for controlling power to a heater | WATLOW ELECTRIC MANUFACTURING COMPANY |
10908497 | Mask box | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10908506 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10908518 | Lithographic apparatus and method | ASML HOLDING N.V. |
10908519 | Alignment mark, imprinting method, manufacturing method of semiconductor device, and alignment device | TOSHIBA MEMORY CORPORATION |
10908713 | Manufacturing method of touch panel | FUJIFILM CORPORATION |
10910039 | Semiconductor device with a plurality of surrounding gate transistors | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10910158 | Capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10910195 | Substrate support with improved process uniformity | LAM RESEARCH CORPORATION |
10910196 | Mode-switching plasma systems and methods of operating thereof | TOKYO ELECTRON LIMITED |
10910201 | Synthetic wavelengths for endpoint detection in plasma etching | TOKYO ELECTRON LIMITED |
10910208 | Systems and approaches for semiconductor metrology and surface analysis using secondary ion mass spectrometry | NOVA MEASURING INSTRUMENTS, INC. |
10910213 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10910214 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
10910215 | Method of forming later insulating films for MTJ | TOKYO ELECTRON LIMITED |
10910216 | Low-k dielectric and processes for forming same | -- |
10910217 | Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10910219 | Laser irradiation method and laser irradiation device and method of manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10910220 | Planarization method for a semiconductor substrate using a silicon-containing composition | NISSAN CHEMICAL CORPORATION |
10910221 | Semiconductor device structure with a fine pattern and method for forming the same | -- |
10910222 | Method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10910223 | Doping through diffusion and epitaxy profile shaping | -- |
10910224 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
10910225 | Film forming method | TOKYO ELECTRON LIMITED |
10910226 | Method of producing a semiconductor laser and semiconductor laser | OSRAM OLED GMBH |
10910227 | Bottom and side plasma tuning having closed loop control | APPLIED MATERIALS, INC. |
10910228 | Surface treatment of carbon containing films using organic radicals | MATTSON TECHNOLGOY, INC. |
10910229 | Substrate treatment method | TOKYO ELECTRON LIMITED |
10910230 | Semiconductor manufacturing apparatus and method for manufacturing semiconductor device | HITACHI HIGH-TECH CORPORATION |
10910231 | Method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910232 | Copper plasma etching method and manufacturing method of display panel | SAMSUNG DISPLAY CO., LTD. |
10910233 | Semiconductor device package and method of manufacturing the same | -- |
10910234 | Substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10910235 | Substrate treating apparatus and substrate treating method | SCREEN HOLDINGS CO., LTD. |
10910236 | Semiconductor manufacturing apparatus and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
10910237 | Operating method for wet etching system and related system | SAMSUNG ELECTRONICS CO., LTD. |
10910238 | Heater pedestal assembly for wide range temperature control | APPLIED MATERIALS, INC. |
10910239 | Method of transferring micro devices and device transfer system | MIKRO MESA TECHNOLOGY CO., LTD. |
10910240 | Substrate processing device | SCREEN HOLDINGS CO., LTD. |
10910241 | Wafer producing apparatus and carrying tray | DISCO CORPORATION |
10910242 | Temperature controller for manufacturing semiconductor | -- |
10910243 | Thermal management system | APPLIED MATERIALS, INC. |
10910244 | Methods and system for cleaning semiconductor wafers | ACM RESEARCH, INC. |
10910245 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10910246 | Hold checking method and unhold checking method for wafer | DISCO CORPORATION |
10910247 | Substrate container, load port apparatus, and substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
10910248 | Electronic component mounting apparatus | SHINKAWA LTD. |
10910249 | Systems and methods for automated wafer handling | -- |
10910250 | Method of mechanical separation for a double layer transfer | SOITEC |
10910251 | Pin control method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10910252 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
10910253 | Magnetically levitated and rotated chuck for processing microelectronic substrates in a process chamber | TEL MANUFACTURING AND ENGINEERING OF AMERICA, INC. |
10910254 | Transfer device | -- |
10910255 | Arrays of cross-point memory structures | MICRON TECHNOLOGY, INC. |
10910256 | Pseudo-substrate with improved efficiency of usage of single crystal material | SOITEC |
10910257 | High resistivity SOI wafers and a method of manufacturing thereof | -- |
10910258 | Engineered substrate structure and method of manufacture | QROMIS, INC. |
10910259 | Semiconductor device manufacturing method | TOKYO ELECTRON LIMITED |
10910260 | Method for manufacturing semiconductor device | -- |
10910261 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910262 | Method of selectively depositing a capping layer structure on a semiconductor device structure | ASM IP HOLDING B.V. |
10910263 | Doping control of metal nitride films | APPLIED MATERIALS, INC. |
10910265 | Gate aligned contact and method to fabricate same | INTEL CORPORATION |
10910266 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10910267 | Alignment marks in substrate having through-substrate via (TSV) | -- |
10910268 | Method of manufacturing a chip package | -- |
10910269 | Wafer processing method | DISCO CORPORATION |
10910270 | Method of forming and packaging semiconductor die | MAGNACHIP SEMICONDUCTOR, LTD. |
10910271 | Wafer dicing using femtosecond-based laser and plasma etch | APPLIED MATERIALS, INC. |
10910272 | Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same | SANDISK TECHNOLOGIES LLC |
10910273 | Forming shallow trench isolation regions for nanosheet field-effect transistor devices using sacrificial epitaxial layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910274 | Backside processed semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910275 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910276 | STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method | GLOBALFOUNDRIES INC. |
10910277 | Semiconductor device and method for fabricating the same | -- |
10910278 | Semiconductor device, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10910279 | Variable resistance memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10910280 | Methods for separating bonded wafer structures | -- |
10910281 | Integrated circuit metallic ion diffusion defect validation | MICROSOFT TECHNOLOGY LICENSING, LLC |
10910282 | Prevention of charging damage in full-depletion devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910283 | Pressure sensors on flexible substrates for stress decoupling | INFINEON TECHNOLOGIES AG |
10910284 | Semiconductor device and method of manufacturing thereof | INFINEON TECHNOLOGIES AUSTRIA AG |
10910286 | Wafer-level system-in-package packaging method and package structure thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10910287 | Semiconductor package with protected sidewall and method of forming the same | STMICROELECTRONICS PTE LTD |
10910288 | Integrated circuit package structure and package method | BOE TECHNOLOGY GROUP CO., LTD. |
10910289 | Electronic substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910290 | Structures and methods for heat dissipation of semiconductor devices | -- |
10910294 | Semiconductor device and method of manufacturing semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10910295 | QFN pre-molded leadframe having a solder wettable sidewall on each lead | STMICROELECTRONICS, INC. |
10910297 | Package including multiple semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10910298 | Method of forming a molded substrate electronic package and structure | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10910299 | Method of manufacturing semiconductor package substrate and semiconductor package substrate manufactured using the method, and method of manufacturing semiconductor package and semiconductor package manufactured using the method | HAESUNG DS CO., LTD. |
10910303 | Package structure and manufacturing method thereof | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
10910306 | Devices including vias extending through alternating dielectric materials and conductive materials, and related electronic devices | MICRON TECHNOLOGY, INC. |
10910308 | Dual thickness fuse structures | GLOBALFOUNDRIES U.S. INC. |
10910309 | Nanotube structure based metal damascene process | INFINEON TECHNOLOGIES AG |
10910310 | Methods of forming semiconductor devices | MICRON TECHNOLOGY, INC. |
10910312 | Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910313 | Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch | SAMSUNG ELECTRONICS CO., LTD. |
10910314 | Conductive coating for a microelectronics package | INTEL CORPORATION |
10910317 | Semiconductor package having wafer-level active die and external die mount | INTEL CORPORATION |
10910318 | Optically detectable reference feature for die separation | INFINEON TECHNOLOGIES AUSTRIA AG |
10910321 | Semiconductor device and method of making the same | -- |
10910322 | Shielded semiconductor package with open terminal and methods of making | STATS CHIPPAC PTE. LTD. |
10910324 | Semiconductor device having a resin case with a notch groove | FUJI ELECTRIC CO., LTD. |
10910326 | Semiconductor package | MITSUBISHI ELECTRIC CORPORATION |
10910327 | Electronic device package with reduced thickness variation | INTEL CORPORATION |
10910328 | Silicon wafer manufacturing method | SUMCO CORPORATION |
10910331 | Semiconductor device bonding area including fused solder film and manufacturing method | LAPIS SEMICONDUCTOR CO., LTD. |
10910334 | Device for inspecting a bump height surrounded by resist, device for processing a substrate, method for inspecting a bump height, and storage medium | EBARA CORPORATION |
10910337 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10910338 | Electronic device having an under-fill element, a mounting method of the same, and a method of manufacturing a display apparatus having the electronic device | SAMSUNG DISPLAY CO., LTD. |
10910339 | Flip chip bonding method | SAMSUNG ELECTRONICS CO., LTD. |
10910342 | Method for transferring and placing a semiconductor device on a substrate | IMEC VZW |
10910343 | Package structure with improvement layer and fabrication method thereof | TONGFU MICROELECTRONICS CO., LTD. |
10910346 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10910349 | Stacked semiconductor chips having transistor in a boundary region | SAMSUNG ELECTRONICS CO., LTD. |
10910354 | Apparatus for direct transfer of semiconductor device die | ROHINNI, LLC |
10910355 | Bezel-free displays | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10910357 | Semiconductor package including hybrid bonding structure and method for preparing the same | -- |
10910363 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910364 | 3D semiconductor device | MONOLITAIC 3D INC. |
10910366 | 3D stacked integrated circuits having functional blocks configured to accelerate artificial neural network (ANN) computation | MICRON TECHNOLOGY, INC. |
10910367 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10910369 | On-chip security circuit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910370 | Integrated circuit devices including a vertical field-effect transistor (VFET) and a fin field-effect transistor (FinFET) and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10910371 | Self-heating test structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10910372 | Fin field effect transistor devices with modified spacer and gate dielectric thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910373 | Semiconductor device having asymmetric fin-shaped pattern | SAMSUNG ELECTRONICS CO., LTD. |
10910374 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910375 | Semiconductor device and method of fabrication thereof | -- |
10910376 | Semiconductor devices including diffusion break regions | SAMSUNG ELECTRONICS CO., LTD. |
10910377 | LDMOS devices, integrated circuits including LDMSO devices, and methods for fabricating the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10910380 | Method of manufacturing dynamic random access memory | -- |
10910381 | Multicolor approach to DRAM STI active cut patterning | APPLIED MATERIALS, INC. |
10910382 | Method for fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10910383 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10910384 | Memory devices and methods of fabricating the same | -- |
10910385 | Vertical gate-all-around TFET | STMICROELECTRONICS, INC. |
10910386 | Semiconductor device and method for fabricating the same | -- |
10910387 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10910388 | Semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10910389 | Methods and apparatuses with vertical strings of memory cells and support circuitry | MICRON TECHNOLOGY, INC. |
10910394 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10910395 | Methods of forming semiconductor device structures | MICRON TECHNOLOGY, INC. |
10910399 | Three dimensional memory device and method for fabricating the same | -- |
10910402 | Three-dimensional and flash memory and manufacturing method thereof | -- |
10910403 | Memory cells comprising a programmable field effect transistor having a reversibly programmable gate insulator | MICRON TECHNOLOGY, INC. |
10910405 | Backside fin recess control with multi-HSI option | INTEL CORPORATION |
10910412 | Etchant composition, and method for manufacturing metal pattern and array substrate using the same | SAMSUNG DISPLAY CO., LTD. |
10910414 | Photodetector in a silicon carbide integrated circuit | COOLCAD ELECTRONICS, LLC |
10910416 | Semiconductor device, image pickup device, and method for manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10910438 | Memory array with graded memory stack resistances | MICRON TECHNOLOGY, INC. |
10910465 | 3D printed semiconductor package | TEXAS INSTRUMENTS INCORPORATED |
10910466 | Process for tuning via profile in dielectric material | -- |
10910467 | Capacitor including first electrode, dielectric layer, and second electrode, image sensor, method for manufacturing capacitor, and method for manufacturing image sensor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10910469 | Semiconductor device with conducting structure for reducing parasitic capacitance and improving RC delay | -- |
10910471 | Device with large EPI in FinFETs and method of manufacturing | GLOBALFOUNDRIES INC. |
10910472 | Integrated circuit, LDMOS with bottom gate and ballast drift | TEXAS INSTRUMENTS INCORPORATED |
10910473 | Apparatuses with atomically-thin ohmic edge contacts between two-dimensional materials, methods of making same, and devices comprising same | CORNELL UNIVERSITY |
10910474 | Group III nitride semiconductor substrate and method for manufacturing group III nitride semiconductor substrate | FURUKAWA CO., LTD. |
10910476 | Integrated structures having gallium-containing regions | MICRON TECHNOLOGY, INC. |
10910477 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10910479 | Gate feature in FinFET device | -- |
10910480 | Transistor with multi-metal gate | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
10910482 | Nanosheet with changing SiGe percentage for SiGe lateral recess | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910483 | Fin diode structure and methods thereof | -- |
10910484 | Bipolar transistor semiconductor device | MURATA MANUFACTURING CO., LTD. |
10910489 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10910492 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10910494 | Method and structure for forming vertical transistors with various gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910495 | Thin film transistors with epitaxial source/drain and drain field relief | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10910496 | FinFET device with asymmetrical drain/source feature | -- |
10910497 | Thin film transistor having light shielding layer | BOE TECHNOLOGY GROUP CO., LTD. |
10910498 | Array substrate, method for fabricating the same and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10910501 | Stucture and method for SIC based protection device | MONOLITH SEMICONDUCTOR, INC. |
10910510 | Encapsulated flexible electronic device, and corresponding manufacturing method | STMICROELECTRONICS S.R.L. |
10910514 | Molded etch masks | FACEBOOK TECHNOLOGIES, LLC |
10910522 | High efficiency LEDs and LED lamps | COOLEDGE LIGHTING INC. |
10910523 | Light emitting device | -- |
10910537 | Light emitting device, resin-attached lead frame, and methods of manufacturing the same | NICHIA CORPORATION |
10910540 | Solid state light emitter die having a heat spreader between a plurality lead frame | SIGNIFY HOLDING B.V. |
10910552 | Magnetic memory device, method for manufacturing the same, and substrate treating apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10910595 | Flexible display panel and flexible display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10910705 | Antenna in package device having substrate stack | TEXAS INSTRUMENTS INCORPORATED |
10910782 | Treatment, before the bonding of a mixed Cu-oxide surface, by a plasma containing nitrogen and hydrogen | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10912192 | Flexible circuit board, COF module and electronic device comprising the same | LG INNOTEK CO., LTD. |
10913137 | Method for polishing silicon wafer | SHIN-ETSU HANDOTAI CO., LTD. |
10913183 | Process for producing package for mounting a semiconductor element and mold release film | AGC INC. |
10913248 | Method for manufacturing electronic component, resin composition for temporary fixing, resin film for temporary fixing, and resin film sheet for temporary fixing | SHOWA DENKO MATERIALS CO., LTD. |
10913254 | Method for debonding temporarily adhesive-bonded carrier-workpiece pair by using chemical and mechanical means | DIDREW TECHNOLOGY (BVI) LIMITED |
10913601 | Temporary storage system | MURATA MACHINERY, LTD. |
10913754 | Lanthanum compound and methods of forming thin film and integrated circuit device using the lanthanum compound | SAMSUNG ELECTRONICS CO., LTD. |
10913893 | Additive to phosphoric acid etchant | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10913998 | Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films | IONQUESTCORP. |
10914004 | Thin-film deposition method and manufacturing method of semiconductor device | ASM IP HOLDING B.V. |
10914005 | Substrate processing apparatus having gas guide capable of suppressing gas diffusion | KOKUSAI ELECTRIC CORPORATION |
10914007 | Method and apparatus for substrate processing | NPS CORPORATION |
10914008 | Method and solution for forming interconnects | IMEC VZW |
10914018 | Porous Cu on Cu surface for semiconductor packages | INFINEON TECHNOLOGIES AG |
10914539 | Two piece aluminum heat sink | OSRAM SYLVANIA INC. |
10914655 | Optical time-domain reflectometer device including multiple and bi-directional optical testing for fiber analysis | VIAVI SOLUTIONS INC. |
10914783 | Test circuit and semiconductor device | ABLIC INC. |
10914998 | Array substrate of thin-film transistor liquid crystal display device and method for manufacturing the same | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10915015 | EUV mask blank, photomask manufactured by using the EUV mask blank, lithography apparatus using the photomask and method of fabricating semiconductor device using the photomask | SAMSUNG ELECTRONICS CO., LTD. |
10915016 | Mask blank, method for manufacturing phase shift mask, and method for manufacturing semiconductor device | HOYA CORPORATION |
10915024 | Method for manufacturing pattern for electronic devices, and fiber-type electronic device comprising the pattern for electronic devices | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10915025 | Substrate treating method | SCREEN HOLDINGS CO., LTD. |
10915026 | Substrate treating apparatus and substrate treating method | SEMES CO., LTD. |
10915029 | Particle irradiation apparatus, beam modifier device, and semiconductor device including a junction termination extension zone | INFINEON TECHNOLOGIES AG |
10915394 | Schemes for protecting data in NVM device using small storage footprint | APPLE INC. |
10916192 | Display with redundant light emitting devices | FACEBOOK TECHNOLOGIES, LLC |
10916286 | Assisted write method for MRAM testing and field applications | -- |
10916408 | Apparatus and method of forming plasma using a pulsed waveform | APPLIED MATERIALS, INC. |
10916409 | Active control of radial etch uniformity | LAM RESEARCH CORPORATION |
10916410 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
10916416 | Semiconductor wafer with modified surface and fabrication method thereof | -- |
10916417 | Pre-processing method, method for forming metal silicide and semiconductor processing apparatus | NEXCHIP SEMICONDUCTOR CORPORATION |
10916418 | Using sacrificial polymer materials in semiconductor processing | MICRON TECHNOLOGY, INC. |
10916419 | Insulator, capacitor with the same and fabrication method thereof, and method for fabricating semiconductor device | KEY FOUNDRY CO., LTD. |
10916420 | Processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10916421 | Method of manufacturing epitaxial silicon wafers | GLOBALWAFERS JAPAN CO., LTD. |
10916422 | Buffer layers having composite structures | XIAMEN CHANGELIGHT CO., LTD. |
10916423 | Paste composition and method for forming silicon germanium layer | TOYO ALUMINIUM KABUSHIKI KAISHA |
10916424 | Methods for forming graded wurtzite III-nitride alloy layers | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10916425 | Method for manufacturing silicon single crystal, flow straightening member, and single crystal pulling device | SUMCO CORPORATION |
10916426 | Formation of crystalline, layered transition metal dichalcogenides | APPLIED MATERIALS, INC. |
10916427 | Forming contact holes using litho-etch-litho-etch approach | -- |
10916428 | Method to transfer patterns to a layer | TOKYO ELECTRON LIMITED |
10916429 | Semiconductor device packages and stacked package assemblies including high density interconnections | -- |
10916430 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916431 | Robust gate cap for protecting a gate from downstream metallization etch operations | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916432 | Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916433 | Methods of forming metal silicide layers and metal silicide layers formed therefrom | APPLIED MATERIALS, INC. |
10916434 | Feature fill with multi-stage nucleation inhibition | LAM RESEARCH CORPORATION |
10916435 | Surface treatment composition, method of producing surface treatment composition, method of treating surface, and method of producing semiconductor substrate | FUJIMI INCORPORATED |
10916436 | Plasma dicing method | SAMSUNG ELECTRONICS CO., LTD. |
10916437 | Methods of forming micropatterns and substrate processing apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10916438 | Method of multiple gate oxide forming with hard mask | ALLEGRO MICROSYSTEMS, LLC |
10916439 | Mask-integrated surface protective film | FURUKAWA ELECTRIC CO., LTD. |
10916440 | Process and apparatus for processing a nitride structure without silica deposition | TOKYO ELECTRON LIMITED |
10916441 | Method for producing semiconductor chips | FURUKAWA ELECTRIC CO., LTD. |
10916442 | Etching method | TOKYO ELECTRON LIMITED |
10916443 | Spacer-damage-free etching | -- |
10916445 | Method for preparing a p-type semiconductor layer, enhanced device and method for manufacturing the same | ENKRIS SEMICONDUCTOR, INC. |
10916446 | Use of wafer brightness to monitor laser anneal process and laser anneal tool | X-FAB TEXAS, INC. |
10916447 | Semiconductor device and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10916448 | Method for creating a wettable surface for improved reliability in QFN packages | TEXAS INSTRUMENTS INCORPORATED |
10916449 | Semiconductor package and method for fabricating base for semiconductor package | -- |
10916450 | Package of integrated circuits having a light-to-heat-conversion coating material | -- |
10916451 | Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems | APPLIED MATERIALS, INC. |
10916452 | Wafer drying equipment and method thereof | -- |
10916453 | Lithographic apparatus, method of transferring a substrate and device manufacturing method | ASML NETHERLANDS B.V. |
10916454 | Method of stripping a photoresist, and method of manufacturing a semiconductor device | ABLIC INC. |
10916455 | Flattening method and flattening apparatus | EBARA CORPORATION |
10916456 | Substrate liquid processing apparatus and substrate liquid processing method | TOKYO ELECTRON LIMITED |
10916457 | Heating device and semiconductor manufacturing apparatus | NISSIN ION EQUIPMENT CO., LTD. |
10916458 | Transfer head for transferring micro element and transferring method of micro element | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916459 | Protective member forming apparatus | DISCO CORPORATION |
10916460 | Wafer producing apparatus | DISCO CORPORATION |
10916461 | Method of laser scribing of semiconductor workpiece using divided laser beams | EVANA TECHNOLOGIES, UAB |
10916462 | Laser marking focus feedback system having an intensity indication of reflected radiation passed through an objective lens, a beam splitter and a pinhole | KLA-TENCOR CORPORATION |
10916463 | Substrate processing apparatus, substrate processing method and recording medium | TOKYO ELECTRON LIMITED |
10916464 | Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency | APPLIED MATERIALS, INC. |
10916465 | Inorganic light emitting diode (ILED) assembly via direct bonding | FACEBOOK TECHNOLOGIES, LLC |
10916466 | Wafer uniting method | DISCO CORPORATION |
10916467 | Apparatus having on-chip fail safe logic for I/O signal in high integrity functional safety applications | TEXAS INSTRUMENTS INCORPORATED |
10916468 | Semiconductor device with buried local interconnects | ELPIS TECHNOLOGIES INC. |
10916469 | Systems and methods for a semiconductor structure having multiple semiconductor-device layers | -- |
10916470 | Modified dielectric fill between the contacts of field-effect transistors | GLOBALFOUNDRIES INC. |
10916471 | Dual silicide liner flow for enabling low contact resistance | ELPIS TECHNOLOGIES INC. |
10916472 | Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same | TOKYO ELECTRON LIMITED |
10916473 | Method of cleaning wafer after CMP | -- |
10916474 | Method of reducing residual contamination in singulated semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10916475 | Semiconductor device and a method for fabricating the same | -- |
10916476 | Semiconductor devices with various line widths and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10916477 | Fin field-effect transistor devices and methods of forming the same | -- |
10916478 | Methods of performing fin cut etch processes for FinFET semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
10916479 | Fabrication method for fin-based semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10916480 | Magnetic wall utilization type analog memory device, magnetic wall utilization type analog memory, nonvolatile logic circuit, and magnetic neuro device | TDK CORPORATION |
10916481 | Thickness sensor for conductive features | -- |
10916482 | Semiconductor device and method of forming reconstituted wafer with larger carrier to achieve more EWLB packages per wafer with encapsulant deposited under temperature and pressure | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
10916484 | Electronic device including redistribution layer pad having a void | INFINEON TECHNOLOGIES AG |
10916485 | Molded wafer level packaging | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10916486 | Semiconductor device including silane based adhesion promoter and method of making | INTEL CORPORATION |
10916488 | Semiconductor package having thermal conductive pattern surrounding the semiconductor die | -- |
10916492 | Semiconductor substrate and method of manufacturing the same | -- |
10916494 | Device comprising first solder interconnects aligned in a first direction and second solder interconnects aligned in a second direction | QUALCOMM INCORPORATED |
10916495 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10916498 | Interconnect structure for logic circuit | -- |
10916499 | Vias and gaps in semiconductor interconnects | INTEL CORPORATION |
10916500 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10916501 | Back end of line electrical fuse structure and method of fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916502 | Semiconductor device and manufacturing method thereof | -- |
10916503 | Back end of line metallization structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916504 | Three-dimensional memory device including electrically conductive layers with molybdenum-containing liners | SANDISK TECHNOLOGIES LLC |
10916505 | Graphene diffusion barrier | APPLIED MATERIALS, INC. |
10916506 | Semiconductor device | DENSO CORPORATION |
10916507 | Multiple chip carrier for bridge assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916508 | Semiconductor device package with radiation shield | TOSHIBA MEMORY CORPORATION |
10916509 | Substrate, method of sawing substrate, and semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916514 | Metal-free frame design for silicon bridges for semiconductor packages | INTEL CORPORATION |
10916515 | Systems and methods using an RF circuit on isolating material | INFINEON TECHNOLOGIES AG |
10916517 | Redistribution layer metallic structure and method | -- |
10916519 | Method for manufacturing semiconductor package with connection structures including via groups | -- |
10916520 | Semiconductor device, and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
10916521 | Electrical connector with an elastic body having carbon nanotubes and method for manufacturing same | SHIN-ETSU POLYMER CO., LTD. |
10916523 | Microdevice transfer setup and integration of micro-devices into system substrate | VUEREAL INC. |
10916529 | Electronics card including multi-chip module | -- |
10916533 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10916534 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916535 | Semiconductor device including a field effect transistor | SAMSUNG ELECTRONICS CO., LTD. |
10916538 | Semiconductor device and manufacturing method therefor, solid-state imaging element, and electronic equipment | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10916540 | Device including PCM RF switch integrated with group III-V semiconductors | NEWPORT FAB, LLC |
10916542 | Recessed STI as the gate dielectric of HV device | -- |
10916543 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10916544 | Gate-all-around quantum well complementary inverter and method of making the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD |
10916546 | Enhanced channel strain to reduce contact resistance in NMOS FET devices | -- |
10916547 | Floating body memory cell having gates favoring different conductivity type regions | INTEL CORPORATION |
10916549 | Semiconductor devices including enlarged contact hole and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10916555 | Structure of memory cell and method for fabricating the same | -- |
10916558 | NOR flash memory and method of fabricating the same | -- |
10916559 | Nonvolatile semiconductor memory device and manufacturing method thereof | KIOXIA CORPORATION |
10916560 | Crenellated charge storage structures for 3D NAND | -- |
10916561 | Method of fabricating semiconductor device | TOKYO ELECTRON LIMITED |
10916564 | Assemblies having vertically-extending structures, and methods of forming assemblies having vertically-extending channel material pillars | MICRON TECHNOLOGY, INC. |
10916565 | LTPS substrate and fabricating method thereof, thin film transistor thereof, array substrate thereof and display device thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10916566 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10916577 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10916582 | Vertically-strained silicon device for use with a perpendicular magnetic tunnel junction (PMTJ) | SPIN MEMORY, INC. |
10916590 | Light emitting element and display device | SONY CORPORATION |
10916598 | OLED array substrate, method for fabricating the same, OLED pixel circuit, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916605 | Display substrate, method of manufacturing the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10916611 | Organic light emitting display device and fabricating method thereof | LG DISPLAY CO., LTD. |
10916621 | Capacitor including first electrode, second electrode, and dielectric layer, image sensor, and method for producing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10916624 | Semiconductor integrated circuit and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
10916627 | Nanosheet transistor with fully isolated source and drain regions and spacer pinch off | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916629 | Nanosheet-CMOS EPROM device with epitaxial oxide charge storage region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916630 | Nanosheet devices with improved electrostatic integrity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916633 | Silicon germanium FinFET with low gate induced drain leakage current | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916634 | Method of fabricating a flash memory | -- |
10916636 | Method of forming gate | -- |
10916637 | Method of forming gate spacer for nanowire FET device | TOKYO ELECTRON LIMITED |
10916638 | Vertical fin field effect transistor devices with reduced top source/drain variability and lower resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916640 | Approach to high-k dielectric feature uniformity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916641 | Thin film transistor, method of manufacturing thin film transistor, and manufacturing system | -- |
10916645 | Compound semiconductor device including protective layer and ohmic electrode | FUJITSU LIMITED |
10916646 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10916647 | FET transistor on a III-V material structure with substrate transfer | HRL LABORATORIES, LLC |
10916649 | Vertical field effect transistor with reduced external resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916650 | Uniform bottom spacer for VFET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916651 | Body contact in fin field effect transistor design | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916652 | Asymmetric transistors and related devices and methods | INTEL CORPORATION |
10916655 | Ferroelectric semiconductor device including a ferroelectric and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10916656 | MOS devices having epitaxy regions with reduced facets | -- |
10916657 | Tensile strain in NFET channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916659 | Asymmetric threshold voltage FinFET device by partial channel doping variation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916660 | Vertical transistor with a body contact for back-biasing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916661 | Thin film transistor substrate provided with protective film and method for producing same | MERCK PATENT GMBH |
10916664 | Non-volatile memory and manufacturing method for the same | NEXCHIP SEMICONDUCTOR CO., LTD. |
10916675 | High efficiency multijunction photovoltaic cells | ARRAY PHOTONICS, INC. |
10916681 | Semiconductor stacking structure, and method and apparatus for separating nitride semiconductor layer using same | SAMSUNG ELECTRONICS CO., LTD. |
10916688 | Eutectic electrode structure of flip-chip LED chip and flip-chip LED chip | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10916694 | Magnetoresistive random access memory (MRAM) device | -- |
10916696 | Method for manufacturing magnetic memory element with post pillar formation annealing | SPIN MEMORY, INC. |
10916699 | Resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10916878 | Unitary molded USB device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10917052 | Dual device semiconductor structures with shared drain | CIRRUS LOGIC, INC. |
10917069 | Electronic component | MURATA MANUFACTURING CO., LTD. |
10917953 | Electrically parallel fused LEDs | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10917965 | Display panel and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10917966 | Articles including metallized vias | CORNING INCORPORATED |
10917994 | Wickless capillary driven constrained vapor bubble heat pipes for application in rack servers | INTEL CORPORATION |
10918298 | High-speed, high-resolution electrophysiology in-vivo using conformal electronics | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
10919071 | Rotary plate for holding a substrate for a coating device | OBDUCAT AB |
10919123 | Piezo-electric end-pointing for 3D printed CMP pads | APPLIED MATERIALS, INC. |
10919195 | Imprint apparatus and method of manufacturing article | CANON KABUSHIKI KAISHA |
10919280 | Two-dimensional material printer and transfer system and method for atomically layered materials | THE GEORGE WASHINGTON UNIVERSITY |
10919710 | Transport unit, transfer apparatus, and transfer method | HIRATA CORPORATION |
10919713 | System for loading and unloading a substrate, method for loading a substrate, and method for unloading a substrate | BOE TECHNOLOGY GROUP CO., LTD. |
10919811 | Aluminum-silicon-carbide composite and method of manufacturing same | DENKA COMPANY LIMITED |
10920104 | Abrasive, polishing composition, and polishing method | FUJIMI INCORPORATED |
10920105 | Materials and methods for chemical mechanical polishing of ruthenium-containing materials | -- |
10920106 | Metal chemical mechanical planarization (CMP) composition and methods therefore | VERSUM MATERIALS US, LLC |
10920107 | Self-stopping polishing composition and method for bulk oxide planarization | CMC MATERIALS, INC. |
10920120 | Ceria composite particle dispersion, method for producing same, and polishing abrasive grain dispersion comprising ceria composite particle dispersion | JGC CATALYSTS AND CHEMICALS LTD. |
10920141 | Compositions and methods for selectively etching titanium nitride | ENTEGRIS, INC. |
10920142 | Polysiloxane-based compound, silicon nitride layer etching composition including the same | ENF TECHNOLOGY CO., LTD. |
10920143 | Etching liquid composition and etching method | ADEKA CORPORATION |
10920144 | Etching compositions | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
10920179 | Cleaning solution and method for cleaning substrate | TOKYO OHKA KOGYO CO., LTD. |
10920317 | Shower head, vapor phase growth apparatus and vapor phase growth method | NUFLARE TECHNOLOGY, INC. |
10920318 | Shower plate, semiconductor manufacturing apparatus, and method for manufacturing shower plate | KYOCERA CORPORATION |
10920319 | Ceramic showerheads with conductive electrodes | APPLIED MATERIALS, INC. |
10920320 | Plasma health determination in semiconductor substrate processing reactors | APPLIED MATERIALS, INC. |
10920326 | Etchant composition for etching titanium layer or titanium-containing layer, and etching method | KANTO KAGAKU KABUSHIKI KAISHA |
10920335 | Electroplating apparatus for tailored uniformity profile | NOVELLUS SYSTEMS, INC. |
10920764 | Pumping apparatus, treatment solution supplying device, substrate treating apparatus, liquid draining method, and liquid replacing method | SCREEN HOLDINGS CO., LTD. |
10921057 | Substrate drying method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10921261 | Strontium tetraborate as optical coating material | KLA CORPORATION |
10921348 | Modular device architecture | ROHDE & SCHWARZ GMBH & CO. KG |
10921616 | Optoelectronic device | ROCKLEY PHOTONICS LIMITED |
10921619 | Optical modulator with region epitaxially re-grown over polycrystalline silicon | CISCO TECHNOLOGY, INC. |
10921627 | Methods of manufacturing display panels and display panels | -- |
10921713 | Developing method, computer-readable storage medium and developing apparatus | TOKYO ELECTRON LIMITED |
10921773 | Temperature control method | TOKYO ELECTRON LIMITED |
10921793 | Substrate routing and throughput modeling | APPLIED MATERIALS, INC. |
10922464 | RC tool accuracy time reduction | -- |
10922472 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10922524 | Optical path modulator and manufacturing method thereof, fingerprint identification apparatus and terminal device | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
10922808 | File selection for test image to design alignment | KLA—TENCOR CORP. |
10923286 | Method and apparatus for compensating for high thermal expansion coefficient mismatch of a stacked device | NXP USA, INC. |
10923320 | System for tunable workpiece biasing in a plasma reactor | APPLIED MATERIALS, INC. |
10923321 | Apparatus and method of generating a pulsed waveform | APPLIED MATERIALS, INC. |
10923324 | Microwave plasma source | VERITY INSTRUMENTS, INC. |
10923325 | Method of controlling an implanter operating in plasma immersion | ION BEAM SERVICES |
10923326 | Gas spraying apparatus for substrate processing apparatus and substrate processing apparatus | JUSUNG ENGINEERING CO., LTD. |
10923328 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10923329 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
10923333 | Substrate processing apparatus and substrate processing control method | TOKYO ELECTRON LIMITED |
10923334 | Selective deposition of hardmask | APPLIED MATERIALS, INC. |
10923340 | Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity | LAM RESEARCH CORPORATION |
10923341 | Method of forming oxide layer and method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923342 | Selective modification method of a base material surface | JSR CORPORATION |
10923343 | High-k dielectric layer, fabricating method thereof and multi-function equipment implementing such fabricating method | -- |
10923344 | Methods for forming a semiconductor structure and related semiconductor structures | ASM IP HOLDING B.V. |
10923345 | Epitaxial metal oxide as buffer for epitaxial III-V layers | IQE PLC |
10923346 | Group III nitride semiconductor and method for producing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923347 | Metal oxide film and manufacturing method thereof, thin film transistor and array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923348 | Gate-all-around field effect transistor using template-assisted-slective-epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923349 | Semiconductor element and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
10923350 | Manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923351 | Coating method | SCREEN HOLDINGS CO., LTD. |
10923352 | Method for forming a functionalised guide pattern for a graphoepitaxy method | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923353 | Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same | -- |
10923354 | Etching method | NATIONAL UNIVERSITY CORPORATION SAITAMA UNIVERSITY |
10923355 | Methods and systems for dopant activation using microwave radiation | -- |
10923356 | Gas phase etch with controllable etch selectivity of silicon-germanium alloys | TOKYO ELECTRON LIMITED |
10923357 | Element chip and manufacturing process thereof | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923358 | Substrate processing method | TOKYO ELECTRON LIMITED |
10923359 | Limited dose and angle directed beam assisted ALE and ALD processes for localized coatings on non-planar surfaces | -- |
10923360 | Method of etching film and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10923361 | Deposition of organic films | ASM IP HOLDING B.V. |
10923362 | Manufacturing process of element chip | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923363 | Method for increasing pattern density on a wafer | TOKYO ELECTRON LIMITED |
10923364 | Methods for producing packaged semiconductor devices | INFINEON TECHNOLOGIES AG |
10923365 | Connection structure and method for forming the same | -- |
10923366 | Substrate processing apparatus and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
10923367 | Process chamber for etching low K and other dielectric films | APPLIED MATERIALS, INC. |
10923368 | Substrate processing apparatus, substrate processing method, and storage medium | TOKYO ELECTRON LIMITED |
10923369 | Temperature controlling apparatus, temperature controlling method, and placing table | TOKYO ELECTRON LIMITED |
10923370 | Transport system and transport method | MURATA MACHINERY, LTD. |
10923371 | Metrology system for substrate deformation measurement | APPLIED MATERIALS, INC. |
10923372 | Gas injection device | SINFONIA TECHNOLOGY CO., LTD. |
10923373 | Substrate storage container and gas replacement unit | SHIN-ETSU POLYMER CO., LTD. |
10923374 | Walking beam chamber | APPLIED MATERIALS, INC. |
10923375 | Load port module | BROOKS AUTOMATION, INC. |
10923376 | Rotary stocker and transfer system provided with rotary stocker | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10923377 | Load port and method of detecting abnormality in FOUP lid of load port | SINFONIA TECHNOLOGY CO., LTD. |
10923378 | Micro-component batch transfer systems, methods, and devices | SEAGATE TECHNOLOGY LLC |
10923379 | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure | LAM RESEARCH CORPORATION |
10923380 | Electrostatically clamped edge ring | LAM RESEARCH CORPORATION |
10923381 | Electrostatic chuck device | SUMITOMO OSAKA CEMENT CO., LTD. |
10923382 | Electrostatic chuck | TOTO LTD. |
10923383 | Electrostatic chuck | TOTO LTD. |
10923384 | Transfer robot and apparatus for treating substrate with the robot | SEMES CO., LTD. |
10923385 | Carrier plate for use in plasma processing systems | LAM RESEARCH CORPORATION |
10923386 | Processing chamber | APPLIED MATERIALS, INC. |
10923387 | Array of gated devices and methods of forming an array of gated devices | MICRON TECHNOLOGY, INC. |
10923388 | Gap fill void and connection structures | GLOBALFOUNDRIES U.S. INC. |
10923389 | Air-gap spacers for field-effect transistors | GLOBALFOUNDRIES U.S. INC. |
10923390 | Semiconductor device with air gap and method for fabricating the same | SK HYNIX INC. |
10923391 | Semiconductor device with contact pad | -- |
10923392 | Interconnect structure and method of forming the same | TOKYO ELECTRON LIMITED |
10923393 | Contacts and interconnect structures in field-effect transistors | -- |
10923394 | Platform and method of operating for integrated end-to-end fully self-aligned interconnect process | TOKYO ELECTRON LIMITED |
10923395 | Semiconductor device and manufacturing method of semiconductor device | DENSO CORPORATION |
10923396 | Method of forming self-aligned via | APPLIED MATERIALS, INC. |
10923397 | Through-substrate via structures in semiconductor devices | GLOBALFOUNDRIES INC. |
10923398 | Wafer processing method | DISCO CORPORATION |
10923399 | Hybrid integrated semiconductor tri-gate and split dual-gate FinFET devices and method for manufacturing | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10923400 | Method for producing a plurality of components | OSRAM OLED GMBH |
10923401 | Gate cut critical dimension shrink and active gate defect healing using selective deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923402 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10923403 | Co-integration of high carrier mobility PFET and NFET devices on the same substrate using low temperature condensation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923404 | Inspection method, inspection device, and marking forming method | HAMAMATSU PHOTONICS K.K. |
10923405 | Wafer processing equipment having capacitive micro sensors | APPLIED MATERIALS, INC. |
10923406 | Spectral reflectometry window heater | TEXAS INSTRUMENTS INCORPORATED |
10923407 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923411 | Method for manufacturing an ultrathin heat dissipation structure | AVARY HOLDING (SHENZHEN) CO., LIMITED. |
10923412 | Apparatuses and methods for implementing a sliding thermal interface between substrates with varying coefficients of thermal expansion | CEREBRAS SYSTEMS INC. |
10923414 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10923415 | Semiconductor package having integrated stiffener region | INTEL CORPORATION |
10923416 | Interconnect structure with insulation layer and method of forming the same | -- |
10923417 | Integrated fan-out package with 3D magnetic core inductor | -- |
10923420 | Semiconductor device including dummy contact | SAMSUNG ELECTRONICS CO., LTD. |
10923421 | Package structure and method of manufacturing the same | -- |
10923423 | Interconnect structure for semiconductor devices | -- |
10923424 | Interconnect structure with air-gaps | -- |
10923425 | Power distribution | ARM LIMITED |
10923426 | Standard-cell layout structure with horn power and smart metal cut | -- |
10923427 | SOI wafers with buried dielectric layers to prevent CU diffusion | GLOBALFOUNDRIES U.S. INC. |
10923429 | Multi-chip package and method of providing die-to-die interconnects in same | INTEL CORPORATION |
10923430 | High density cross link die with polymer routing layer | ADVANCED MICRO DEVICES, INC. |
10923431 | Method for forming a 3D IC architecture including forming a first die on a first side of a first interconnect structure and a second die in an opening formed in a second side | -- |
10923432 | Method of manufacturing a semiconductor device with epitaxial layers and an alignment mark | INFINEON TECHNOLOGIES AUSTRIA AG |
10923437 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10923438 | Package structure and method for forming the same | -- |
10923439 | Core substrate, multi-layer wiring substrate, semiconductor package, semiconductor module, copper-clad substrate, and method for manufacturing core substrate | TOPPAN PRINTING CO., LTD. |
10923440 | Method of securing an integrated circuit during manufacturing | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923443 | Electronic device package including a capacitor | INTEL CORPORATION |
10923445 | Monolithic decoupling capacitor between solder bumps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923446 | Indium bump liftoff process on micro-machined silicon substrates | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10923448 | Bond pad with micro-protrusions for direct metallic bonding | MICRON TECHNOLOGY, INC. |
10923450 | Memory arrays with bonded and shared logic circuitry | INTEL CORPORATION |
10923451 | Semiconductor dies having ultra-thin wafer backmetal systems, microelectronic devices containing the same, and associated fabrication methods | NXP USA, INC. |
10923452 | Substrate bonding apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10923455 | Semiconductor apparatus and method for preparing the same | -- |
10923456 | Systems and methods for hierarchical exposure of an integrated circuit having multiple interconnected die | CEREBRAS SYSTEMS INC. |
10923457 | Multi-die module with contactless coupler and a coupling loss reduction structure | TEXAS INSTRUMENTS INCORPORATED |
10923459 | Three dimensional integrated circuit | SILICON GENESIS CORPORATION |
10923460 | Device and methods for the transfer of chips from a source substrate onto a destination substrate | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923462 | Bifurcated memory die module semiconductor device | WESTERN DIGITAL TECHNOLOGIES, INC. |
10923465 | Semiconductor device having stacked semiconductor chips and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10923467 | Series resistor over drain region in high voltage device | -- |
10923469 | Vertical resistor adjacent inactive gate over trench isolation | GLOBALFOUNDRIES U.S. INC. |
10923471 | Minimizing shorting between FinFET epitaxial regions | TESSERA, INC. |
10923472 | Semiconductor device including a multigate transistor formed with fin structure | SAMSUNG ELECTRONICS CO., LTD. |
10923475 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10923476 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10923477 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923478 | Reduction of roughness on a sidewall of an opening | MICRON TECHNOLOGY, INC. |
10923479 | Method for fabricating a memory device | -- |
10923480 | Capacitance reduction in a semiconductor device | MICRON TECHNOLOGY, INC. |
10923481 | Semiconductor integrated circuit structure | -- |
10923488 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
10923489 | Three-dimensional semiconductor devices including vertical structures | SAMSUNG ELECTRONICS CO., LTD. |
10923491 | Hybrid bonding contact structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10923493 | Microelectronic devices, electronic systems, and related methods | MICRON TECHNOLOGY, INC. |
10923494 | Electronic devices comprising a source below memory cells and related systems | MICRON TECHNOLOGY, INC. |
10923496 | Three-dimensional memory device containing a replacement buried source line and methods of making the same | SANDISK TECHNOLOGIES LLC |
10923497 | Electronic device having stacked structures and method for manufacturing the same | SK HYNIX INC. |
10923499 | Semiconductor device and manufacturing method of the same | SK HYNIX INC. |
10923502 | Three-dimensional ferroelectric memory devices including a backside gate electrode and methods of making same | SANDISK TECHNOLOGIES LLC |
10923503 | Semiconductor-on-insulator (SOI) substrate comprising a trap-rich layer with small grain sizes | -- |
10923512 | Array substrate, preparation method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10923514 | Etch chemistries for metallization in electronic devices | H.C. STARCK INC. |
10923521 | Fan-out sensor package and camera module | SAMSUNG ELECTRONICS CO., LTD. |
10923534 | Rectifying element and switching element having the rectifying element | NEC CORPORATION |
10923558 | Display device and method of manufacturing display device | JAPAN DISPLAY INC. |
10923560 | Capacitor including electrode and dielectric layer each containing silicon, and method for manufacturing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10923561 | Semiconductor device | DENSO CORPORATION |
10923562 | Semiconductor device, and method for manufacturing semicondcutor device | ROHM CO., LTD. |
10923564 | Super-junction structure and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10923565 | Self-aligned contact air gap formation | -- |
10923566 | Semiconductor structures and methods of forming the same | -- |
10923567 | Graphene FET with graphitic interface layer at contacts | TEXAS INSTRUMENTS INCORPORATED |
10923570 | Manufacturing method for controlling carrier lifetimes in semiconductor substrates that includes injection and annealing | FUJI ELECTRIC CO., LTD. |
10923571 | Semiconductor device suppressing electric field concentration and method for manufacturing | ROHM CO., LTD. |
10923573 | Forming metal contacts on metal gates | -- |
10923574 | Transistor with inner-gate spacer | INTEL CORPORATION |
10923575 | Low resistance contact for transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923576 | Atomic layer deposition methods and structures thereof | -- |
10923577 | Cavity structures under shallow trench isolation regions | GLOBALFOUNDRIES U.S. INC. |
10923579 | Semiconductor device with interconnect to source/drain | GLOBALFOUNDRIES U.S. INC. |
10923580 | Semiconductor device and method for fabricating the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10923581 | Method for forming semiconductor structure | -- |
10923584 | Graded channels for high frequency III-N transistors | INTEL CORPORATION |
10923585 | High electron mobility transistors having improved contact spacing and/or improved contact vias | CREE, INC. |
10923588 | SGT MOSFET with adjustable CRSS and CISS | HUNTECK SEMICONDUCTOR (SHANGHAI) CO. LTD |
10923589 | High voltage device and manufacturing method thereof | -- |
10923590 | Wrap-around contact for vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10923591 | Method for producing a semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10923592 | High voltage switching device | PSEMI CORPORATION |
10923593 | Transistor and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10923594 | Methods to reduce or prevent strain relaxation on PFET devices and corresponding novel IC products | GLOBALFOUNDRIES U.S. INC. |
10923596 | Camouflaged FinFET and method for producing same | RAMBUS INC. |
10923598 | Gate-all-around structure and methods of forming the same | -- |
10923602 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10923603 | Semiconductor device comprising Schottky barrier diodes | KEY FOUNDRY CO., LTD. |
10923620 | Method of manufacturing of a GaN light emitting diode | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10923621 | Method for reduction of interfacial stress accumulation between double side copper-plated layers and aluminum nitride substrate | -- |
10923652 | Top buffer layer for magnetic tunnel junction application | APPLIED MATERIALS, INC. |
10923659 | Wafers for use in aligning nanotubes and methods of making and using the same | -- |
10923687 | Manufacturing method of display panel and display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10923790 | Low-loss silicon on insulator based dielectric microstrip line | CITY UNIVERSITY OF HONG KONG |
10926288 | Coating method, coating apparatus and recording medium | TOKYO ELECTRON LIMITED |
10926301 | Liquid supplying device and liquid supplying method | EBARA CORPORATION |
10926357 | Method and functional architecture for inline repair of defective imaging arrays | DPIX, LLC |
10926521 | Method and system for mass assembly of thin film materials | PALO ALTO RESEARCH CENTER INCORPORATED |
10926524 | Removal method of carrier plate | DISCO CORPORATION |
10926960 | Overhead conveyance vehicle | DAIFUKU CO., LTD. |
10927004 | Method for bonding wafers eutectically, and a wafer composite | ROBERT BOSCH GMBH |
10927249 | Resin compositions for underfill film for three dimensional through silica via (TSV) packages and compositions useful for the preparation thereof | HENKEL IP & HOLDING GMBH |
10927327 | Treatment liquid, method for washing substrate, and method for manufacturing semiconductor device | FUJIFILM CORPORATION |
10927329 | Cleaning formulation for removing residues on surfaces | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
10927443 | Vapor deposition mask, method for manufacturing vapor deposition mask, vapor deposition method, and method for manufacturing organic el display device | -- |
10927449 | Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment | APPLIED MATERIALS, INC. |
10927450 | Methods and apparatus for patterning substrates using asymmetric physical vapor deposition | APPLIED MATERIALS, INC. |
10927451 | Methods and apparatus for patterning substrates using asymmetric physical vapor deposition | APPLIED MATERIALS, INC. |
10927453 | TiN-based film and TiN-based film forming method | TOKYO ELECTRON LIMITED |
10927454 | Method of forming nitride film | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10927455 | Assembly of chamber lid and ceiling for semiconductor processes and film deposition | -- |
10927459 | Systems and methods for atomic layer deposition | ASM IP HOLDING B.V. |
10927462 | Gas control system and film formation apparatus provided with gas control system | HORIBA STEC, CO., LTD. |
10927468 | Copper electroplating compositions and methods of electroplating copper on substrates | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10927475 | Controlling plating electrolyte concentration on an electrochemical plating apparatus | LAM RESEARCH CORPORATION |
10928437 | Method of inspecting a specimen and system thereof | APPLIED MATERIALS ISRAEL LTD. |
10928590 | Methods of forming photonic devices | -- |
10928689 | Display device and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10928693 | Array substrate, repair method of array substrate, display panel and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10928725 | Method for the directed self-assembly of a block copolymer by graphoepitaxy | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10928732 | Substrate liquid processing apparatus, substrate liquid processing method, and storage medium | TOKYO ELECTRON LIMITED |
10928739 | Method of measuring misregistration of semiconductor devices | KLA-TENCOR CORPORATION |
10928740 | Three-dimensional calibration structures and methods for measuring buried defects on a three-dimensional semiconductor wafer | KLA CORPORATION |
10928798 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10928806 | Device for controlling substrate processing apparatus and method for displaying substrate processing | TOKYO ELECTRON LIMITED |
10930333 | Embedded ferroelectric memory cell | -- |
10930470 | Pulsed, bidirectional radio frequency source/load | MKS INSTRUMENTS, INC. |
10930471 | Methods and apparatus for etching semiconductor structures | APPLIED MATERIALS, INC. |
10930472 | Methods for forming a metal silicide interconnection nanowire structure | APPLIED MATERIALS, INC. |
10930475 | Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films | APPLIED MATERIALS, INC. |
10930478 | Apparatus with optical cavity for determining process rate | LAM RESEARCH CORPORATION |
10930490 | Arrays of high-aspect-ratio germanium nanostructures with nanoscale pitch and methods for the fabrication thereof | WISCONSIN ALUMNI RESEARCH FOUNDATION |
10930491 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
10930492 | Method for producing SiC epitaxial wafer and apparatus for producing SiC epitaxial wafer | SHOWA DENKO K.K. |
10930493 | Linerless continuous amorphous metal films | APPLIED MATERIALS, INC. |
10930494 | Vapor phase transport system and method for depositing perovskite semiconductors | SWIFT SOLAR INC. |
10930495 | Integrated circuits with doped gate dielectrics | -- |
10930496 | Method for fabricating heteroepitaxial semiconductor material on a mica sheet | -- |
10930497 | Semiconductor substrate and method for producing a semiconductor substrate | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
10930498 | Methods for producing nanowire stack GAA device with inner spacer | -- |
10930499 | Semiconductor structure formation | MICRON TECHNOLOGY, INC. |
10930500 | Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon CMOS-compatible semiconductor devices | INTEL CORPORATION |
10930502 | Blocking structures on isolation structures | -- |
10930503 | Geometric control of bottom-up pillars for patterning applications | APPLIED MATERIALS, INC. |
10930504 | Selective gas etching for self-aligned pattern transfer | TESSERA, INC. |
10930505 | Methods for integrated circuit design and fabrication | -- |
10930506 | Gallidation assisted impurity doping | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
10930507 | Reduce well dopant loss in FinFETs through co-implantation | -- |
10930508 | Replacement metal gate formation of PMOS ultra-low voltage devices using a thermal implant | APPLIED MATERIALS, INC. |
10930509 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930510 | Semiconductor device with improved contact resistance and via connectivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930511 | Copper electrodeposition sequence for the filling of cobalt lined features | LAM RESEARCH CORPORATION |
10930512 | Method of processing workpiece | DISCO CORPORATION |
10930513 | Method of producing silicon elements and integrated circuits | UNITOOL CONSULTING CO. |
10930514 | Method and apparatus for the planarization of surfaces | FEI COMPANY |
10930515 | Method for selective etching of nanostructures | ALIXLABS AB |
10930516 | Semiconductor device and semiconductor device manufacturing method | SONY CORPORATION |
10930517 | Method of forming fin-shaped structure | -- |
10930518 | OLED substrate and manufacturing method thereof, display device and manufacturing method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10930519 | Wet etching of samarium selenium for piezoelectric processing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930520 | Self-formed liner for interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930521 | Light irradiation type heat treatment method | SCREEN HOLDINGS CO., LTD. |
10930522 | Semiconductor layer, oscillation element, and semiconductor layer manufacturing method | UACJ CORPORATION |
10930523 | Method for manufacturing resin-sealed power semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10930524 | Semiconductor component and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10930525 | Carrier substrate and method of manufacturing semiconductor package using the carrier substrate | SAMSUNG ELECTRONICS CO., LTD. |
10930526 | Rare-earth oxide based coatings based on ion assisted deposition | APPLIED MATERIALS, INC. |
10930527 | Method for controlling temperature of furnace in semiconductor fabrication process | -- |
10930528 | Method for transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10930529 | Formation of elastomeric layer on selective regions of light emitting device | FACEBOOK TECHNOLOGIES, LLC |
10930530 | Methods and apparatus for wafer temperature measurement | APPLIED MATERIALS, INC. |
10930531 | Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes | APPLIED MATERIALS, INC. |
10930532 | Object detection system | BPM MICROSYSTEMS |
10930533 | Substrate processing apparatus, substrate processing system and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
10930534 | Method and device for manufacturing a display apparatus, and computer-readable storage medium | BOE TECHNOLOGY GROUP CO., LTD. |
10930535 | RFID part authentication and tracking of processing components | APPLIED MATERIALS, INC. |
10930536 | Workpiece stocker with circular configuration | BROOKS AUTOMATION (GERMANY) GMBH |
10930537 | Door opening/closing system, and load port equipped with door opening/closing system | SINFONIA TECHNOLOGY CO., LTD. |
10930538 | Substrate alignment apparatus, substrate processing apparatus, and substrate processing method | SEMES CO., LTD. |
10930539 | Electrostatic chuck heater | NGK INSULATORS, LTD. |
10930540 | Electrostatic chuck assembly having a dielectric filler | APPLIED MATERIALS, INC. |
10930541 | Method of forming a chip arrangement, chip arrangement, method of forming a chip package, and chip package | INFINEON TECHNOLOGIES AG |
10930542 | Apparatus for handling various sized substrates | APPLIED MATERIALS, INC. |
10930543 | Thermal processing susceptor | APPLIED MATERIALS, INC. |
10930544 | Method of manufacturing semiconductor device having buried gate electrodes | SAMSUNG ELECTRONICS CO., LTD. |
10930545 | Method for forming semiconductor structure | NEXCHIP SEMICONDUCTOR CORPORATION |
10930546 | TFT substrate and method for manufacturing the same | WUHAN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR |
10930547 | Semiconductor structure and manufacturing method thereof | -- |
10930548 | Methods of forming an apparatus for making semiconductor dieves | MICRON TECHNOLOGY, INC. |
10930549 | Cap structure | GLOBALFOUNDRIES U.S. INC. |
10930550 | Barrier for copper metallization and methods of forming | APPLIED MATERIALS, INC. |
10930551 | Methods for fabricating a low-resistance interconnect | -- |
10930552 | Method of semiconductor integrated circuit fabrication | -- |
10930553 | Forming self-aligned vias and air-gaps in semiconductor fabrication | TESSERA, INC. |
10930554 | Semiconductor device and method for manufacturing the same | -- |
10930555 | Contact over active gate structure | APPLIED MATERIALS, INC. |
10930556 | Contact over active gate structure | APPLIED MATERIALS, INC. |
10930557 | Self-aligned contacts | INTEL CORPORATION |
10930558 | Processing apparatus | DISCO CORPORATION |
10930559 | Method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10930560 | Laser-based separation method | SILTECTRA GMBH |
10930561 | SiC substrate processing method | DISCO CORPORATION |
10930562 | Internal via with improved contact for upper semi-conductor layer of a 3D circuit | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10930563 | Formation of stacked nanosheet semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930564 | Metal gate structure cutting process | -- |
10930565 | III-V CMOS co-integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930566 | Complementary metal oxide semiconductor replacement gate high-k metal gate devices with work function adjustments | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930567 | Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930568 | Method and structure to improve overlay margin of non-self-aligned contact in metallization layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930569 | Dual crystal orientation for semiconductor devices | -- |
10930570 | Methods for manufacturing a display device | -- |
10930571 | Test structure and evaluation method for semiconductor photo overlay | SAMSUNG ELECTRONICS CO., LTD. |
10930573 | Circuit module and manufacturing method therefor | MURATA MANUFACTURING CO., LTD. |
10930574 | Semiconductor device and method for manufacturing the same | ROHM CO., LTD. |
10930576 | Gallium-nitride based devices implementing an engineered substrate structure | QROMIS, INC. |
10930580 | Semiconductor device and method of manufacture | -- |
10930581 | Semiconductor package with wettable flank | STMICROELECTRONICS S.R.L. |
10930582 | Semiconductor device having terminals directly attachable to circuit board | TEXAS INSTRUMENTS INCORPORATED |
10930583 | Capacitor embedded with nanocrystals | -- |
10930585 | Memory devices, semiconductor devices and related methods | MICRON TECHNOLOGY, INC. |
10930586 | Integrated fan-out package and method of fabricating the same | -- |
10930589 | Advanced interconnects containing an IMT liner | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930590 | Interconnect device and method | -- |
10930592 | Wafer level fan-out application specific integrated circuit bridge memory stack | GOOGLE LLC |
10930595 | Standard cells having via rail and deep via structures | -- |
10930596 | Embedded die on interposer packages | INTEL CORPORATION |
10930597 | Die screening using inline defect information | KLA-TENCOR CORPORATION |
10930600 | Display substrate, method of manufacturing display substrate, and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930601 | Flexible fan-out wafer level process and structure | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10930602 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930605 | Contact pad for semiconductor device | -- |
10930608 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10930613 | Semiconductor package having recessed adhesive layer between stacked chips | SAMSUNG ELECTRONICS CO., LTD. |
10930615 | Semiconductor device and method of manufacturing semiconductor device | ROHM CO., LTD. |
10930617 | Packaging method and package structure of wafer-level system-in-package | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10930619 | Multi-wafer bonding structure and bonding method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
10930621 | Die stacking for multi-tier 3D integration | ADVANCED MICRO DEVICES, INC. |
10930622 | Prepackaged stair-stacked memory module in a chip scale system in package, and methods of making same | INTEL CORPORATION |
10930625 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10930628 | Photonic semiconductor device and method | -- |
10930633 | Buffer design for package integration | -- |
10930634 | Integrated circuit system and packaging method therefor | SHENZHEN XIUYUAN ELECTRONIC TECHNOLOGY CO., LTD |
10930635 | Semiconductor device | SEIKO EPSON CORPORATION |
10930646 | Circuit and method of forming the same | ZERO-ERROR SYSTEMS PTE LTD |
10930647 | Semiconductor device including trenches formed in transistor or diode portions | FUJI ELECTRIC CO., LTD. |
10930648 | Semiconductor devices having multi-level metallization structures | SAMSUNG ELECTRONICS CO., LTD. |
10930649 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
10930651 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930652 | Apparatuses including buried digit lines | MICRON TECHNOLOGY, INC. |
10930655 | Semiconductor device with air gap and method for fabricating the same | SK HYNIX INC. |
10930658 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
10930659 | Methods of improving adhesion of photoresist in a staircase structure and methods of forming a staircase structure | MICRON TECHNOLOGY, INC. |
10930660 | Semiconductor memory device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
10930662 | Method for forming staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930663 | Interconnect structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10930666 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10930668 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10930669 | Three dimensional memory device and method for fabricating the same | -- |
10930670 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
10930673 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
10930674 | Three-dimensional flat NAND memory device having curved memory elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
10930676 | Metal oxide thin film semiconductor device monolithically integrated with dissimilar device on the same wafer | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10930678 | Curved array substrate and method of manufacturing thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10930705 | Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930720 | Display device and manufacturing method thereof | JAPAN DISPLAY INC. |
10930721 | Organic light emitting display device and method of manufacturing organic light emitting display device | SAMSUNG DISPLAY CO., LTD. |
10930729 | Fin-based thin film resistor | INTEL CORPORATION |
10930732 | Semiconductor device including a silicon carbide layer | KABUSHIKI KAISHA TOSHIBA |
10930733 | Semiconductor device and method for producing semiconductor device | FUJI ELECTRIC CO., LTD. |
10930734 | Nanosheet FET bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930735 | Gate all around device and method of formation using angled ions | APPLIED MATERIALS, INC. |
10930740 | Multi-direction channel transistor and semiconductor device including the multi-direction channel transistor | SAMSUNG ELECTRONICS CO., LTD. |
10930741 | Silicon carbide semiconductor device and method of manufacturing a silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10930743 | Layered structure, semiconductor device including layered structure, and semiconductor system including semiconductor device | FLOSFIA INC. |
10930746 | Differential type sensing circuit with differential input and output terminal pair | -- |
10930749 | Semiconductor devices having a gate isolation layer and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930750 | Method for forming a qubit device | IMEC VZW |
10930751 | Ferroelectric assemblies | MICRON TECHNOLOGY, INC. |
10930752 | Contact plugs and methods forming same | -- |
10930753 | Trench isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10930754 | Replacement metal gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930755 | Self-aligned inner spacer on gate-all-around structure and methods of forming the same | -- |
10930757 | Method of manufacturing MOS transistor spacers | STMICROELECTRONICS (ROUSSET) SAS |
10930758 | Space deposition between source/drain and sacrificial layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930759 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930760 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930761 | Pillar-shaped semiconductor device and method for producing the same | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10930762 | Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930763 | Method and device for forming metal gate electrodes for transistors | -- |
10930764 | Extension region for a semiconductor device | TOKYO ELECTRON LIMITED |
10930765 | Method of manufacturing FinFET device with non-recessed STI | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10930766 | Ge NANO wire transistor with GAAS as the sacrificial layer | INTEL CORPORATION |
10930767 | Fin-like field effect transistor patterning methods for achieving fin width uniformity | -- |
10930768 | Low current leakage finFET and methods of making the same | SAMSUNG ELECTRONICS CO., LTD. |
10930769 | Semiconductor device and manufacturing method thereof | -- |
10930771 | Semiconductor device having an insulated gate bipolar transistor and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10930775 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
10930778 | Vertical transistor devices with composite high-K and low-K spacers with a controlled top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930779 | Method of forming a vertical transistor pass gate device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930780 | Low parasitic capacitance and resistance finFET device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930781 | P-type strained channel in a fin field effect transistor (FinFET) device | -- |
10930782 | Method for forming a semiconductor device including a stacked wire structure | -- |
10930785 | Semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10930788 | Display panel and manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
10930793 | Bottom channel isolation in nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10930794 | Self-aligned spacers for multi-gate devices and method of fabrication thereof | -- |
10930797 | Schottky barrier diode and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
10930799 | Semiconductor die with buried capacitor, and method of manufacturing the semiconductor die | STMICROELECTRONICS S.R.L. |
10930802 | Semiconductor device package and method of manufacturing the same | -- |
10930827 | Fluororesin interfacial agent for LED packaging, and methods for preparing and using same | -- |
10930832 | Method for manufacturing semiconductor light emitting device | SEMICON LIGHT CO., LTD. |
10930846 | Methods of forming silicon-containing dielectric materials and methods of forming a semiconductor device comprising nitrogen radicals and oxygen-containing, silicon-containing, or carbon-containing precursors | MICRON TECHNOLOGY, INC. |
10930848 | Variable resistance memory device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10930987 | Microfabricated air bridges for planar microwave resonator circuits | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10931009 | Methods for selectively shielding radio frequency modules | SKYWORKS SOLUTIONS, INC. |
10931081 | Method of producing an optoelectronic lighting device and optoelectronic lighting device | OSRAM OLED GMBH |
10931143 | Rechargeable wafer carrier systems | GLOBALFOUNDRIES U.S. INC. |
10931276 | Combined IGBT and superjunction MOSFET device with tuned switching speed | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10932368 | Substrate-embedded electronic component | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10932371 | Bottom-up electrolytic via plating method | CORNING INCORPORATED |
10932374 | 3-D flex circuit forming | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10933448 | Substrate treatment apparatus and substrate treatment method | SCREEN HOLDINGS CO., LTD. |
10933500 | Blade mounting and dismounting jig, blade mounting and dismounting method, blade extracting method, and cutting apparatus | DISCO CORPORATION |
10933503 | Workpiece grinding method | DISCO CORPORATION |
10933507 | Polishing apparatus | EBARA CORPORATION |
10933532 | Substrate conveying robot and operation method therefor | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10933618 | Carrier plate removing method | DISCO CORPORATION |
10933624 | Photomask pellicle glue residue removal | APPLIED MATERIALS, INC. |
10934163 | Classic-quantum injection interface device | EQUAL1.LABS INC. |
10934216 | Rare-earth oxide based chamber material | APPLIED MATERIALS, INC. |
10934426 | Method for producing a polymer film by using a polymer composition | LG CHEM, LTD. |
10934457 | Altering shear thickening in fumed silica suspensions using nanoparticles | THE UNIVERSITY OF TOLEDO |
10934484 | Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device | VERSUM MATERIALS US, LLC |
10934485 | Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device | VERSUM MATERIALS US, LLC |
10934507 | Compositions comprising trans-1,2-dichloroethylene and an organic compound, and methods of using the same | SHELLEF HOLDINGS INC. |
10934618 | Substrate processing apparatus, substrate loading method, and substrate processing method | TOKYO ELECTRON LIMITED |
10934619 | Gas supply unit and substrate processing apparatus including the gas supply unit | ASM IP HOLDING B.V. |
10934621 | Gas injection module, substrate processing apparatus, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10934622 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10934630 | Method for determining location of power feeding point in electroplating apparatus and electroplating apparatus for plating rectangular substrate | EBARA CORPORATION |
10935501 | Sub-resolution defect detection | ONTO INNOVATION INC. |
10935515 | Stacked nanofluidics structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10935516 | Ion-sensitive field-effect transistor formed with alternating dielectric stack to enhance sensitivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10935551 | Ultra-high sensitivity dual-gated biosensor based on MOS transistor | WUXI PEOPLE'S HOSPITAL |
10935574 | Probe card assembly | SAMSUNG ELECTRONICS CO., LTD. |
10935590 | Electrically-verifiable fuses and method of fuse verification | INFINEON TECHNOLOGIES AG |
10935597 | Semiconductor device including test circuit | SK HYNIX INC. |
10935698 | High aspect ratio glass wafer | CORNING INCORPORATED |
10935825 | Substrate processing method | SCREEN HOLDINGS CO., LTD. |
10935881 | Mask blank, phase shift mask, phase shift mask manufacturing method, and semiconductor device manufacturing method | HOYA CORPORATION |
10935883 | Nanoimprint template with light blocking material and method of fabrication | CANON KABUSHIKI KAISHA |
10935884 | Pattern forming method and methods for manufacturing processed substrate, optical component and quartz mold replica as well as coating material for imprint pretreatment and set thereof with imprint resist | CANON KABUSHIKI KAISHA |
10935893 | Differential methods and apparatus for metrology of semiconductor targets | KLA-TENCOR CORPORATION |
10935894 | Movable body apparatus, exposure apparatus, manufacturing method of flat-panel display and device manufacturing method, and movement method of object | NIKON CORPORATION |
10935895 | Lithographic apparatus | ASML NETHERLANDS B.V. |
10936780 | Method and layout of an integrated circuit | -- |
10937479 | Integration of epitaxially grown channel selector with MRAM device | SPIN MEMORY, INC. |
10937559 | Micro device transferring apparatus and micro device transferring method | BOE TECHNOLOGY GROUP CO., LTD. |
10937620 | Vacuum channel transistor structures with sub-10 nanometer nanogaps and layered metal electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937631 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10937633 | Microwave transmission apparatus and semiconductor processing device | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10937634 | Tunable upper plasma-exclusion-zone ring for a bevel etcher | LAM RESEARCH CORPORATION |
10937635 | Vacuum processing apparatus | HITACHI HIGH-TECH CORPORATION |
10937637 | Determining susceptor service life in a plasma processing chamber | APPLIED MATERIALS, INC. |
10937644 | Using sacrificial solids in semiconductor processing | MICRON TECHNOLOGY, INC. |
10937645 | Methods for preparing self-assembled monolayers | ASM IP HOLDING B.V. |
10937646 | Method for isolating gates in transistors | APPLIED MATERIALS, INC. |
10937647 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
10937648 | Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937649 | Epitaxial growth of cubic and hexagonal InN films and their alloys with AlN and GaN | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10937650 | Semiconductor device having in situ formed horizontal nanowire structure | HRL LABORATORIES, LLC |
10937651 | Laser annealing method | SAKAI DISPLAY PRODUCTS CORPORATION |
10937652 | Method and structure of cut end with self-aligned double patterning | -- |
10937653 | Multiple patterning scheme integration with planarized cut patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937654 | Methods of doping a silicon-containing material and methods of forming a semiconductor device | MICRON TECHNOLOGY, INC. |
10937655 | Memory device with various pass voltages | SK HYNIX INC. |
10937656 | Self-protective layer formed on high-k dielectric layer | -- |
10937657 | Semiconductor device including a reactant metal layer disposed between an aluminum alloy film and a catalyst metal film and method for manufacturing thereof | MITSUBISHI ELECTRIC CORPORATION |
10937658 | LED wafer processing method | DISCO CORPORATION |
10937659 | Method of anisotropically etching adjacent lines with multi-color selectivity | TOKYO ELECTRON LIMITED |
10937660 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
10937661 | Method for removing silicon oxide and integrated circuit manufacturing process | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10937662 | Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry | TOKYO ELECTRON LIMITED |
10937663 | Selective photoresist etching for bridge defect removal | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10937664 | Surface modification process | TOKYO ELECTRON LIMITED |
10937665 | Methods and apparatus for gettering impurities in semiconductors | INTEL CORPORATION |
10937666 | Method for manufacturing lead frame including electrode and hanger lead, method for manufacturing package having lead frame, and method for manufacturing light-emitting device having package | NICHIA CORPORATION |
10937667 | Semiconductor device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937668 | Semiconductor package manufacturing method | DISCO CORPORATION |
10937669 | Substrate solution-treatment apparatus, treatment solution supplying method and storage medium | TOKYO ELECTRON LIMITED |
10937670 | Megasonic cleaner | SAMSUNG ELECTRONICS CO., LTD. |
10937671 | Conductive heat spreader and heat sink assembly for optical devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937672 | Heating device and heating chamber | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10937673 | Substrate processing apparatus, substrate processing method and recording medium | TOKYO ELECTRON LIMITED |
10937674 | Method for transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10937675 | Substrate processing apparatus, substrate processing apparatus control method, and storage medium storing program | EBARA CORPORATION |
10937676 | Substrate processing apparatus and device management controller | KOKUSAI ELECTRIC CORPORATION |
10937677 | Wafer storage container | -- |
10937678 | Substrate support with multiple embedded electrodes | APPLIED MATERIALS, INC. |
10937679 | High-precision printed structures | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10937680 | Setting up ultra-small or ultra-thin discrete components for easy assembly | UNIQARTA, INC. |
10937681 | Wafer support system, wafer support device, system comprising a wafer and a wafer support device as well as mask aligner | SUSS MICROTEC LITHOGRAPHY GMBH |
10937682 | TCB bond tip design to mitigate top die warpage and solder stretching issue | MICRON TECHNOLOGY, INC. |
10937683 | Conveyor inspection system, substrate rotator, and test system having the same | APPLIED MATERIALS, INC. |
10937684 | Placement member and method of manufacturing the same | KYOCERA CORPORATION |
10937685 | Diffusion break structures in semiconductor devices | GLOBALFOUNDRIES INC. |
10937686 | Formation and in-situ treatment processes for gap fill layers | -- |
10937688 | Semiconductor package and method of fabricating semiconductor package | -- |
10937689 | Self-aligned hard masks with converted liners | INTEL CORPORATION |
10937690 | Selective dielectric deposition | MICRON TECHNOLOGY, INC. |
10937691 | Methods of forming an abrasive slurry and methods for chemical-mechanical polishing | -- |
10937692 | Method for reducing via RC delay | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10937693 | Methods, apparatus and system for a local interconnect feature over an active region in a finFET device | GLOBALFOUNDRIES INC. |
10937694 | Chamferless via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937695 | FinFET switch | -- |
10937696 | Capacitor and method for producing the same | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
10937697 | Method of processing a semiconductor wafer that involves cutting to form grooves along the dicing lines and grinding reverse side of the wafer | DISCO CORPORATION |
10937699 | Method of forming a fin under a gate structure | -- |
10937700 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10937701 | Semiconductor device | -- |
10937703 | Field-effect transistor having dual channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937704 | Mixed workfunction metal for nanosheet device | -- |
10937705 | Sample inspection using topography | ONTO INNOVATION INC. |
10937706 | Method of examining defects in a semiconductor specimen and system thereof | APPLIED MATERIALS ISRAEL LTD. |
10937709 | Substrates for semiconductor packages | INFINEON TECHNOLOGIES AG |
10937711 | Electronic device and method for manufacturing same | DENSO CORPORATION |
10937715 | Substrate for power module, collective substrate for power modules, and method for manufacturing substrate for power module | NGK ELECTRONICS DEVICES, INC. |
10937718 | Package structures and method of forming the same | -- |
10937719 | Package structure and method of fabricating the same | -- |
10937720 | Semiconductor device with copper structure | INFINEON TECHNOLOGIES AUSTRIA AG |
10937721 | Semiconductor structure | -- |
10937723 | Package carrier structure having integrated circuit design and manufacturing method thereof | -- |
10937724 | Package structure applied to driving apparatus of display | -- |
10937725 | Semiconductor device and method for manufacturing thereof | MITSUBISHI ELECTRIC CORPORATION |
10937726 | Package structure with embedded core | APPLIED MATERIALS, INC. |
10937727 | Semiconductor module and method for manufacturing semiconductor module | FUJI ELECTRIC CO., LTD. |
10937728 | Preformed lead frame and lead frame package made from the same | -- |
10937729 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937733 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10937734 | Conductive traces in semiconductor devices and methods of forming same | -- |
10937735 | Hybrid under-bump metallization component | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937736 | Hybrid integrated circuit package and method | -- |
10937738 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937739 | Electronic device module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10937741 | Molded laser package with electromagnetic interference shield and method of making | STATS CHIPPAC PTE. LTD. |
10937742 | Package and manufacturing method thereof | -- |
10937743 | Mixing organic materials into hybrid packages | -- |
10937744 | Semiconductor packages including roughening features | INFINEON TECHNOLOGIES AG |
10937745 | Semiconductor chip package array | TONGFU MICROELECTRONICS CO., LTD. |
10937746 | Integrated ultralong time constant time measurement device and fabrication process | STMICROELECTRONICS (ROUSSET) SAS |
10937749 | Methods of forming microelectronic devices including dummy dice | MICRON TECHNOLOGY, INC. |
10937750 | Low stress pad structure for packaged devices | NXP B.V. |
10937751 | Bump structure manufacturing method | LBSEMICON CO., LTD. |
10937756 | Method of aligning wafers, method of bonding wafers using the same, and apparatus for performing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937757 | Device packaging facility and method, and device processing apparatus utilizing DEHT | SEMIGEAR, INC. |
10937758 | Semiconductor-device manufacturing method and manufacturing apparatus | SHINKAWA LTD. |
10937760 | Method for manufacturing a chip package | -- |
10937761 | Semiconductor device package and method for manufacturing the same | -- |
10937763 | Semiconductor leadframes and packages with solder dams and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10937767 | Chip packaging method and device with packaged chips | INNO-PACH TECHNOLOGY PTE LTD |
10937768 | Method of manufacturing display device | SEOUL SEMICONDUCTOR CO., LTD. |
10937771 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
10937772 | Semiconductor package and method for manufacturing the same | -- |
10937774 | Micro LED display panel, method for fabricating the same and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
10937778 | Integrated circuit comprising macros and method of fabricating the same | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10937783 | Semiconductor device and manufacturing method thereof | -- |
10937785 | Semiconductor device | -- |
10937786 | Gate cut structures | GLOBALFOUNDRIES U.S. INC. |
10937787 | Semiconductor devices having different numbers of stacked channels in different regions and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937788 | Memory device having vertical structure | SAMSUNG ELECTRONICS CO., LTD. |
10937789 | Nanosheet eDRAM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937792 | Dense vertical field effect transistor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937793 | Vertical transistor contact for a memory cell with increased density | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937794 | Split gate non-volatile memory cells with FinFET structure and HKMG memory and logic gates, and method of making same | SILICON STORAGE TECHNOLOGY, INC. |
10937795 | Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology | -- |
10937798 | Memory array and a method used in forming a memory array | MICRON TECHNOLOGY, INC. |
10937799 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10937800 | Three-dimensional memory device with on-axis self-aligned drain-select-level isolation structure and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
10937802 | Void formation for charge trap structures | MICRON TECHNOLOGY, INC. |
10937806 | Through array contact (TAC) for three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10937808 | Vertical memory device and method of fabricating the same | SK HYNIX INC. |
10937810 | Sub-fin removal for SOI like isolation with uniform active fin height | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937811 | Integrated circuit devices and fabrication techniques | STMICROELECTRONICS, INC. |
10937840 | Display device | SAMSUNG DISPLAY CO., LTD. |
10937860 | Nanosheet transistor bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937861 | Semiconductor structures including middle-of-line (MOL) capacitance reduction for self-aligned contact in gate stack | TESSERA, INC. |
10937862 | Nanosheet substrate isolated source/drain epitaxy via airgap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937863 | Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937864 | Leakage-free implantation-free ETSOI transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937866 | Method and structure for forming silicon germanium FinFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937867 | Conformal doping for punch through stopper in fin field effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937869 | Systems and methods of masking during high-energy implantation when fabricating wide band gap semiconductor devices | GENERAL ELECTRIC COMPANY |
10937870 | Electric field shielding in silicon carbide metal-oxide-semiconductor (MOS) device cells using body region extensions | GENERAL ELECTRIC COMPANY |
10937871 | III-V transistor device with self-aligned doped bottom barrier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937873 | High electron mobility transistors having improved drain current drift and/or leakage current performance | CREE, INC. |
10937874 | Semiconductor device | NISSAN MOTOR CO., LTD. |
10937876 | Source/drain feature to contact interfaces | -- |
10937877 | Methods for forming recesses in source/drain regions and devices formed thereof | -- |
10937879 | Semiconductor device and manufacturing method thereof | -- |
10937880 | Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
10937882 | Semiconductor device including a field effect transistor | SAMSUNG ELECTRONICS CO., LTD. |
10937883 | Vertical transport FETs having a gradient threshold voltage | ELPIS TECHNOLOGIES INC. |
10937884 | Gate spacer with air gap for semiconductor device structure and method for forming the same | -- |
10937885 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10937889 | Forming thermally stable salicide for salicide first contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937890 | Vertical field-effect transistor late gate recess process with improved inter-layer dielectric protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937891 | Spacer structure and manufacturing method thereof | -- |
10937892 | Nano multilayer carbon-rich low-k spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937893 | Semiconductor device and manufacturing method thereof | -- |
10937894 | Structure of a fin field effect transistor (FinFET) | -- |
10937895 | Epitaxial features confined by dielectric fins and spacers | -- |
10937896 | Device for compound semiconductor Fin structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10937897 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10937898 | Lateral bipolar junction transistor with dual base region | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10937902 | Method for producing a semiconductor device having a fin-shaped semiconductor layer | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
10937904 | Programmable charge-storage transistor, an array of elevationally-extending strings of memory cells, and a method of forming an array of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
10937905 | Transistor having double isolation with one floating isolation | TEXAS INSTRUMENTS INCORPORATED |
10937906 | Semiconductor device including fin structures and manufacturing method thereof | -- |
10937909 | FinFET device including an dielectric region and method for fabricating same | -- |
10937910 | Semiconductor structure with source/drain multi-layer structure and method for forming the same | -- |
10937911 | Method of forming a transistor | MICRON TECHNOLOGY, INC. |
10937913 | Imaging element, solid state imaging device, and electronic device | SONY CORPORATION |
10937923 | Semiconductor device and semiconductor device package including the same | LG INNOTEK CO., LTD. |
10937926 | Light-emitting diodes with buffer layers | XIAMEN CHANGELIGHT CO., LTD. |
10937990 | Encapsulation film | LG CHEM, LTD. |
10940560 | Laser processing apparatus | DISCO CORPORATION |
10940627 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10941011 | Exposure machine and method of transferring a substrate of same | -- |
10941163 | Metal compositions and methods of making same | PRYOG, LLC |
10941280 | Liquid sealing material for copper bump, and resin composition for use as same | NAMICS CORPORATION |
10941301 | Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate | TOKYO OHKA KOGYO CO., LTD. |
10941303 | Chemical conversion of yttria into yttrium fluoride and yttrium oxyfluoride to develop pre-seasoned corossion resistive coating for plasma components | APPLIED MATERIALS, INC. |
10941318 | Method for producing cationically modified silica, cationically modified silica dispersion, method for producing polishing composition using cationically modified silica, and polishing composition using cationically modified silica | FUJIMI INCORPORATED |
10941320 | Adhesive for temporary bonding, adhesive layer, wafer work piece and method for manufacturing semiconductor device using same, rework solvent, polyimide copolymer, polyimide mixed resin, and resin compostion | TORAY INDUSTRIES, INC. |
10941341 | Etching composition additive, method for preparing the same and etching composition comprising the same | SK INNOVATION CO., LTD. |
10941477 | Substrate processing apparatus and susceptor | TOKYO ELECTRON LIMITED |
10941487 | Synthesis and use of precursors for ALD of group VA element containing thin films | ASM IP HOLDING B.V. |
10941492 | Substrate treating method | SCREEN HOLDINGS CO., LTD. |
10941493 | Film formation method | C. UYEMURA & CO., LTD. |
10941498 | Panel to be plated, electroplating process using the same, and chip manufactured from the same | -- |
10941505 | Growing two-dimensional materials through heterogeneous pyrolysis | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10942129 | Chip defect detection device and detection method | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10942214 | Hardware timed over-the-air antenna characterization | NATIONAL INSTRUMENTS CORPORATION |
10942215 | Integrated circuit authentication from a die material measurement | NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SANDIA, LLC |
10942380 | Electrical isolation in photonic integrated circuits | LUMENTUM TECHNOLOGY UK LIMITED |
10942397 | Spatial phase modulator and method for producing spatial phase modulator | HUAWEI TECHNOLOGIES CO., LTD. |
10942440 | Mask blank, phase shift mask, method of manufacturing phase shift mask, and method of manufacturing semiconductor device | HOYA CORPORATION |
10942444 | Optical control modules for integrated circuit device patterning and reticles and methods including the same | NXP USA, INC. |
10942446 | Mask cleaning apparatus and method for cleaning mask | SEMES CO. LTD. |
10942454 | Method for making microstructures and photolithography mask plate | TSINGHUA UNIVERSITY |
10942455 | Manufacturing method of semiconductor chip, and kit | FUJIFILM CORPORATION |
10943766 | Power feed member and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10943773 | Ruthenium sputtering target and ruthenium alloy sputtering target | JX NIPPON MINING & METALS CORPORATION |
10943778 | Method for manufacturing a substrate | SOITEC |
10943779 | Method and system for three-dimensional (3D) structure fill | APPLIED MATERIALS, INC. |
10943780 | Methods for ALD of metal oxides on metal surfaces | APPLIED MATERIALS, INC. |
10943781 | Manufacturing method for light emitting device, light emitting device, and hybrid light emitting device | NAJING TECHNOLOGY CORPORATION LIMITED |
10943782 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10943783 | Method for manufacturing a semiconductor device | -- |
10943784 | Method for optimizing a critical dimension for double patterning for NAND flash | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
10943785 | Laser irradiation apparatus and method for manufacturing semiconductor device | THE JAPAN STEEL WORKS, LTD. |
10943786 | Semiconductor device with self-aligned carbon nanotube gate | ELPIS TECHNOLOGIES INC. |
10943787 | Confined work function material for gate-all around transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943788 | Abatement and strip process chamber in a load lock configuration | APPLIED MATERIALS, INC. |
10943789 | Methods and systems for advanced ion control for etching processes | LAM RESEARCH CORPORATION |
10943790 | Semiconductor devices and methods for manufacturing the same | -- |
10943791 | Pattern formation method and method for manufacturing a semiconductor device | -- |
10943792 | 3D stacked-in-recess system in package | INTEL CORPORATION |
10943794 | Semiconductor device assembly with pillar array and test ability | MICRON TECHNOLOGY, INC. |
10943795 | Apparatus and methods for creating a thermal interface bond between a semiconductor die and a passive heat exchanger | INDIUM CORPORATION |
10943796 | Semiconductor device assembly having a thermal interface bond between a semiconductor die and a passive heat exchanger | INDIUM CORPORATION |
10943798 | Fan-out structure and method of fabricating the same | -- |
10943799 | Nozzle for cleaning substrate | HS HI-TECH CO., LTD. |
10943800 | Semiconductor package device and method of forming package body | -- |
10943801 | Laser processing method | DISCO CORPORATION |
10943802 | Photoresist bottle container | -- |
10943803 | Methods and assemblies for gas flow ratio control | APPLIED MATERIALS, INC. |
10943804 | Monitoring of process chamber | -- |
10943805 | Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing | APPLIED MATERIALS, INC. |
10943806 | Substrate processing apparatus, method of manufacturing semiconductor device, and non- transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
10943807 | Method and device for alignment of substrates | EV GROUP E. THALLNER GMBH |
10943808 | Ceramic electrostatic chuck having a V-shape seal band | APPLIED MATERIALS, INC. |
10943809 | Electrostatic chuck including ceramic dielectric substrate | TOTO LTD. |
10943810 | Device and method for bonding | EV GROUP E. THALLNER GMBH |
10943811 | Tape affixing apparatus | DISCO CORPORATION |
10943812 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10943813 | Radio frequency silicon on insulator wafer platform with superior performance, stability, and manufacturability | -- |
10943814 | Etch stop member in buried insulator of SOI substrate to reduce contact edge punch through | GLOBALFOUNDRIES U.S. INC. |
10943815 | Structure for radiofrequency applications | SOITEC |
10943816 | Mask removal for tight-pitched nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943817 | Etch-stop layer topography for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10943818 | Semiconductor device and method | -- |
10943819 | Semiconductor structure having a plurality of capped protrusions | -- |
10943820 | Gap-fill method having improved gap-fill capability | -- |
10943821 | Method of manufacturing semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10943822 | Forming gate line-end of semiconductor structures | -- |
10943823 | Conductive feature formation and structure using bottom-up filling deposition | -- |
10943824 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10943825 | Method for dicing die attach film | PLASMA-THERM LLC |
10943826 | Method for arranging a plurality of seed substrates on a carrier element and carrier element having seed substrates | NEXWAFE GMBH |
10943827 | Semiconductor device with fin structures | -- |
10943828 | Residue-free metal gate cutting for fin-like field effect transistor | -- |
10943829 | Slot contacts and method forming same | -- |
10943830 | Self-aligned structure for semiconductor devices | -- |
10943831 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943832 | Semiconductor device and manufacturing method thereof | -- |
10943833 | Silicon and silicon germanium nanowire formation | -- |
10943834 | Replacement contact process | APPLIED MATERIALS, INC. |
10943835 | Fabrication of silicon germanium channel and silicon/silicon germanium dual channel field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943836 | Gallium nitride NMOS on Si (111) co-integrated with a silicon PMOS | INTEL CORPORATION |
10943837 | Device having overlapping semiconductor fins oriented in different directions | STMICROELECTRONICS, INC. |
10943838 | Measurement of overlay error using device inspection system | KLA-TENCOR CORPORATION |
10943839 | Imager system comprising lens composed of a plurality of material layers | JABIL INC. |
10943840 | Display device including an adhesive layer | SAMSUNG DISPLAY CO., LTD. |
10943841 | Substrates, structures within a scribe-line area of a substrate, and methods of forming a conductive line of a redistribution layer of a substrate and of forming a structure within a scribe-line area of the substrate | MICRON TECHNOLOGY, INC. |
10943843 | Semiconductor package structure | -- |
10943844 | Semiconductor device including multiple chips | TOSHIBA MEMORY CORPORATION |
10943846 | Chip package structure with heat conductive component and manufacturing thereof | -- |
10943851 | Reconstituted wafer assembly | INTEL CORPORATION |
10943852 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
10943853 | Semiconductor device and manufacturing method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
10943856 | System in package device including inductor | TEXAS INSTRUMENTS INCORPORATED |
10943857 | Substrate with multi-layer resin structure and semiconductor device including the substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10943858 | Semiconductor package and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943859 | Semiconductor device | DENSO CORPORATION |
10943860 | Semiconductor device with flexible circuit for enabling non-destructive attaching and detaching of device to system board | MICRON TECHNOLOGY, INC. |
10943862 | Integrated filler capacitor cell device and corresponding manufacturing method | STMICROELECTRONICS (ROUSSET) SAS |
10943863 | Techniques to improve reliability in Cu interconnects using Cu intermetallics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943866 | Method and structure to construct cylindrical interconnects to reduce resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943867 | Schemes for forming barrier layers for copper in interconnect structures | -- |
10943868 | Structure for interconnection | -- |
10943869 | High density interconnection using fanout interposer chiplet | APPLE INC. |
10943871 | Semiconductor device having conductive wire with increased attachment angle and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943872 | Fabrication method of semiconductor package including shielding wall and cover | SAMSUNG ELECTRONICS CO., LTD. |
10943873 | Semiconductor device structure comprising a plurality of metal oxide fibers and method for forming the same | -- |
10943874 | Apparatus, system, and method for mitigating warpage of integrated circuits during reflow processes | JUNIPER NETWORKS, INC. |
10943881 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10943882 | IC package design and methodology to compensate for die-substrate CTE mismatch at reflow temperatures | NVIDIA CORPORATION |
10943884 | Method of manufacturing an electronic device and electronic device manufactured thereby | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10943885 | Method for making semiconductor device with sidewall recess and related devices | STMICROELECTRONICS, INC. |
10943886 | Methods of forming semiconductor packages with back side metal | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10943887 | Staggered die stacking across heterogeneous modules | INTEL CORPORATION |
10943888 | Interconnect structure with redundant electrical connectors and associated systems and methods | MICRON TECHNOLOGY, INC. |
10943889 | Semiconductor device and method of manufacture | -- |
10943898 | High switching frequency, low loss and small form factor fully integrated power stage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943900 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10943901 | Semiconductor device and method | -- |
10943902 | Forming strained channels for CMOS device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943903 | Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943904 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
10943907 | Integrated circuitry comprising an array, method of forming an array, method of forming DRAM circuitry, and method used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
10943908 | Method of forming semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10943909 | Method of forming semiconductor memory device | -- |
10943910 | Method for forming semiconductor integrated circuit structure | -- |
10943911 | Vertical transport devices with greater density through modified well shapes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943912 | Method for fabricating semiconductor device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10943913 | Strap-cell architecture for embedded memory | -- |
10943916 | Method for manufacturing three-dimensional memory structure | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10943917 | Three-dimensional memory device with drain-select-level isolation structures and method of making the same | SANDISK TECHNOLOGIES LLC |
10943920 | Methods of fabricating integrated structures | MICRON TECHNOLOGY, INC. |
10943921 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10943924 | Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943925 | Method of forming FinFET channel and structures thereof | -- |
10943931 | Wafers with etchable sacrificial patterns, anchors, tethers, and printable devices | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10943939 | Via structures including etch-delay structures and semiconductor devices having via plugs | SAMSUNG ELECTRONICS CO., LTD. |
10943940 | Image sensor comprising reflective guide layer and method of forming the same | -- |
10943953 | Semiconductor devices, hybrid transistors, and related methods | MICRON TECHNOLOGY, INC. |
10943972 | Precision BEOL resistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943974 | Method for producing a semiconductor component having a channel stopper region | INFINEON TECHNOLOGIES AG |
10943975 | Method of manufacturing a semiconductor device having side-diffused trench plug | LITTELFUSE, INC. |
10943976 | Metal-oxide semiconductor (MOS) device structure based on a poly-filled trench isolation region | ALLEGRO MICROSYSTEMS, LLC |
10943978 | High voltage device and manufacturing method thereof | -- |
10943981 | Semiconductor device | FLOSFIA INC. |
10943982 | Nanoporous semiconductor materials | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
10943983 | Integrated circuits having protruding interconnect conductors | -- |
10943985 | Cover member for a robot used in a painting process having absorptive properties | TD INDUSTRIAL COVERINGS, INC. |
10943986 | Transistors, memory cells and semiconductor constructions comprising ferroelectric gate dielectric | MICRON TECHNOLOGY, INC. |
10943987 | Latch-up resistant transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
10943988 | Thermally stable salicide formation for salicide first contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943989 | Gate to source/drain leakage reduction in nanosheet transistors via inner spacer optimization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943990 | Gate contact over active enabled by alternative spacer scheme and claw-shaped cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943992 | Transistor having straight bottom spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10943993 | Semiconductor device and method for fabricating the same | -- |
10943995 | Self-aligned passivation of active regions | -- |
10943996 | Method of manufacturing semiconductor device including non-volatile memories and logic devices | -- |
10943997 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10943999 | Field effect transistor and process of forming the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10944003 | Vertical field effect transistor and semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10944004 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10944005 | Interfacial layer between fin and source/drain region | -- |
10944006 | Geometry tuning of fin based transistor | INTEL CORPORATION |
10944007 | Silicon on insulator semiconductor device with mixed doped regions | -- |
10944009 | Methods of fabricating a FinFET device with wrap-around silicide source/drain structure | -- |
10944012 | Area-efficient inverter using stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10944015 | Semiconductor device | FLOSFIA INC. |
10944024 | Method for manufacturing micro light-emitting diode chips | -- |
10944043 | Magnetoresistance effect element and method for manufacturing the same | TDK CORPORATION |
10944046 | Semiconductor device | ROHM CO., LTD. |
10944051 | Method of cleaning a substrate processing apparatus and the substrate processing apparatus performing the method | TOKYO ELECTRON LIMITED |
10944072 | Stretchable electroluminescent devices | CORNELL UNIVERSITY |
10944081 | Component module having a radiation-emitting curved component | OSRAM OLED GMBH |
10944083 | Array substrate, method for manufacturing array substrate, and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
10944165 | Integrated antenna package structure and manufacturing method thereof | -- |
10944374 | Electrostatic chuck filter box and mounting bracket | LAM RESEARCH CORPORATION |
10945332 | Heat capacitive component carrier and method to produce said component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10945338 | Wiring substrate | KYOCERA CORPORATION |
10946344 | Formation of diamond membranes | IMEC VZW |
10946411 | System and method for fluid dispense and coverage control | TOKYO ELECTRON LIMITED |
10946418 | Scrubbing device and cleaning equipment | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10946419 | Foreign substance removal apparatus and foreign substance detection apparatus | TOKYO ELECTRON LIMITED |
10946482 | Laser processing apparatus | DISCO CORPORATION |
10946483 | Laser apparatus and method of dicing a substrate using the same | SAMSUNG ELECTRONICS CO., LTD. |
10946493 | Methods and systems for polishing pad control | -- |
10946494 | Polishing agent, stock solution for polishing agent, and polishing method | SHOWA DENKO MATERIALS CO., LTD. |
10946658 | Encapsulating a bonded wire with low profile encapsulation | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10947041 | Transport system and transport method | MURATA MACHINERY, LTD. |
10947063 | Load port | SINFONIA TECHNOLOGY CO., LTD. |
10947109 | Semiconductor component and method for producing same | INFINEON TECHNOLOGIES AG |
10947412 | Crack-resistant silicon-based planarizing compositions, methods and films | HONEYWELL INTERNATIONAL INC. |
10947413 | Chemical mechanical polishing method for cobalt with high cobalt removal rates and reduced cobalt corrosion | ROHM AND HAAS ELECTRONIC MATERIALS CMP HOLDINGS |
10947414 | Compositions for use in chemical mechanical polishing | -- |
10947484 | Stripping compositions for removing photoresists from semiconductor substrates | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
10947638 | Underlying substrate including a seed crystal layer of a group 13 nitride having stripe-shaped projections and recesses and an off-angle in a direction of an a-axis | NGK INSULATORS, LTD. |
10947639 | Molten target sputtering (MTS) deposition for enhanced kinetic energy and flux of ionized atoms | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
10948247 | Adjustable heat sink fin spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10948317 | Measuring device and method for obtaining amount of deviation of measuring device | TOKYO ELECTRON LIMITED |
10948353 | Thermal processing chamber with low temperature control | APPLIED MATERIALS, INC. |
10948423 | Sensitive particle detection with spatially-varying polarization rotator and polarizer | KLA CORPORATION |
10948425 | X-ray inspection apparatus for inspecting semiconductor wafers | NORDSON CORPORATION |
10948537 | Sensor integrated circuit load current monitoring circuitry and associated methods | ALLEGRO MICROSYSTEMS, LLC |
10948824 | Dispensing nozzle design and dispensing method thereof | -- |
10948826 | Photoresist stripper | VERSUM MATERIALS US, LLC |
10949820 | System and method for encoding IC chips for payment objects | CPI CARD GROUP—COLORADO, INC. |
10950178 | Microdisplay with reduced pixel size and method of forming same | EMAGIN CORPORATION |
10950384 | Method used in forming an electronic device comprising conductive material and ferroelectric material | MICRON TECHNOLOGY, INC. |
10950391 | Photoelectric conversion device and manufacturing method and apparatus thereof | KABUSHIKI KAISHA TOSHIBA |
10950406 | Self-limiting electrical triggering for initiating fracture of frangible glass | PALO ALTO RESEARCH CENTER INCORPORATED |
10950414 | Plasma processing apparatus and method of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10950415 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10950416 | Chamber seasoning to improve etch uniformity by reducing chemistry | MATTSON TECHNOLOGY, INC. |
10950417 | Substrate processing apparatus and substrate loading mechanism | TOKYO ELECTRON LIMITED |
10950418 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10950419 | Shrouds and substrate treating systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950426 | Dielectric layer, interconnection structure using the same, and manufacturing method thereof | -- |
10950427 | Quantum dots and production method thereof | SAMSUNG ELECTRONICS CO., LTD. |
10950428 | Method for processing a workpiece | MATTSON TECHNOLOGY, INC. |
10950429 | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom | APPLIED MATERIALS, INC. |
10950430 | Pulsed plasma deposition etch step coverage improvement | APPLIED MATERIALS, INC. |
10950431 | Low-k feature formation processes and structures formed thereby | -- |
10950432 | Method of depositing thin film and method of manufacturing semiconductor device | ASM IP HOLDING B.V. |
10950433 | Methods for enhancing selectivity in SAM-based selective deposition | APPLIED MATERIALS, INC. |
10950434 | Methods of reducing gate spacer loss during semiconductor manufacturing | -- |
10950435 | SiC epitaxial wafer, method for manufacturing SiC epitaxial wafer, SiC device, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10950436 | Array substrate manufacturing using fluorine and hydrogenation processes | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950437 | Laser annealing method, laser annealing apparatus, and thin film transistor substrate | V TECHNOLOGY CO., LTD. |
10950438 | Method and composition for selectively modifying base material surface | JSR CORPORATION |
10950439 | Pattern forming method | TOSHIBA MEMORY CORPORATION |
10950440 | Patterning directly on an amorphous silicon hardmask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950441 | Low energy e-beam contact printing lithography | TOKYO |
10950442 | Methods to reshape spacers for multi-patterning processes using thermal decomposition materials | TOKYO ELECTRON LIMITED |
10950443 | Method for forming patterns | SK HYNIX INC. |
10950444 | Metal hard mask layers for processing of microelectronic workpieces | TOKYO ELECTRON LIMITED |
10950445 | Deposition of metal silicide layers on substrates and chamber components | APPLIED MATERIALS, INC. |
10950446 | Manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10950447 | Semiconductor device having hydrogen in a dielectric layer | -- |
10950448 | Film quality control in a linear scan physical vapor deposition process | APPLIED MATERIALS, INC. |
10950449 | Substrate processing apparatus | ASM IP HOLDING B.V. |
10950450 | Silicide films through selective deposition | APPLIED MATERIALS, INC. |
10950451 | Cutting apparatus | DISCO CORPORATION |
10950452 | Seasoning method and etching method | TOKYO ELECTRON LIMITED |
10950453 | Advanced etching technologies for straight, tall and uniform fins across multiple fin pitch structures | INTEL CORPORATION |
10950454 | Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method | LAM RESEARCH CORPORATION |
10950455 | Method for manufacturing a semiconductor device and semiconductor device | ROBERT BOSCH GMBH |
10950456 | High-density semiconductor device | -- |
10950457 | Substrate processing device, manufacturing method for semiconductor device, and reaction tube | KOKUSAI ELECTRIC CORPORATION |
10950458 | Etching method | TOKYO ELECTRON LIMITED |
10950459 | Back end of line structures with metal lines with alternating patterning and metallization schemes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950460 | Method utilizing using post etch pattern encapsulation | TOKYO ELECTRON LIMITED |
10950461 | Method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950462 | Diamond substrate producing method | DISCO CORPORATION |
10950463 | Manufacturing trapezoidal through-hole in component carrier material | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10950464 | Electronic device module and manufacturing method thereof | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950465 | Method of cleaning substrate processing apparatus and system of cleaning substrate processing apparatus | TOKYO ELECTRON LIMITED |
10950466 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10950467 | Gas supply mechanism and semiconductor manufacturing system | TOKYO ELECTRON LIMITED |
10950468 | Semiconductor manufacturing apparatus | TOSHIBA MEMORY CORPORATION |
10950469 | Semiconductor manufacturing apparatus and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
10950470 | Substrate bonding apparatus and bonding method using the same | SAMSUNG ELECTRONICS CO., LTD. |
10950471 | Laser machining device and laser machining method | TOKYO SEIMITSU CO., LTD. |
10950472 | Light-irradiation thermal treatment apparatus | SCREEN HOLDINGS CO., LTD. |
10950473 | Heat source device and substrate processing apparatus including same | NPS CORPORATION |
10950474 | Laser irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device | THE JAPAN STEEL WORKS, LTD. |
10950475 | Method and apparatus for processing a substrate using non-contact temperature measurement | APPLIED MATERIALS, INC. |
10950476 | Electronic device manufacturing load port apparatus, systems, and methods | APPLIED MATERIALS, INC. |
10950477 | Ceramic heater and esc with enhanced wafer edge performance | APPLIED MATERIALS, INC. |
10950478 | Info structure with copper pillar having reversed profile | -- |
10950479 | Method for manufacturing light emitting device | -- |
10950480 | Adhesive tape sticking apparatus and method of manufacturing a semiconductor package using the same | SAMSUNG ELECTRONICS CO., LTD. |
10950481 | Method for manufacturing thin substrate | SHIN-ETSU CHEMICAL CO., LTD. |
10950482 | Device for supporting substrate, apparatus for manufacturing display panel, and method for supporting substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950483 | Systems and methods for fixed focus ring processing | -- |
10950484 | Robot having arm with unequal link lengths | PERSIMMON TECHNOLOGIES CORPORATION |
10950485 | Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer | -- |
10950486 | Wafer tray | MITSUBISHI ELECTRIC CORPORATION |
10950487 | Method for forming an alignment mark | INFINEON TECHNOLOGIES AUSTRIA AG |
10950488 | Integration of finFET device | TEXAS INSTRUMENTS INCORPORATED |
10950489 | Devices and methods for radiation hardening integrated circuits using shallow trench isolation | TALLANNQUEST LLC |
10950490 | Semiconductor device having isolation structures with different thicknesses | -- |
10950491 | Method for transferring a useful layer | SOITEC |
10950492 | Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950493 | Interconnects having air gap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950494 | Semiconductor device including first and second contact layers and manufacturing method | INFINEON TECHNOLOGIES AG |
10950495 | Mitigating pattern collapse | -- |
10950496 | Microelectronic devices comprising manganese-containing conductive structures, and related electronic systems | MICRON TECHNOLOGY, INC. |
10950497 | Electrical connection for semiconductor devices | -- |
10950498 | Selective and self-limiting tungsten etch process | APPLIED MATERIALS, INC. |
10950499 | Integrated circuit devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10950500 | Methods and apparatus for filling a feature disposed in a substrate | APPLIED MATERIALS, INC. |
10950501 | Triblock copolymers for self-aligning vias or contacts | INTEL CORPORATION |
10950502 | Method of manufacturing a chip package | -- |
10950503 | Method of separating electronic devices having a back layer and apparatus | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950504 | Wafer processing method | DISCO CORPORATION |
10950505 | Multiple finFET formation with epitaxy separation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950506 | Forming single and double diffusion breaks | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950507 | Electrical testing method of interposer | -- |
10950508 | Ion depth profile control method, ion implantation method and semiconductor device manufacturing method based on the control method, and ion implantation system adapting the control method | SAMSUNG ELECTRONICS CO., LTD. |
10950509 | Semiconductor device with integrated shunt resistor | INFINEON TECHNOLOGIES AG |
10950510 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950511 | Die carrier package and method of forming same | MEDTRONIC, INC. |
10950512 | Semiconductor packages including a semiconductor chip and methods of forming the semiconductor packages | SK HYNIX INC. |
10950514 | Packaged semiconductor devices and methods of packaging semiconductor devices | -- |
10950515 | Semiconductor device, manufacturing method of semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10950516 | Resin encapsulated power semiconductor module with exposed terminal areas | ABB SCHWEIZ AG |
10950517 | Printed circuit board and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10950519 | Integrated circuit package and method | -- |
10950520 | Electronic package, method for fabricating the same, and heat dissipator | -- |
10950521 | Thermal interface material layer and package-on-package device including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950523 | Semiconductor devices having through electrodes and methods for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950525 | Fabrication method of packaging structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10950526 | Semiconductor device | DENSO CORPORATION |
10950527 | Semiconductor device and method for manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950528 | Chip package assembly and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10950529 | Semiconductor device package | ADVANCED SEMICONDUCTOR ENGINEERING KOREA, INC. |
10950530 | Semiconductor device package and method of manufacturing the same | -- |
10950531 | Semiconductor device package and method of manufacturing the same | -- |
10950532 | Substrate intermediary body, through-hole via electrode substrate, and through-hole via electrode formation method | MITSUI CHEMICALS, INC. |
10950533 | Through electrode substrate and semiconductor device | DAI NIPPON PRINTING CO., LTD. |
10950534 | Through-substrate via structure and method of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10950535 | Package structure and method of manufacturing the same | -- |
10950537 | Land side and die side cavities to reduce package z-height | INTEL CORPORATION |
10950538 | Semiconductor structure and manufacturing method thereof | -- |
10950539 | Redistribution substrate, method of fabricating the same, and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
10950541 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950543 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950544 | Semiconductor device including gate pattern having pad region | SAMSUNG ELECTRONICS CO., LTD. |
10950545 | Circuit wiring techniques for stacked transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950546 | Semiconductor device including back side power supply circuit | -- |
10950547 | Stacked IC structure with system level wiring on multiple sides of the IC die | XCELSIS CORPORATION |
10950549 | ILD gap fill for memory device stack array | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950550 | Semiconductor package with through bridge die connections | INTEL CORPORATION |
10950551 | Embedded component package structure and manufacturing method thereof | -- |
10950552 | Ring-in-ring configurable-capacitance stiffeners and methods of assembling same | INTEL CORPORATION |
10950553 | System on integrated chips and methods of forming the same | -- |
10950554 | Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same | -- |
10950555 | Ultra-low profile package shielding technique using magnetic and conductive layers for integrated switching voltage regulator | INTEL CORPORATION |
10950556 | EMI shielding structure in InFO package | -- |
10950557 | Stacked chip package structure and manufacturing method thereof | -- |
10950558 | Semiconductor device, power converter, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950562 | Impedance-matched through-wafer transition using integrated heat-spreader technology | HRL LABORATORIES, LLC |
10950565 | Interconnect structures for preventing solder bridging, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10950566 | Semiconductor device and method for manufacturing the semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10950572 | Die bonder and methods of using the same | -- |
10950574 | Sensor having system-in-package module, method for producing the same, and sensor arrangement | CONTINENTAL TEVES AG & CO. OHG |
10950575 | Package structure and method of forming the same | -- |
10950576 | Package structure | -- |
10950577 | Redistribution layers in semiconductor packages and methods of forming same | -- |
10950579 | Integrated circuit package and method of forming same | -- |
10950581 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10950583 | Transfer head and transfer system for semiconductor light-emitting device and method for transferring semiconductor light-emitting device | LG ELECTRONICS INC. |
10950586 | Semiconductor devices having upper and lower solder portions and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10950587 | Printed circuit board and package structure | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10950588 | Chip package structure and manufacturing method thereof | -- |
10950591 | Display with embedded pixel driver chips | APPLE INC. |
10950593 | Package structure including at least one connecting module and manufacturing method thereof | -- |
10950598 | Heterolithic microwave integrated circuits including gallium-nitride devices formed on highly doped semiconductor | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
10950600 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10950601 | Current source using emitter region as base region isolation structure | NEXCHIP SEMICONDUCTOR CORPORATION |
10950602 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10950603 | Semiconductor device and method | -- |
10950604 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950606 | Dual fin endcap for self-aligned gate edge (SAGE) architectures | INTEL CORPORATION |
10950610 | Asymmetric gate cut isolation for SRAM | GLOBALFOUNDRIES U.S. INC. |
10950611 | Semiconductor device and manufacturing method thereof | -- |
10950613 | Semiconductor device and a method of manufacturing a semiconductor device | SK HYNIX INC. |
10950617 | Memory device with multiple layers | TOSHIBA MEMORY CORPORATION |
10950621 | Semiconductor substrate and semiconductor device | TOSHIBA MEMORY CORPORATION |
10950622 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10950623 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10950625 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
10950628 | Vertical memory device and method of fabrication the same | SAMSUNG ELECTRONICS CO., LTD. |
10950629 | Three-dimensional flat NAND memory device having high mobility channels and methods of making the same | SANDISK TECHNOLOGIES LLC |
10950631 | Semiconductor-on-insulator wafer having a composite insulator layer | -- |
10950635 | Orthogonal transistor layouts | SKYWORKS SOLUTIONS, INC. |
10950637 | Semiconductor device, manufacturing method, solid state image sensor, and electronic equipment | SONY CORPORATION |
10950647 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
10950648 | Semiconductor element, manufacturing method of semiconductor element, and electronic apparatus | SONY CORPORATION |
10950664 | Semiconductor memory device including phase change material layers and method for manufacturing thereof | -- |
10950666 | Pixel structure, OLED display screen and evaporation mask | KUNSHAN GO-VISIONOX OPTO-ELECTRONICS CO., LTD. |
10950675 | Signal control unit for an organic light emitting diode display device, method of manufacturing the same, and organic light emitting diode display device including the same | DB HITEK CO., LTD. |
10950682 | Method for manufacturing organic electroluminescent device | SAKAI DISPLAY PRODUCTS CORPORATION |
10950689 | Semiconductor device with a through-substrate via hole having therein a capacitor and a through-substrate via conductor | NANYANG TECHNOLOGICAL UNIVERSITY |
10950692 | Methods of forming air gaps between source/drain contacts and the resulting devices | GLOBALFOUNDRIES U.S. INC. |
10950693 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
10950694 | Doping for semiconductor device with conductive feature | -- |
10950698 | Method and apparatus for selective nitridation process | APPLIED MATERIALS, INC. |
10950703 | Semiconductor structure for memory device and method for forming the same | -- |
10950707 | Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
10950708 | Dishing prevention dummy structures for semiconductor devices | -- |
10950709 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10950711 | Fabrication of vertical field effect transistor structure with strained channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950712 | Semiconductor device and method for fabricating the same | -- |
10950713 | Method and device for forming cut-metal-gate feature | -- |
10950714 | Semiconductor device and manufacturing method thereof | -- |
10950715 | Method of manufacturing semiconductor device including non-volatile memories and logic devices | -- |
10950716 | Metal oxide TFT, manufacturing method thereof, and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10950717 | Semiconductor device having semiconductor regions with an impurity concentration distribution which decreases from a respective peak toward different semiconductor layers | FUJI ELECTRIC CO., LTD. |
10950719 | Seminconductor device with spreading layer | CREE, INC. |
10950722 | Vertical gate all-around transistor | STMICROELECTRONICS, INC. |
10950724 | Method of fabricating a semiconductor device including vertical-type field effect transistors | SAMSUNG ELECTRONICS CO., LTD. |
10950725 | Epitaxial source/drain structure and method of forming same | -- |
10950726 | Semiconductor device, CMOS circuit, and electronic apparatus with stress in channel region | SONY CORPORATION |
10950727 | Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer | ACORN SEMI, LLC |
10950728 | Fin field effect transistor (FinFET) device structure with isolation layer and method for forming the same | -- |
10950729 | Contact structure with insulating cap | -- |
10950730 | Merged source/drain features | -- |
10950731 | Inner spacers for gate-all-around semiconductor devices | -- |
10950732 | Semiconductor device and method of manufacturing the same | -- |
10950734 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10950747 | Heterostructure for an optoelectronic device | SENSOR ELECTRONIC TECHNOLOGY, INC. |
10950760 | Two component glass body for tape casting phosphor in glass LED converters | OSRAM OPTO SEMICONDUCTORS GMBH |
10950778 | Superconducting bump bond electrical characterization | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10950787 | Method having resistive memory crossbar array employing selective barrier layer growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10950821 | Method of encapsulating an environmentally sensitive device | SAMSUNG DISPLAY CO., LTD. |
10951174 | High-frequency amplifier | MITSUBISHI ELECTRIC CORPORATION |
10951210 | Tuning capacitance to enhance FET stack voltage withstand | PSEMI CORPORATION |
10951845 | Photoelectric conversion apparatus and equipment having photodiode and semiconductor regions | CANON KABUSHIKI KAISHA |
10952333 | Method for stress reduction in semiconductor package via carrier | MICRON TECHNOLOGY, INC. |
10953415 | Nozzle tip adapter, nozzle assembly as well as nozzle | SUSS MICROTEC LITHOGRAPHY GMBH |
10953440 | Sound-pressure analyzer and a method in the high-intensity acoustic field, and an ultrasonic cleaner and an ultrasonic processor | HONDA ELECTRONICS CO., LTD. |
10953487 | Ultrasonic vibration bonding apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
10953514 | Chemical mechanical polishing apparatus and method | -- |
10953539 | Substrate transfer robot and automatic teaching method | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
10954122 | Method for bonding of at least three substrates | EV GROUP E. THALLNER GMBH |
10954129 | Diamond-like carbon as mandrel | APPLIED MATERIALS, INC. |
10954411 | Chemical mechanical polishing composition and method of polishing silicon nitride over silicon dioxide and simultaneously inhibiting damage to silicon dioxide | ROHM AND HAAS ELECTRONIC MATERIALS CMP HOLDINGS |
10954479 | Composition for surface treatment and surface treatment method using the same | FUJIMI INCORPORATED |
10954480 | Compositions and methods for preventing collapse of high aspect ratio structures during drying | VERSUM MATERIALS US, LLC |
10954591 | Method for producing a structured coating on a substrate, coated substrate, and semi-finished product having a coated substrate | MSG LITHOGLAS AG |
10954593 | Tungsten film-forming method, film-forming system and storage medium | TOKYO ELECTRON LIMITED |
10954594 | High temperature vapor delivery system and method | APPLIED MATERIALS, INC. |
10954597 | Atomic layer deposition apparatus | ASM IP HOLDING B.V. |
10954602 | Method of electro-chemical plating | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
10954603 | Substrate holder, plating apparatus, plating method, and electric contact | EBARA CORPORATION |
10954608 | UV-transparent aluminum nitride single crystal having a diameter of 35 mm to 150 mm and a predefined UV transparency metric at a wavelength of 265 nm | CRYSTAL IS, INC. |
10955242 | Inertial sensor, method for manufacturing inertial sensor, inertial measurement unit, portable electronic apparatus, electronic apparatus, and vehicle | SEIKO EPSON CORPORATION |
10955304 | N-implant electrical shield for piezo-resistor sensor | MELEXIS TECHNOLOGIES NV |
10955350 | SiC wafer defect measuring method, reference sample, and method of manufacturing SiC epitaxial wafer | SHOWA DENKO K.K. |
10955360 | Structured illumination-based inspection apparatus and inspection method, and semiconductor device fabrication method including structured illumination-based inspection method | SAMSUNG ELECTRONICS CO., LTD. |
10955439 | Electrochemical cleaning of test probes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10955459 | Method of analyzing semiconductor structure | -- |
10955492 | Test system for checking electrical connections of electronic components to a printed circuit board | ENDRESS+HAUSER FLOWTEC AG |
10955614 | Optical fiber coupler structure having manufacturing variation-sensitive transmission blocking region | GLOBALFOUNDRIES U.S. INC. |
10955750 | Lithography system and method thereof | -- |
10955832 | Adaptive chamber matching in advanced semiconductor process control | APPLIED MATERIALS, INC. |
10957370 | Integration of epitaxially grown channel selector with two terminal resistive switching memory element | SPIN MEMORY, INC. |
10957515 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
10957516 | Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP | -- |
10957520 | Long-life high-power terminals for substrate support with embedded heating elements | LAM RESEARCH CORPORATION |
10957521 | Image based plasma sheath profile detection on plasma processing tools | LAM RESEARCH CORPORATION |
10957529 | Method for drying wafer with gaseous fluid | -- |
10957530 | Freezing a sacrificial material in forming a semiconductor | MICRON TECHNOLOGY, INC. |
10957531 | Method of manufacturing semiconductor device | TOKYO ELECTRON LIMITED |
10957532 | Method and apparatus for deposition of low-k films | APPLIED MATERIALS, INC. |
10957533 | Methods for etching a structure for semiconductor applications | APPLIED MATERIALS, INC. |
10957534 | Manufacturing method of semiconductor device | SK HYNIX INC. |
10957535 | Semiconductor film forming method and film forming apparatus | TOKYO ELECTRON LIMITED |
10957536 | Removal of trilayer resist without damage to underlying structure | ELPIS TECHNOLOGIES INC. |
10957537 | Methods to design and uniformly co-fabricate small vias and large cavities through a substrate | HRL LABORATORIES, LLC |
10957538 | Method of forming and transferring thin film using SOI wafer and heat treatment process | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10957539 | Method for bonding by direct adhesion | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10957540 | Semiconductor epitaxy bordering isolation structure | -- |
10957541 | Short pulse fiber laser for LTPS crystallization | NLIGHT, INC. |
10957542 | Method of processing wafer | DISCO CORPORATION |
10957543 | Device and method of dielectric layer | -- |
10957544 | Gate cut with high selectivity to preserve interlevel dielectric layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957545 | Method for manufacturing semiconductor device | -- |
10957546 | Substrate processing apparatus and method of controlling the same | EBARA CORPORATION |
10957547 | Formulations to selectively etch silicon germanium relative to germanium | ENTEGRIS, INC. |
10957548 | Method of etching copper indium gallium selenide (CIGS) material | APPLIED MATERIALS, INC. |
10957549 | Methods of forming semiconductor devices using mask materials, and related semiconductor devices and systems | MICRON TECHNOLOGY, INC. |
10957550 | Semiconductor structure and formation method thereof | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10957551 | Fin-like field effect transistor patterning methods for increasing process margins | -- |
10957552 | Extreme ultraviolet lithography patterning with directional deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957553 | Etching solution, etching method, and method for manufacturing an electronic component | KABUSHIKI KAISHA TOSHIBA |
10957554 | Etching method and etching device | CENTRAL GLASS COMPANY, LIMITED |
10957555 | Processing method for producing photomask with double patterns and storage medium thereof | -- |
10957556 | Nonvolatile semiconductor memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10957557 | Polishing slurry and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10957558 | Methods of etching metal-containing layers | APPLIED MATERIALS, INC. |
10957559 | Thermally conductive structure for heat dissipation in semiconductor packages | -- |
10957560 | Pressure sintering procedure in which power semiconductor components with a substrate are connected to each other via a sintered connection | SEMIKRON ELEKTRONIK GMBH & CO. KG |
10957561 | Gas delivery system | LAM RESEARCH CORPORATION |
10957562 | Heating device | NGK SPARK PLUG CO., LTD. |
10957563 | Chamber wall heating for a millisecond anneal system | MATTSON TECHNOLOGY, INC. |
10957564 | Self-calibration apparatus and method for real-time temperature measurement system of MOCVD device | AK OPTICS TECHNOLOGY CO., LTD. |
10957565 | Processing tool having a monitoring device | APPLIED MATERIALS, INC. |
10957566 | Wafer-level inspection using on-valve inspection detectors | -- |
10957567 | Method, computer program product and system for detecting manufacturing process defects | APPLIED MATERIALS ISRAEL LTD. |
10957568 | Phase filter for enhanced defect detection in multilayer structure | KLA-TENCOR CORPORATION |
10957569 | Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position | MURATA MACHINERY LTD. |
10957570 | Article storage facility | DAIFUKU CO., LTD. |
10957571 | Apparatus and methods for determining wafer characters | -- |
10957572 | Multi-zone gasket for substrate support assembly | APPLIED MATERIALS, INC. |
10957573 | Electrostatic chuck device including a heating member | SUMITOMO OSAKA CEMENT CO., LTD. |
10957574 | Electrostatic chuck and substrate fixing apparatus | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10957575 | Method for connecting a buried interconnect rail and a semiconductor fin in an integrated circuit chip | IMEC VZW |
10957576 | Dynamic random access memory and method of fabricating the same | -- |
10957577 | Method for fabricating a strained semiconductor-on-insulator substrate | SOITEC |
10957578 | Single diffusion break device for FDSOI | GLOBALFOUNDRIES U.S. INC. |
10957579 | Integrated circuit devices including a via and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10957580 | Metal routing with flexible space formed using self-aligned spacer patterning | -- |
10957581 | Self aligned via and pillar cut for at least a self aligned double pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957582 | Self aligned via and pillar cut for at least a self aligned double pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957583 | Self-aligned quadruple patterning (SAQP) for routing layouts including multi-track jogs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957584 | Structure and method to improve FAV RIE process margin and electromigration | TESSERA, INC. |
10957585 | Semiconductor device and method of forming the same | -- |
10957586 | FDSOI with on-chip physically unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957587 | Structure and formation method of semiconductor device with conductive feature | -- |
10957588 | Chamferless via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957589 | Self-aligned contact and method for forming the same | -- |
10957590 | Method for forming a layer | APPLIED MATERIALS, INC. |
10957591 | Process of forming semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10957592 | Through electrode substrate, method of manufacturing through electrode substrate, and mounting substrate | DAI NIPPON PRINTING CO., LTD. |
10957593 | Method of processing a wafer | DISCO CORPORATION |
10957594 | Manufacturing method of semiconductor chip | -- |
10957595 | Systems and methods for precision fabrication of an orifice within an integrated circuit | CEREBRAS SYSTEMS INC. |
10957596 | Caterpillar trenches for efficient wafer dicing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957597 | Semiconductor substrate die sawing singulation systems and methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10957598 | Terahertz device | ROHM CO., LTD. |
10957599 | Integrating extra gate VFET with single gate VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957600 | Methods for forming Fin field-effect transistors | -- |
10957601 | Self-aligned fin recesses in nanosheet field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957602 | Method for direct forming stressor, semiconductor device having stressor, and method for forming the same | -- |
10957603 | Vertical FET devices with multiple channel lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957604 | Semiconductor device and method | -- |
10957605 | VFET device design for top contact resistance measurement | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957606 | Manufacturing method of complementary metal oxide semiconductor transistor and manufacturing method of array substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10957607 | Semiconductor device and method for manufacturing the same | -- |
10957608 | Guided scanning electron microscopy metrology based on wafer topography | KLA-TENCOR CORPORATION |
10957609 | Detecting the cleanness of wafer after post-CMP cleaning | -- |
10957610 | Integrated circuit component and package structure having the same | -- |
10957614 | Heat dissipation substrate and fabricating method thereof | -- |
10957615 | Laser-seeding for electro-conductive plating | ELECTRO SCIENTIFIC INDUSTRIES, INC |
10957616 | Package structure and method | -- |
10957621 | Heat sink for a power semiconductor module | -- |
10957624 | Micro heat transfer arrays, micro cold plates, and thermal management systems for cooling semiconductor devices, and methods for using and making such arrays, plates, and systems | MICROFABRICA INC. |
10957625 | Pillar-last methods for forming semiconductor devices | MICRON TECHNOLOGY, INC. |
10957626 | Sensor device with carbon nanotube sensor positioned on first and second substrates | THERMO ELECTRON SCIENTIFIC INSTRUMENTS LLC |
10957630 | Semiconductor device and semiconductor device manufacturing method | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10957631 | Angled die pad of a leadframe for a molded integrated circuit package | TEXAS INSTRUMENTS INCORPORATED |
10957632 | Lead frame assembly for a semiconductor device | NEXPERIA B.V. |
10957633 | Semiconductor device packaging assembly, lead frame strip and unit lead frame with trenches or grooves for guiding liquefied molding material | INFINEON TECHNOLOGIES AG |
10957634 | Modified leadframe design with adhesive overflow recesses | STMICROELECTRONICS, INC. |
10957635 | Multi-chip package with high thermal conductivity die attach | TEXAS INSTRUMENTS INCORPORATED |
10957638 | Device with pillar-shaped components | LAPIS SEMICONDUCTOR CO., LTD. |
10957640 | Method for manufacturing a semiconductor structure | -- |
10957641 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10957643 | Formation of semiconductor devices including electrically programmable fuses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957644 | Integrated structures with conductive regions having at least one element from group 2 of the periodic table | MICRON TECHNOLOGY, INC. |
10957645 | Package structure having conductive patterns with crystal grains copper columnar shape and method manufacturing the same | -- |
10957646 | Hybrid BEOL metallization utilizing selective reflection mask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957647 | Integrated circuit devices including a boron-containing insulating pattern | SAMSUNG ELECTRONICS CO., LTD. |
10957652 | Circuit board | TAIYO YUDENCO., LTD. |
10957653 | Methods for manufacturing semiconductor arrangements using photoresist masks | -- |
10957654 | Semiconductor package and method of manufacturing the same | NEPES CO., LTD. |
10957655 | Integrated circuit with inductors having electrically split scribe seal | TEXAS INSTRUMENTS INCORPORATED |
10957657 | Advanced crack stop structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957661 | Interconnections for a substrate associated with a backside reveal | INVENSAS CORPORATION |
10957666 | Pre-molded leadframes in semiconductor devices | TEXAS INSTRUMENTS INCORPORATED |
10957669 | Back side metallization | ADVANCED MICRO DEVICES, INC. |
10957671 | Method for fabricating a semiconductor and semiconductor package | INTEL DEUTSCHLAND GMBH |
10957672 | Package structure and method of manufacturing the same | -- |
10957679 | Logic drive based on standardized commodity programmable logic semiconductor IC chips | -- |
10957680 | Semiconductor die stacking using vertical interconnection by through-dielectric via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957685 | Multi-trench semiconductor device and method of manufacture thereof | NEXPERIA B.V. |
10957687 | ESD hard backend structures in nanometer dimension | -- |
10957688 | Monolithic single chip integrated radio frequency front end module configured with single crystal acoustic filter devices | AKOUSTIS, INC. |
10957690 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
10957691 | Semiconductor device, semiconductor device manufacturing method, and power conversion apparatus | MITSUBISHI ELECTRIC CORPORATION |
10957693 | Vertical transistors with different gate lengths | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957694 | Epitaxial oxide fin segments to prevent strained semiconductor fin end relaxation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957695 | Asymmetric gate pitch | -- |
10957696 | Self-aligned metal gate with poly silicide for vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957697 | Polysilicon structure including protective layer | -- |
10957698 | Reduction of multi-threshold voltage patterning damage in nanosheet device structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957699 | Integrated assemblies which include two different types of silicon nitride, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10957700 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10957702 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10957703 | Method of reducing charge loss in non-volatile memories | CYPRESS SEMICONDUCTOR CORPORATION |
10957704 | High voltage CMOS with co-planar upper gate surfaces for embedded non-volatile memory | -- |
10957706 | Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same | SANDISK TECHNOLOGIES LLC |
10957708 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10957709 | Systems including memory cells on opposing sides of a pillar | MICRON TECHNOLOGY, INC. |
10957711 | Ferroelectric device with multiple polarization states and method of making the same | SANDISK TECHNOLOGIES LLC |
10957712 | Substrate and method for producing substrate | SHARP KABUSHIKI KAISHA |
10957714 | Analog circuit and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957719 | Semiconductor device and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10957723 | Vehicle, display device and manufacturing method for a semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957739 | Resistance variation element, semiconductor device, and manufacturing method | NEC CORPORATION |
10957759 | Systems and methods for termination in silicon carbide charge balance power devices | GENERAL ELECTRIC COMPANY |
10957760 | Semiconductor structure having air gap dielectric and method of preparing the same | -- |
10957762 | Manufacturing method of semiconductor device including conductive structure | -- |
10957763 | Gate fill utilizing replacement spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957765 | Semiconductor devices having power rails | SAMSUNG ELECTRONICS CO., LTD. |
10957769 | High-mobility field effect transistors with wide bandgap fin cladding | INTEL CORPORATION |
10957771 | Transistor device with a field electrode that includes two layers | INFINEON TECHNOLOGIES AUSTRIA AG |
10957772 | Semiconductor device having multiple wells | -- |
10957776 | Method of fabricating MOSFET | NEXCHIP SEMICONDUCTOR CORPORATION |
10957777 | Semiconductor structure and manufacturing method thereof | -- |
10957778 | Formation of air gap spacers for reducing parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957779 | Gate etch back with reduced loading effect | -- |
10957780 | Non-uniform gate dielectric for U-shape MOSFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957781 | Uniform horizontal spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957782 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10957783 | Fin cut etch process for vertical transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957784 | Semiconductor device and manufacturing method thereof | -- |
10957785 | Method for manufacturing semiconductor device | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10957786 | FinFET with reduced extension resistance and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10957787 | Sensors based on a heterojunction bipolar transistor construction | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10957788 | Semiconductor devices with superjunction structures | INFINEON TECHNOLOGIES AUSTRIA AG |
10957789 | Method for flexible radio-frequency devices | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
10957790 | Semiconductor device with selectively etched surface passivation | NXP USA, INC. |
10957792 | Semiconductor device with latchup immunity | INFINEON TECHNOLOGIES AG |
10957793 | Method of forming target layer surrounding vertical nanostructure | IMEC VZW |
10957794 | Vertical transistor contact for cross-coupling in a memory cell | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957796 | Semiconductor device having doped epitaxial region and its methods of fabrication | INTEL CORPORATION |
10957798 | Nanosheet transistors with transverse strained channel regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957799 | Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957801 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10957802 | Methods of forming tight pitch stack nanowire isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957803 | Bidirectional Zener diode and method for manufacturing bidirectional Zener diode | ROHM CO., LTD. |
10957809 | Solar cell having an emitter region with wide bandgap semiconductor material | SUNPOWER CORPORATION |
10957811 | Ultra-broad spectrum detector integrated with functions of two-dimensional semiconductor and ferroelectric material | SHANGHAI INSTITUTE OF TECHNICAL PHYSICS, CHINESE ACADEMY OF SCIENCES |
10957812 | Display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
10957813 | Method for producing optoelectronic semiconductor components and optoelectronic modules, and optoelectronic semiconductor component and optoelectronic module | OSRAM OLED GMBH |
10957816 | Thin film wafer transfer and structure for electronic devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10957819 | Growth of cubic crystalline phase structure on silicon substrates and devices comprising the cubic crystalline phase structure | UNM RAINFOREST INNOVATIONS |
10957831 | Light emitting device and method of manufacturing the same, and display device | NICHIA CORPORATION |
10957836 | Printed board and light emitting device | NICHIA CORPORATION |
10958193 | Piezoelectric driving device, electronic-component-transporting device, robot, projector, and printer | SEIKO EPSON CORPORATION |
10958195 | Control device and control method for linear motor | THK CO., LTD. |
10958250 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
10958270 | Physical unclonable device and method of maximizing existing process variation for a physically unclonable device | -- |
10959294 | High temperature heater for processing chamber | APPLIED MATERIALS, INC. |
10959336 | Method of liquid assisted binding | MIKRO MESA TECHNOLOGY CO., LTD. |
10959358 | Electronic component module | TAIYO YUDEN CO., LTD. |
10960426 | Development processing device | SCREEN SEMICONDUCTOR SOLUTIONS CO., LTD. |
10960435 | Film forming apparatus, film forming method, and storage medium | TOKYO ELECTRON LIMITED |
10960513 | Methods and systems for polishing pad control | -- |
10960574 | Combined wafer production method with a receiving layer having holes | SILTECTRA GMBH |
10960583 | Molding system for applying a uniform clamping pressure onto a substrate | ASM TECHNOLOGY SINGAPORE PTE LTD |
10961120 | Nanoparticle structure and process for manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10961411 | Inkjet adhesive, manufacturing method for semiconductor device, and electronic component | SEKISUI CHEMICAL CO., LTD. |
10961414 | Polishing slurry, method of manufacturing the same, and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10961422 | Surface treatment liquid, surface treatment method, and method for suppressing pattern collapse | TOKYO OHKA KOGYO CO., LTD. |
10961453 | Etching compositions | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
10961487 | Semiconductor device cleaning solution, method of use, and method of manufacture | -- |
10961619 | Method for producing GaN crystal | MITSUBISHI CHEMICAL CORPORATION |
10961621 | CVD reactor chamber with resistive heating and substrate holder | SVAGOS TECHNIK, INC. |
10961623 | Film forming method | TOKYO ELECTRON LIMITED |
10961625 | Substrate processing apparatus, reaction tube and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
10961627 | Condensation suppressing method and processing system | TOKYO ELECTRON LIMITED |
10961638 | Method for epitaxially coating semiconductor wafers, and semiconductor wafer | SILTRONIC AG |
10961639 | Epitaxial growth of crystalline material | -- |
10961640 | Semiconductor wafer composed of single-crystal silicon with high gate oxide breakdown, and a process for the manufacture thereof | SILTRONIC AG |
10962178 | Device and method for pressure-molding anti-overheating CSP fluorescent membrane | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
10962285 | Wafer drying system | -- |
10962296 | Low-cost nano-heat pipe | INFINERA CORPORATION |
10962570 | System, a tangent probe card and a probe head assembly for testing semiconductor wafer | -- |
10962571 | Interposers having cuts through an insulating substrate | TEXAS INSTRUMENTS INCORPORATED |
10962586 | Light emitting diode (LED) test apparatus and method of manufacture | APPLE INC. |
10962591 | Wafer surface test preprocessing device and wafer surface test apparatus having the same | -- |
10962852 | Liquid crystal display device | SAMSUNG DISPLAY CO., LTD. |
10962875 | Method of mask simulation model for OPC and mask making | -- |
10962880 | Radiation-sensitive compositions and patterning and metallization processes | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10962884 | Treatment liquid and pattern forming method | FUJIFILM CORPORATION |
10962886 | Selection of measurement locations for patterning processes | ASML NETHERLANDS B.V. |
10963086 | Display device including a touch sensor and a method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10964474 | Capacitor and capacitor module | KABUSHIKI KAISHA TOSHIBA |
10964511 | Semiconductor manufacturing device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964512 | Semiconductor processing chamber multistage mixing apparatus and methods | APPLIED MATERIALS, INC. |
10964513 | Plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10964514 | Electrode for plasma processing chamber | LAM RESEARCH CORPORATION |
10964524 | Semiconductor manufacturing method for cutting a wafer | MITSUBISHI ELECTRIC CORPORATION |
10964525 | Removing a sacrificial material via sublimation in forming a semiconductor | MICRON TECHNOLOGY, INC. |
10964526 | Substrate processing method | SCREEN HOLDINGS CO., LTD. |
10964527 | Residual removal | APPLIED MATERIALS, INC. |
10964528 | Integration of materials removal and surface treatment in semiconductor device fabrication | MATTSON TECHNOLOGY, INC. |
10964529 | Method for cleaning lanthanum gallium silicate wafer | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10964530 | Method of forming blocking silicon oxide film, and storage medium | TOKYO ELECTRON LIMITED |
10964531 | Method of manufacturing semiconductor device by supplying gas | KOKUSAI ELECTRIC CORPORATION |
10964532 | Methods of forming semiconductor devices comprising silicon nitride on high aspect ratio features | MICRON TECHNOLOGY, INC. |
10964533 | ALD process and hardware with improved purge efficiency | APPLIED MATERIALS, INC. |
10964534 | Enhanced thin film deposition | ASM INTERNATIONAL |
10964535 | Polycrystalline ceramic substrate and method of manufacture | QROMIS, INC. |
10964536 | Formation of an atomic layer of germanium in an opening of a substrate material having a high aspect ratio | MICRON TECHNOLOGY, INC. |
10964537 | Methods and material deposition systems for forming semiconductor layers | SILANNA UV TECHNOLOGIES PTE LTD |
10964538 | Method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
10964539 | Imprinting method and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
10964540 | Semiconductor structure forming method | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964541 | Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964542 | Selective high-K formation in gate-last process | -- |
10964543 | Passivator for gate dielectric | -- |
10964544 | Contact integration and selective silicide formation methods | APPLIED MATERIALS, INC. |
10964545 | Apparatus including metallized-ceramic tubes for radio-frequency and gas delivery | LAM RESEARCH CORPORATION |
10964546 | Substrate processing method and method for removing boron-doped silicon | TOKYO ELECTRON LIMITED |
10964547 | Method of fabricating semiconductor structure | -- |
10964548 | Fin field-effect transistor device and method | -- |
10964549 | Wafer polishing with separated chemical reaction and mechanical polishing | -- |
10964550 | Method and apparatus for surface planarization of object using light source of specific wavelength and reactive gas | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
10964551 | Control of wafer surface charge during CMP | STMICROELECTRONICS, INC. |
10964552 | Methods for producing laminate and substrate for mounting a semiconductor device | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
10964553 | Manufacturing method of semiconductor device and semiconductor device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10964554 | Wafer-level fan-out package with enhanced performance | QORVO US, INC. |
10964555 | Water jet processing apparatus | DISCO CORPORATION |
10964556 | Substrate processing apparatus, substrate processing system, and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10964557 | Substrate processing apparatus and substrate processing method | SEMES CO., LTD. |
10964558 | Substrate processing method and substrate processing device | SCREEN HOLDINGS CO., LTD. |
10964559 | Wafer etching apparatus and method for controlling etch bath of wafer | -- |
10964560 | Substrate chuck and substrate bonding system including the same | SAMSUNG ELECTRONICS CO., LTD. |
10964561 | Integrated circuit controlled ejection system (ICCES) for massively parallel integrated circuit assembly (MPICA) | MATRICS2, INC. |
10964562 | Device and method for bonding of two substrates | EV GROUP E. THALLNER GMBH |
10964563 | Bonding apparatus and bonding method | TOKYO ELECTRON LIMITED |
10964564 | Heating treatment apparatus and heating treatment method | TOKYO ELECTRON LIMITED |
10964565 | Substrate processing apparatus and method | PSK INC. |
10964566 | Machine learning on overlay virtual metrology | -- |
10964567 | Processing apparatus | DISCO CORPORATION |
10964568 | Substrate carrier | MEYER BURGER (GERMANY) GMBH |
10964569 | Semiconductor die carrier structure | -- |
10964570 | Semiconductor wafer storage system and method of supplying fluid for semiconductor wafer storage | SAMSUNG ELECTRONICS CO., LTD. |
10964571 | Conveyance system | DISCO CORPORATION |
10964572 | Conveyance system | DISCO CORPORATION |
10964573 | Ceiling carrier vehicle | MURATA MACHINERY, LTD. |
10964574 | System for automatic sending cassette pod | -- |
10964575 | Transfer robot system, teaching method and wafer receptacle | TOKYO ELECTRON LIMITED |
10964576 | Electrostatic attachment chuck, method for manufacturing the same, and semiconductor device manufacturing method | OKAMOTO MACHINE TOOL WORKS, LTD. |
10964577 | Electrostatic chuck | TOTO LTD. |
10964578 | Apparatus for manufacturing semiconductor device and manufacturing method of semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10964579 | Electrostatic chuck | TOTO LTD. |
10964580 | Wafer reconfiguration during a coating process or an electric plating process | -- |
10964581 | Self-aligned adhesive layer formation in light-emitting structure fabrication | FACEBOOK TECHNOLOGIES, LLC |
10964582 | Transfer substrate utilizing selectable surface adhesion transfer elements | PALO ALTO RESEARCH CENTER INCORPORATED |
10964583 | Micro-transfer-printable flip-chip structures and methods | X DISPLAY COMPANY TECHNOLOGY LIMITED |
10964584 | Process kit ring adaptor | APPLIED MATERIALS, INC. |
10964585 | Method for forming a fin-based semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964586 | Semiconductor structure including isolations | -- |
10964587 | Atomic layer deposition for low-K trench protection during etch | TOKYO ELECTRON LIMITED |
10964588 | Selective ILD deposition for fully aligned via with airgap | TESSERA, INC. |
10964589 | Semiconductor structure | -- |
10964590 | Contact metallization process | -- |
10964591 | Processes for reducing leakage and improving adhesion | -- |
10964592 | Methods of forming conductive vias and methods of forming memory circuitry | MICRON TECHNOLOGY, INC. |
10964593 | Method for forming a semiconductor structure with a gate contact plug | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964594 | Methods of packaging semiconductor devices including placing semiconductor devices into die caves | -- |
10964595 | Method for singulating packaged integrated circuits and resulting structures | -- |
10964596 | Backside metal patterning die singulation system and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10964597 | Element chip manufacturing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10964598 | Methods of forming source/drain regions of a FinFET device and the resulting structures | GLOBALFOUNDRIES U.S. INC. |
10964599 | Multi-step insulator formation in trenches to avoid seams in insulators | GLOBALFOUNDRIES U.S. INC. |
10964600 | Semiconductor structures | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10964601 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964602 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964603 | Hybrid gate stack integration for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964604 | Magnetic storage element, magnetic storage device, electronic device, and method of manufacturing magnetic storage element | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10964605 | Wafer-scale testing of photonic integrated circuits using horizontal spot-size converters | ACACIA COMMUNICATIONS, INC. |
10964606 | Film forming system, film forming method, and computer storage medium | TOKYO ELECTRON LIMITED |
10964608 | Platform and method of operating for integrated end-to-end gate contact process | TOKYO ELECTRON LIMITED |
10964609 | Apparatus and method for detecting end point | -- |
10964610 | Packaging mechanisms for dies with different sizes of connectors | -- |
10964611 | Die edge integrity monitoring system | MELEXIS TECHNOLOGIES NV |
10964612 | Display device | LG DISPLAY CO., LTD. |
10964616 | Semiconductor package structure and method of manufacturing the same | -- |
10964618 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964621 | Memory device with high resistivity thermal barrier | MICRON TECHNOLOGY, INC. |
10964625 | Device and method for direct liquid cooling via metal channels | GOOGLE LLC |
10964626 | Semiconductor structure and method of making the same | -- |
10964627 | Integrated electronic device having a dissipative package, in particular dual side cooling package | STMICROELECTRONICS S.R.L. |
10964628 | Clip frame assembly, semiconductor package having a lead frame and a clip frame, and method of manufacture | INFINEON TECHNOLOGIES AG |
10964629 | Siderail with mold compound relief | TEXAS INSTRUMENTS INCORPORATED |
10964633 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10964634 | Method of manufacturing circuit carrier with embedded semiconductor substrate | -- |
10964635 | Power electronic metal-ceramic module and printed circuit board module with integrated power electronic metal-ceramic module and process for their making | SCHWEIZER ELECTRONIC AG |
10964636 | Interconnect structure with low resistivity and method for forming the same | -- |
10964641 | Method of forming semiconductor packages having through package vias | -- |
10964642 | Semiconductor module comprising transistor chips, diode chips and driver chips arranged in a common plane | INFINEON TECHNOLOGIES AG |
10964643 | Semiconductor package and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964646 | IC with insulating trench and related methods | STMICROELECTRONICS S.R.L. |
10964647 | Dielectric crack stop for advanced interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964648 | Chip security fingerprint | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964650 | Info structure and method forming same | -- |
10964652 | Semiconductor device package and method of manufacturing the same | -- |
10964653 | Method of forming a semiconductor device comprising top conductive pads | -- |
10964655 | Patterning polymer layer to reduce stress | -- |
10964658 | Semiconductor device having a metallic oxide or metallic hydroxide barrier layer | TOSHIBA MEMORY CORPORATION |
10964662 | Method of transferring micro device | MIKRO MESA TECHNOLOGY CO., LTD. |
10964663 | Die bonder and methods of using the same | -- |
10964664 | DBI to Si bonding for simplified handle wafer | INVENSAS BONDING TECHNOLOGIES, INC. |
10964665 | Method for forming complex electronic circuits by interconnecting groups of printed devices | NTHDEGREE TECHNOLOGIES WORLDWIDE, INC. |
10964666 | Chip on package structure and method | -- |
10964667 | Stacked integrated circuit structure and method of forming | -- |
10964670 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964671 | Stacked chips comprising interconnects | TOSHIBA MEMORY CORPORATION |
10964673 | Semiconductor device and manufacturing method of the same | -- |
10964676 | Semiconductor structure and a method of making thereof | BROADPAK CORPORATION |
10964677 | Electronic packages with stacked sitffeners and methods of assembling same | INTEL CORPORATION |
10964684 | Multiple fin height integrated circuit | -- |
10964686 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10964688 | Semiconductor device | ROHM CO., LTD. |
10964690 | Resistor between gates in self-aligned gate edge architecture | INTEL CORPORATION |
10964691 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10964692 | Through silicon via design for stacking integrated circuits | -- |
10964693 | Semiconductor device having a plurality of bipolar transistors with different heights between their respective emitter layers and emitter electrodes | MURATA MANUFACTURING CO., LTD. |
10964694 | Multi-transistor device including first and second LDMOS transistors having respective drift regions separated in a thickness direction by a shared RESURF layer | MAXIM INTEGRATED PRODUCTS, INC. |
10964696 | Semiconductor device and manufacturing method thereof | -- |
10964697 | Non-planar semiconductor device having doped sub-fin region and method to fabricate same | INTEL CORPORATION |
10964698 | Field effect transistor with decoupled channel and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964699 | Multi-layer horizontal thyristor random access memory and peripheral circuitry | TCLAB, INC. |
10964700 | Semiconductor device and memory device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10964701 | Vertical shared gate thin-film transistor-based charge storage memory | INTEL CORPORATION |
10964704 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10964706 | Three-dimensional semiconductor device including integrated circuit, transistors and transistor components and method of fabrication | TOKYO ELECTRON LIMITED |
10964714 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10964715 | Three-dimensional memory device containing channels with laterally pegged dielectric cores | SANDISK TECHNOLOGIES LLC |
10964716 | Semiconductor device and method of manufacturing same | TOSHIBA MEMORY CORPORATION |
10964717 | Methods and apparatus for three-dimensional NAND structure fabrication | APPLIED MATERIALS, INC. |
10964718 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10964721 | Semiconductor devices including ferroelectric layer and methods of fabricating the same | SK HYNIX INC. |
10964730 | Non-contact measurement of a stress in a film on a substrate | APPLEJACK 199 L.P. |
10964732 | Fabrication of thin-film electronic devices with non-destructive wafer reuse | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
10964733 | Opto-electronic HEMT | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10964746 | Deep trench isolation shrinkage method for enhanced device performance | -- |
10964750 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964778 | Precision capacitor | TEXAS INSTRUMENTS INCORPORATED |
10964779 | Vertical plate capacitors exhibiting high capacitance manufactured with directed self-assembly | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964782 | Semiconductor device including isolation regions | SAMSUNG ELECTRONICS CO., LTD. |
10964784 | Integrated circuit device and manufacturing method thereof | -- |
10964785 | SiC epitaxial wafer and manufacturing method of the same | MITSUBISHI ELECTRIC CORPORATION |
10964786 | Group III-V compound semiconductor substrate and group III-V compound semiconductor substrate with epitaxial layer | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10964788 | Semiconductor device and operating method thereof | -- |
10964790 | TFT substrate and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10964791 | Semiconductor device having silicides and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10964792 | Dual metal capped via contact structures for semiconductor devices | -- |
10964794 | Cryogenic semiconductor device having buried channel array transistor | SK HYNIX INC. |
10964795 | Air spacers in transistors and methods forming same | -- |
10964796 | Heterojunction bipolar transistors with stress material for improved mobility | GLOBALFOUNDRIES U.S. INC. |
10964797 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964798 | Semiconductor device and method of manufacturing the same | -- |
10964799 | FinFETs and methods for forming the same | -- |
10964800 | Semiconductor device having fin-end stress-inducing features | INTEL CORPORATION |
10964801 | Semiconductor device and manufacturing method thereof | -- |
10964803 | Gallium nitride transistor with a doped region | TEXAS INSTRUMENTS INCORPORATED |
10964804 | Semiconductor structure, HEMT structure and method of forming the same | -- |
10964809 | Semiconductor device and manufacturing process therefor | DENSO CORPORATION |
10964810 | Methodology and structure for field plate design | -- |
10964811 | Transistor and methods of forming transistors | MICRON TECHNOLOGY, INC. |
10964812 | Integration of input/output device in vertical field-effect transistor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10964813 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964814 | Transistor with asymmetric source and drain regions | -- |
10964815 | CMOS finFET with doped spacers and method for forming the same | -- |
10964816 | Method and device for boosting performance of FinFETs via strained spacer | -- |
10964817 | (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor device | -- |
10964818 | Semiconductor device doped from a diffused layer | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10964819 | Fin field effect transistor (FinFET) device and method for forming the same | -- |
10964823 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10964831 | Solar cell module and method of manufacturing solar cell module | KYOCERA CORPORATION |
10964841 | Method for manufacturing light-emitting element | NICHIA CORPORATION |
10964849 | Micro light emitting diode apparatus and method of fabricating micro light emitting diode apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10964857 | Planar aligned nanorods and liquid crystal assemblies | UNIVERSITES GENT |
10964861 | Method for producing optoelectronic semiconductor devices and optoelectronic semiconductor device | OSRAM OLED GMBH |
10964865 | Printed board, light emitting device, and method for manufacturing same | NICHIA CORPORATION |
10964866 | LED device, system, and method with adaptive patterns | CREE, INC. |
10964867 | Using underfill or flux to promote placing and parallel bonding of light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
10964889 | Deposition mask, deposition apparatus using the same, and method of manufacturing display apparatus using the same | SAMSUNG DISPLAY CO., LTD. |
10964900 | Light emitting diode display with redundancy scheme | APPLE INC. |
10965085 | Laser chamber with metal damper member | GIGAPHOTON INC. |
10965269 | Electronic devices formed in a cavity between substrates and including a via | SKYWORKS SOLUTIONS, INC. |
10966286 | Nitrogen injection for ARC lamps | MATTSON TECHNOLOGY, INC. |
10966322 | Semiconductor device and manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
10966325 | Flexible display apparatus and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
10966327 | Method for forming circuit on substrate | JCU CORPORATION |
10966333 | Case, method of manufacturing the same and electronic device | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
10966351 | Heat pipe and vapor chamber heat dissipation | ELPIS TECHNOLOGIES INC. |
10967372 | Electro-fluidic flow probe | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10967373 | Electro-fluidic flow probe | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10967457 | Laser beam irradiation apparatus and method of manufacturing organic light-emitting display device by using the same | SAMSUNG DISPLAY CO., LTD. |
10967479 | Chemical mechanical polishing system and method | -- |
10967480 | Apparatus and methods for chemical mechanical polishing | -- |
10967483 | Slurry distribution device for chemical mechanical polishing | APPLIED MATERIALS, INC. |
10967511 | Time-optimal trajectories for robotic transfer devices | BROOKS AUTOMATION, INC. |
10968052 | Long reach vacuum robot with dual wafer pockets | APPLIED MATERIALS, INC. |
10968348 | Laser-releasable bonding materials for 3-D IC applications | BREWER SCIENCE, INC. |
10968364 | Plasma polymerized thin film having low dielectric constant, device, and method of preparing thin film | RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
10968366 | Composition and method for metal CMP | CMC MATERIALS, INC. |
10968390 | Composition for semiconductor process and semiconductor process | SKC CO., LTD. |
10968511 | Substrate bearing assembly and magnetron sputtering device | BOE TECHNOLOGY GROUP CO., LTD. |
10968513 | Plasma film-forming apparatus and substrate pedestal | TOKYO ELECTRON LIMITED |
10968514 | Substrate mounting table | TOKYO ELECTRON LIMITED |
10968515 | Vertical heat treatment apparatus | TOKYO ELECTRON LIMITED |
10968517 | Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
10968529 | Insulation systems and methods of depositing insulation systems | GENERAL ELECTRIC COMPANY |
10968530 | Electroplating device | EBARA CORPORATION |
10968531 | Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath | NOVELLUS SYSTEMS, INC. |
10968925 | Gas cylinder | TOKYO ELECTRON LIMITED |
10969029 | Low particle protected flapper valve | APPLIED MATERIALS, INC. |
10969420 | Test circuits for monitoring NBTI or PBTI | SAMSUNG ELECTRONICS CO., LTD. |
10969423 | Switch coupling functional circuitry to via, scan cell contacting via | TEXAS INSTRUMENTS INCORPORATED |
10969677 | Film mask, method for manufacturing same, and method for forming pattern using film mask | LG CHEM, LTD. |
10969686 | Film mask, method for manufacturing same, and method for forming pattern using film mask and pattern formed thereby | LG CHEM, LTD. |
10969687 | Method for forming patterns | -- |
10969688 | Substrate treating apparatus and substrate treating method | SEMES CO., LTD. |
10969695 | Fluid handling structure and lithographic apparatus | ASML NETHERLANDS B.V. |
10969773 | Machine learning systems for monitoring of semiconductor processing | APPLIED MATERIALS, INC. |
10969840 | Heat spreaders with interlocked inserts | INTEL CORPORATION |
10970834 | Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance | KLA-TENCOR CORPORATION |
10971239 | Memory circuit, system and method for rapid retrieval of data sets | SUNRISE MEMORY CORPORATION |
10971325 | Defect observation system and defect observation method for semiconductor wafer | HITACHI HIGH-TECH CORPORATION |
10971333 | Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same | SAMSUNG ELECTRONICS CO., LTD. |
10971336 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
10971341 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
10971350 | Wafer holding apparatus and wafer processing method using the same | DISCO CORPORATION |
10971351 | Wafer surface beveling method, method of manufacturing wafer, and wafer | SUMCO CORPORATION |
10971352 | Cleaning method and apparatus | -- |
10971353 | Method for dehydrating semiconductor structure and dehydrating method of the same | -- |
10971354 | Drying high aspect ratio features | APPLIED MATERIALS, INC. |
10971355 | Substrates and methods for forming the same | -- |
10971356 | Stack viabar structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971357 | Thin film treatment process | APPLIED MATERIALS, INC. |
10971358 | Method of making a peeled magnesium oxide substrate using laser irradiation | SHIN-ETSU POLYMER CO., LTD. |
10971359 | Managed substrate effects for stabilized SOI FETs | PSEMI CORPORATION |
10971360 | Methods of forming a channel region of a transistor and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
10971361 | Laser annealing method, laser annealing apparatus, and thin film transistor substrate | V TECHNOLOGY CO., LTD. |
10971362 | Extreme ultraviolet patterning process with resist hardening | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971363 | Method for forming semiconductor device structure | -- |
10971364 | Ultra-high modulus and etch selectivity boron carbon hardmask films | APPLIED MATERIALS, INC. |
10971365 | Method and device for bonding substrates | EV GROUP E. THALLNER GMBH |
10971366 | Methods for silicide deposition | APPLIED MATERIALS, INC. |
10971367 | Method for fabricating vertical transistor having a silicided bottom | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10971368 | Techniques for processing substrates using directional reactive ion etching | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971369 | Plasma processing method and plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
10971370 | Hard mask removal method | -- |
10971371 | Multi-chip structure and method of forming same | -- |
10971372 | Gas phase etch with controllable etch selectivity of Si-containing arc or silicon oxynitride to different films or masks | TOKYO ELECTRON LIMITED |
10971373 | Methods for cyclic etching of a patterned layer | TOKYO ELECTRON LIMITED |
10971374 | Semi-insulating compound semiconductor substrate and semi-insulating compound semiconductor single crystal | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10971375 | Method of manufacturing semiconductor devices and corresponding semiconductor device | STMICROELECTRONICS S.R.L. |
10971376 | Printed circuit board with protective member and method of manufacturing semiconductor package having the same | SAMSUNG ELECTRONICS CO., LTD. |
10971377 | Semiconductor chip | MURATA MANUFACTURING CO., LTD. |
10971378 | Method and device for bonding substrates | EV GROUP E. THALLNER GMBH |
10971379 | Wafer bonding apparatus and wafer bonding system using the same | SAMSUNG ELECTRONICS CO., LTD. |
10971380 | De-bonding leveling device and de-bonding method | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10971381 | Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods | APPLIED MATERIALS, INC. |
10971382 | Loadlock module and semiconductor manufacturing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10971383 | Fluorescence based thermometry for packaging applications | APPLIED MATERIALS, INC. |
10971384 | Auto-calibrated process independent feedforward control for processing substrates | LAM RESEARCH CORPORATION |
10971385 | Substrate processing apparatus and transfer position correcting method | TOKYO ELECTRON LIMITED |
10971386 | Device positioning using sensors | -- |
10971387 | Mask-integrated surface protective tape | FURUKAWA ELECTRIC CO., LTD. |
10971388 | Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal | LAM RESEARCH CORPORATION |
10971389 | Multi-zone pedestal for plasma processing | APPLIED MATERIALS, INC. |
10971390 | Methods of minimizing wafer backside damage in semiconductor wafer processing | APPLIED MATERIALS, INC. |
10971391 | Dielectric gap fill | -- |
10971392 | Amorphous metal thin film nonlinear resistor | AMORPHYX, INC. |
10971393 | Metal-insulator-metal (MIM) structure supporting high voltage applications and low voltage applications | INTEL CORPORATION |
10971394 | Maskless air gap to prevent via punch through | INTEL CORPORATION |
10971395 | Method for fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10971396 | Conductive feature formation and structure | -- |
10971397 | Semiconductor device and method of fabricating the same | -- |
10971398 | Cobalt interconnect structure including noble metal layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971399 | Oxygen-free replacement liner for improved transistor performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971400 | Semiconductor device, substrate for semiconductor device and method of manufacturing the semiconductor device | TOSHIBA MEMORY CORPORATION |
10971401 | Systems and methods for precision fabrication of an orifice within an integrated circuit | CEREBRAS SYSTEMS INC. |
10971402 | Semiconductor device including interface layer and method of fabricating thereof | -- |
10971403 | Structure and method of forming fin device having improved fin liner | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
10971404 | Semiconductor device | -- |
10971405 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10971406 | Method of forming source/drain regions of transistors | -- |
10971407 | Method of forming a complementary metal oxide semiconductor device having fin field effect transistors with a common metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971408 | Contact air gap formation and structures thereof | -- |
10971409 | Methods and systems for measuring semiconductor devices | MICRON TECHNOLOGY, INC. |
10971410 | Image display device | SHARP KABUSHIKI KAISHA |
10971411 | Hybrid corrective processing system and method | TEL EPION INC. |
10971412 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10971413 | Plasma processing apparatus and control method | TOKYO ELECTRON LIMITED |
10971415 | Semiconductor device, manufacturing method for semiconductor device, semiconductor module, and power conversion device | HITACHI POWER SEMICONDUCTOR DEVICE, LTD. |
10971416 | Package power delivery using plane and shaped vias | INTEL CORPORATION |
10971417 | 3D stacked-chip package | -- |
10971418 | Packaging structures with improved adhesion and strength | SKYWORKS SOLUTIONS, INC. |
10971422 | Semiconductor die assembly having a heat spreader that extends through an underlying interposer and related technology | MICRON TECHNOLOGY, INC. |
10971429 | Method for forming a semiconductor package | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10971430 | Semiconductor device | KYOCERA CORPORATION |
10971434 | Lead frame package having conductive surface with integral lead finger | SILANNA ASIA PTE LTD |
10971435 | Semiconductor device including bonding pad and bond wire or clip | INFINEON TECHNOLOGIES AG |
10971437 | Chip package structure and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10971439 | Ball grid array underfilling systems | HAMILTON SUNDSTRAND CORPORATION |
10971441 | Package with metal-insulator-metal capacitor and method of manufacturing the same | -- |
10971442 | Semiconductor device having via sidewall adhesion with encapsulant | -- |
10971443 | Packages with Si-substrate-free interposer and method forming same | -- |
10971446 | Semiconductor device and method of manufacture | -- |
10971449 | Semiconductor device with metallization structure on opposite sides of a semiconductor portion | INFINEON TECHNOLOGIES AG |
10971451 | Interconnect structure having nanocrystalline graphene cap layer and electronic device including the interconnect structure | SAMSUNG ELECTRONICS CO., LTD. |
10971453 | Semiconductor packaging with high density interconnects | INTEL CORPORATION |
10971454 | Semiconductor package | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10971455 | Ground shield plane for ball grid array (BGA) package | QUALCOMM INCORPORATED |
10971457 | Semiconductor device comprising a composite material clip | INFINEON TECHNOLOGIES AG |
10971460 | Integrated devices in semiconductor packages and methods of forming same | -- |
10971461 | Semiconductor device and method of manufacture | -- |
10971462 | Package structure and manufacturing method thereof | -- |
10971467 | Packaging method and package structure of fan-out chip | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
10971469 | Semiconductor device including various peripheral areas having different thicknesses | LAPIS SEMICONDUCTOR CO., LTD. |
10971472 | Method of liquid assisted bonding | MIKRO MESA TECHNOLOGY CO., LTD. |
10971475 | Semiconductor package structure | -- |
10971476 | Bottom package with metal post interconnections | QUALCOMM INCORPORATED |
10971477 | Semiconductor packages and methods of forming the same | -- |
10971483 | Semiconductor structure and manufacturing method thereof | -- |
10971490 | Three-dimensional field effect device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971491 | Method for forming capacitor, semiconductor device, module, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971493 | Integrated circuit device with high mobility and system of forming the integrated circuit | -- |
10971494 | Semiconductor device and semiconductor integrated circuit | SOCIONEXT, INC. |
10971497 | Memory cell | -- |
10971500 | Methods used in the fabrication of integrated circuitry | MICRON TECHNOLOGY, INC. |
10971501 | Memory structure and manufacturing method thereof | -- |
10971504 | Three-dimensional monolithic vertical transistor memory cell with unified inter-tier cross-couple | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971507 | Three-dimensional memory device containing through-memory-level contact via structures | SANDISK TECHNOLOGIES LLC |
10971508 | Integrated circuit and method of manufacturing the same | -- |
10971511 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
10971512 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971514 | Multi-tier three-dimensional memory device with dielectric support pillars and methods for making the same | SANDISK TECHNOLOGIES LLC |
10971515 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10971516 | Three-dimensional semiconductor memory devices and methods of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10971517 | Source contact structure of three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10971521 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10971522 | High mobility complementary metal-oxide-semiconductor (CMOS) devices with fins on insulator | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971525 | TFT array substrate and manufacturing method thereof | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971528 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10971529 | Electronic device and manufacturing method of the same | -- |
10971540 | Method and systems for coupling semiconductor substrates | FLIR SYSTEMS, INC. |
10971545 | Magnetoresistive stacks and methods therefor | EVERSPIN TECHNOLOGIES, INC. |
10971546 | Crosspoint phase change memory with crystallized silicon diode access device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971576 | High resistivity soft magnetic material for miniaturized power converter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971578 | Capacitive electronic chip component | STMICROELECTRONICS (ROUSSET) SAS |
10971579 | Semiconductor device and fabrication method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGY CO., LTD. |
10971580 | Silicon carbide schottky diodes with tapered negative charge density | GRIFFITH UNIVERSITY |
10971582 | Method for forming a superjunction transistor device | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
10971583 | Gate cut isolation including air gap, integrated circuit including same and related method | GLOBALFOUNDRIES U.S. INC. |
10971584 | Low contact resistance nanowire FETs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971585 | Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971586 | Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same | -- |
10971588 | Semiconductor device including FinFET with self-align contact | -- |
10971589 | Low-k feature formation processes and structures formed thereby | -- |
10971590 | Transistor layout to reduce kink effect | -- |
10971592 | Semiconductor device with gate electrode having side surfaces doped with carbon | TOSHIBA MEMORY CORPORATION |
10971593 | Oxygen reservoir for low threshold voltage P-type MOSFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971594 | Semiconductor device having modified profile metal gate | -- |
10971595 | MOFSET and method of fabricating same | NEXCHIP SEMINCONDUCTOR CORPORATION |
10971596 | Semiconductor device with reduced flicker noise | -- |
10971597 | Self-aligned base and emitter for a bipolar junction transistor | GLOBALFOUNDRIES U.S. INC. |
10971598 | Method of forming heterojunction bipolar transistor (HBT) | KEYSIGHT TECHNOLOGIES, INC. |
10971599 | Power semiconductor device with self-aligned source region | INFINEON TECHNOLOGIES AG |
10971600 | Selective gate spacers for semiconductor devices | INTEL CORPORATION |
10971601 | Replacement metal gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971602 | High-k metal gate process and device | -- |
10971603 | Wavy channel flexible thin-film-transistor on a flexible substrate and method of producing such a thin-film-transistor | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10971606 | Method for manufacturing semiconductor device | -- |
10971607 | Methods of forming NAND cell units | MICRON TECHNOLOGY, INC. |
10971609 | Back end of line nanowire power switch transistors | -- |
10971610 | High electron mobility transistor | -- |
10971612 | High electron mobility transistors and power amplifiers including said transistors having improved performance and reliability | CREE, INC. |
10971613 | Semiconductor devices with doped regions functioning as enhanced resistivity regions or diffusion barriers, and methods of fabrication therefor | NXP USA, INC. |
10971618 | Generating milled structural elements with a flat upper surface | APPLIED MATERIALS ISRAEL LTD. |
10971619 | Semiconductor device and manufacturing method of the same | DENSO CORPORATION |
10971624 | High-voltage transistor devices with two-step field plate structures | -- |
10971625 | Epitaxial structures of a semiconductor device having a wide gate pitch | GLOBALFOUNDRIES U.S. INC. |
10971626 | Interface charge reduction for SiGe surface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10971627 | Semiconductor structure and manufacturing method | -- |
10971628 | FinFET device with T-shaped fin | -- |
10971629 | Self-aligned unsymmetrical gate (SAUG) FinFET and methods of forming the same | -- |
10971630 | Semiconductor structure having both gate-all-around devices and planar devices | -- |
10971631 | Thin film transistor and method of fabricating the same, display substrate and method of fabricating the same, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
10971632 | High voltage diode on SOI substrate with trench-modified current path | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10971634 | Oxide semiconductor device and method of manufacturing oxide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10971640 | Self-assembly patterning for fabricating thin-film devices | FLISOM AG |
10971666 | Method for manufacturing an optical module and optical module | MITSUMI ELECTRIC CO., LTD. |
10971674 | Method for producing composite wafer having oxide single-crystal film | SHIN-ETSU CHEMICAL CO., LTD. |
10971798 | Semiconductor device package and method of manufacturing the same | -- |
10971825 | Antenna module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10972091 | Radio frequency switches with voltage equalization | NXP USA, INC. |
10973088 | Optically heated substrate support assembly with removable optical fibers | APPLIED MATERIALS, INC. |
10973158 | Apparatus and method for mounting components on a substrate | BESI SWITZERLAND AG |
10973159 | Component mounting machine for preventing release fail of a component | FUJI CORPORATION |
10974181 | Filter unit pretreatment method, treatment liquid supply apparatus, filter unit heating apparatus, and treatment liquid supply passage pretreatment method | TOKYO ELECTRON LIMITED |
10974183 | Break filter using a silicon carbide porous body and manufacturing method of the break filter | COORSTEK KK |
10974359 | Automated workpiece conveying vehicle | DISCO CORPORATION |
10974364 | Cutting blade mounting mechanism | DISCO CORPORATION |
10974365 | Method for processing semiconductor wafers using a grinding wheel | INFINEON TECHNOLOGIES AG |
10974433 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10974960 | Method for obtaining semiconducting carbon nanotube | TSINGHUA UNIVERSITY |
10975464 | Hard mask films with graded vertical concentration formed using reactive sputtering in a radio frequency deposition chamber | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10975465 | Method of forming internal stress control film | ULVAC, INC. |
10975466 | Method of cleaning exhaust pipe | TOKYO ELECTRON LIMITED |
10975470 | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment | ASM IP HOLDING B.V. |
10975473 | CVD apparatus with multi-zone thickness control | -- |
10975490 | Apparatus and method for etching one side of a semiconductor substrate | NEXWAFE GMBH |
10975495 | Epitaxial growth apparatus, preheat ring, and method of manufacturing epitaxial wafer using these | SUMCO CORPORATION |
10976233 | Particle detector | -- |
10976242 | System and method for monitoring atomic absorption during a surface modification process | ACCUSTRATA, INC. |
10976364 | Test head and wafer inspection apparatus | TOKYO ELECTRON LIMITED |
10976491 | Photonics interposer optoelectronics | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
10976586 | Manufacturing method for liquid crystal display panel with high transmittance and display panel thereof | -- |
10976657 | System and method for illuminating edges of an imprint field with a gradient dosage | CANON KABUSHIKI KAISHA |
10976676 | Contamination handling for semiconductor apparatus | -- |
10976872 | Display panel, input/output device, data processing device, and method for manufacturing display panel | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10977405 | Fill process optimization using feature scale modeling | LAM RESEARCH CORPORATION |
10977418 | Semiconductor device with cell region, method of generating layout diagram and system for same | -- |
10977420 | Method of decomposing a layout for multiple-patterning lithography | -- |
10978275 | Manufacturing method of showerhead for plasma processing apparatus | TOKYO ELECTRON LIMITED |
10978276 | Substrate processing apparatus including top reflector above annular lamp assembly | APPLIED MATERIALS, INC. |
10978278 | Normal-incident in-situ process monitor sensor | TOKYO ELECTRON LIMITED |
10978291 | Pre-cleaning a semiconductor structure | SPTS TECHNOLOGIES LIMITED |
10978292 | Cuprous oxide devices and formation methods | -- |
10978293 | Oxide film formation method | MEIDENSHA CORPORATION |
10978294 | Semi-insulating crystal, N-type semiconductor crystal and P-type semiconductor crystal | SCIOCS COMPANY LIMITED |
10978295 | Epitaxial growth on semiconductor structures | MICRON TECHNOLOGY, INC. |
10978296 | Nitride semiconductor substrate, semiconductor laminate, laminated structure, method for manufacturing nitride semiconductor substrate and method for manufacturing semiconductor laminate | SCIOCS COMPANY LIMITED |
10978297 | Formation of stacked lateral semiconductor devices and the resulting structures | TC LAB, INC. |
10978298 | Production of semiconductor nanowires directly from solid particles | GLOBAL GRAPHENE GROUP, INC. |
10978299 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978300 | Methods to reduce gouging for core removal processes using thermal decomposition materials | TOKYO ELECTRON LIMITED |
10978301 | Morphology of resist mask prior to etching | -- |
10978302 | Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film | LAM RESEARCH CORPORATION |
10978303 | Secure permanent integrated circuit personalization | -- |
10978304 | Method of indirect heating using laser | RNR LAB INC. |
10978305 | Manufacturing method for a film stack of a semiconductor device | -- |
10978306 | Semiconductor recess formation | MICRON TECHNOLOGY, INC. |
10978307 | Deposition process | TOKYO ELECTRON LIMITED |
10978308 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
10978309 | Heat treatment method and heat treatment apparatus for heating substrate by emitting flashing light | SCREEN HOLDINGS CO., LTD. |
10978310 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium capable of adjusting substrate temperature | KOKUSAI ELECTRIC CORPORATION |
10978311 | Method for thinning solid body layers provided with components | SILTECTRA GMBH |
10978312 | Semiconductor device package and method of manufacturing the same | -- |
10978313 | Fixture facilitating heat sink fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978314 | Multi integrated circuit chip carrier package | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978315 | Vacuum evacuation system | EBARA CORPORATION |
10978316 | Semiconductor processing device | TOSHIBA MEMORY CORPORATION |
10978317 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
10978318 | Laser processing apparatus | DISCO CORPORATION |
10978319 | Light-irradiation heat treatment method and heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
10978320 | Protective member forming apparatus | DISCO CORPORATION |
10978321 | Method and system for processing patterned structures | NOVA MEASURING INSTRUMENTS LTD. |
10978322 | Transfer device, substrate processing apparatus, and transfer method | TOKYO ELECTRON LIMITED |
10978323 | Substrate holder having integrated temperature measurement electrical devices | LAM RESEARCH CORPORATION |
10978324 | Upper cone for epitaxy chamber | APPLIED MATERIALS, INC. |
10978325 | Substrate storage container management system, load port, and substrate storage container management method | SINFONIA TECHNOLOGY CO., LTD. |
10978326 | Semiconductor wafer storage device | -- |
10978327 | Micro-structure transfer system | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
10978328 | Article storage facility | DAIFUKU CO., LTD. |
10978329 | Wafer pod handling method | -- |
10978330 | On the fly automatic wafer centering method and apparatus | BROOKS AUTOMATION, INC. |
10978331 | Systems and methods for orientator based wafer defect sensing | -- |
10978332 | Vacuum suction apparatus | -- |
10978333 | Systems and methods for robotic arm sensing | -- |
10978334 | Sealing structure for workpiece to substrate bonding in a processing chamber | APPLIED MATERIALS, INC. |
10978335 | Method for producing a gate cut structure on an array of semiconductor fins | IMEC VZW |
10978336 | Methods of manufacturing semiconductor devices | -- |
10978337 | Aluminum-containing layers and methods of forming the same | -- |
10978338 | Semiconductor device and manufacture method thereof | -- |
10978339 | Metal interconnect structure and method for fabricating the same | -- |
10978340 | Method for forming an electrical contact between a semiconductor film and a bulk handle wafer, and resulting structure | STMICROELECTRONICS (CROLLES 2) SAS |
10978341 | Contact openings and methods forming same | -- |
10978342 | Interconnect with self-forming wrap-all-around barrier layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978343 | Interconnect structure having fully aligned vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978344 | Melting laser anneal of epitaxy regions | -- |
10978345 | Interconnect structure for stacked device | -- |
10978346 | Conductive vias in semiconductor packages and methods of forming same | -- |
10978347 | Device chip and method of manufacturing device chip | DISCO CORPORATION |
10978348 | 3D chip sharing power interconnect layer | XCELSIS CORPORATION |
10978349 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10978350 | Structure and method for metal gates with roughened barrier layer | -- |
10978351 | Etch stop layer between substrate and isolation structure | -- |
10978352 | FinFET device | -- |
10978353 | High mobility transistors | TEXAS INSTRUMENTS INCORPORATED |
10978354 | Selective dual silicide formation | -- |
10978355 | Multi-gate devices with replaced-channels and methods for forming the same | -- |
10978356 | Tri-layer STI liner for nanosheet leakage control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978357 | Semiconductor arrangement and method of manufacture | -- |
10978358 | Processing system for a catalytic layer of a noble metal formed on a surface of a substrate | KABUSHIKI KAISHA TOSHIBA |
10978359 | SiC substrate evaluation method, SiC epitaxial wafer manufacturing method, and SiC epitaxial wafer | SHOWA DENKO K.K. |
10978360 | PNA temperature monitoring method | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
10978361 | Substrate processing apparatus and recording medium | KOKUSAI ELECTRIC CORPORATION |
10978362 | Semiconductor structure with conductive structure | -- |
10978363 | Semiconductor structure with conductive structure | -- |
10978364 | Semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
10978365 | Composite compositions for electronics applications | SIRRUS, INC. |
10978366 | Power module having a hole in a lead frame for improved adhesion with a sealing resin, electric power conversion device, and method for producing power module | MITSUBISHI ELECTRIC CORPORATION |
10978367 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
10978368 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10978370 | Integrated fan-out packages with embedded heat dissipation structure | -- |
10978371 | Semiconductor device and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10978373 | Semiconductor device methods of manufacture | -- |
10978376 | Sensing device and method for fabricating the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10978378 | Encapsulated leadless package having an at least partially exposed interior sidewall of a chip carrier | INFINEON TECHNOLOGIES AG |
10978380 | Semiconductor package with multi-level conductive clip for top side cooling | INFINEON TECHNOLOGIES AG |
10978382 | Integrated circuit package and method | -- |
10978383 | Wiring board and method of manufacturing the same | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10978385 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10978386 | Microelectronic devices with through-silicon vias and associated methods of manufacturing | MICRON TECHNOLOGY, INC. |
10978387 | Semiconductor device and method for manufacturing the same | -- |
10978388 | Skip via for metal interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978389 | Semiconductor device and manufacturing method thereof | -- |
10978393 | Hybrid dielectric scheme for varying liner thickness and manganese concentration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978394 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10978395 | Method of manufacturing a semiconductor device having a power metallization structure | INFINEON TECHNOLOGIES AUSTRIA AG |
10978396 | Transient electronics using thermoresponsive materials | VANDERBILT UNIVERSITY |
10978397 | Semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10978398 | Semiconductor device and method for fabricating the same | -- |
10978399 | Die interconnect substrate, an electrical device, and a method for forming a die interconnect substrate | INTEL CORPORATION |
10978400 | Conductive vias | STMICROELECTRONICS (GRENOBLE 2) SAS |
10978401 | Package structure | -- |
10978402 | Electronic devices with yielding substrates | COOLEDGE LIGHTING INC. |
10978403 | Package structure and method for fabricating the same | -- |
10978404 | Semiconductor structure and method for fabricating semiconductor structure | -- |
10978405 | Integrated fan-out package | -- |
10978406 | Semiconductor package including EMI shielding structure and method for forming the same | -- |
10978407 | Stiffener-integrated interconnect bypasses for chip-package apparatus and methods of assembling same | INTEL CORPORATION |
10978408 | Semiconductor package and manufacturing method thereof | -- |
10978410 | Semiconductor structure and manufacturing method thereof | -- |
10978411 | RF power package having planar tuning lines | INFINEON TECHNOLOGIES AG |
10978412 | Manufacturing method of package structure | -- |
10978414 | Semiconductor device and method of manufacturing a semiconductor device | ABLIC INC. |
10978417 | Wiring structure and method for manufacturing the same | -- |
10978418 | Method of forming an electrical contact and method of forming a chip package with a metal contact structure and protective layer | INFINEON TECHNOLOGIES AG |
10978419 | Semiconductor package and manufacturing method thereof | -- |
10978421 | Wafer-level packaging method and package structure | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
10978422 | Vertical transistor device and method for fabricating the same | -- |
10978424 | Semiconductor device and manufacturing method thereof | -- |
10978428 | Manufacturing method of semiconductor device | SK HYNIX INC. |
10978429 | Light emitting diode (LED) mass-transfer apparatus and method of manufacture | APPLE INC. |
10978431 | Semiconductor package with connection substrate and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978432 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10978433 | Package-on-package (PoP) device with integrated passive device in a via | -- |
10978436 | Symmetric FET for RF nonlinearity improvement | PSEMI CORPORATION |
10978438 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
10978439 | Method and system of manufacturing conductors and semiconductor device which includes conductors | -- |
10978441 | Transient voltage suppressor and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10978443 | Zener-triggered transistor with vertically integrated Zener diode | TEXAS INSTRUMENTS INCORPORATED |
10978450 | FinFET isolation structure and method for fabricating the same | -- |
10978451 | Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same | -- |
10978452 | Structure and method of latchup robustness with placement of through wafer via within CMOS circuitry | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978453 | Integrated circuit devices and methods of fabricating such devices | SAMSUNG ELECTRONICS CO., LTD. |
10978454 | Semiconductor device and method of forming the semiconductor device | ELPIS TECHNOLOGIES INC. |
10978456 | Multi-layer thyristor random access memory with silicon-germanium bases | TC LAB, INC. |
10978459 | Semiconductor device with bit lines at different levels and method for fabricating the same | -- |
10978460 | Semiconductor structure | -- |
10978461 | Antifuse array and method of forming antifuse using anodic oxidation | -- |
10978462 | Method for forming semiconductor device structure | -- |
10978463 | Semiconductor device and manufacturing method thereof | -- |
10978467 | Sonos nonvolatile memory and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
10978469 | Semiconductor storage device having an amorphous layer | TOSHIBA MEMORY CORPORATION |
10978470 | Semiconductor device including multiple layers of memory cells, method of manufacturing the same, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10978473 | Flash memory structure and method of forming the same | -- |
10978474 | Devices including stack structures, and related methods and electronic systems | MICRON TECHNOLOGY, INC. |
10978476 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
10978477 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10978478 | Block-on-block memory array architecture using bi-directional staircases | MICRON TECHNOLOGY, INC. |
10978482 | Ferroelectric memory device with select gate transistor and method of forming the same | SANDISK TECHNOLOGIES LLC |
10978484 | Methods used in forming an array of memory cells | MICRON TECHNOLOGY, INC. |
10978486 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978494 | Display including plurality of wiring layers in bending region | SAMSUNG ELECTRONICS CO., LTD. |
10978498 | Array substrate and display device and method for making the array substrate | -- |
10978500 | Flexible base substrate and fabrication method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
10978501 | Multilevel semiconductor device and structure with waveguides | MONOLITHIC 3D INC. |
10978524 | Electroluminescent display substrate and manufacturing method thereof, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10978530 | Integration of microdevices into system substrate | VUEREAL INC. |
10978548 | Integrated capacitor with sidewall having reduced roughness | TEXAS INSTRUMENTS INCORPORATED |
10978549 | Semiconductor device and method for fabricating the same | -- |
10978551 | Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978552 | Semiconductor devices and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10978554 | Elevationally-elongated conductive structure of integrated circuitry, method of forming an array of capacitors, method of forming DRAM circuitry, and method of forming an elevationally-elongated conductive structure of integrated circuitry | MICRON TECHNOLOGY, INC. |
10978556 | Semiconductor device and method for fabricating the same | -- |
10978558 | Semiconductor device and method for fabricating a semiconductor device | SK HYNIX INC. |
10978562 | Device isolation using preferential oxidation of the bulk substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978566 | Middle of line structures | GLOBALFOUNDRIES U.S. INC. |
10978567 | Gate stack treatment for ferroelectric transistors | -- |
10978568 | Passivation of transistor channel region interfaces | INTEL CORPORATION |
10978569 | Process of forming nitride semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10978570 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978571 | Self-aligned contact with metal-insulator transition materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978572 | Self-aligned contact with metal-insulator transition materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978573 | Spacer-confined epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978574 | Floating gate prevention and capacitance reduction in semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978575 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10978576 | Techniques for vertical FET gate length control | ELPIS TECHNOLOGIES INC. |
10978577 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10978582 | Gate patterning for quantum dot devices | INTEL CORPORATION |
10978585 | MOS device with island region | ALPHA AND OMEGA SEMICONDUCTOR LIMITED |
10978586 | Switching device | DENSO CORPORATION |
10978587 | Semiconductor device | KEY FOUNDRY CO., LTD. |
10978588 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
10978589 | Semiconductor structure and manufacturing method thereof | -- |
10978590 | Methods and apparatus to remove epitaxial defects in semiconductors | INTEL CORPORATION |
10978591 | Nanowire semiconductor device having high-quality epitaxial layer and method of manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
10978592 | Systems and methods for forming finFET analog designs having a modular memory-like layout | MARVELL ASIA PTE., LTD. |
10978593 | Threshold voltage control using channel digital etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978596 | Power diode and method of manufacturing a power diode | INFINEON TECHNOLOGIES AUSTRIA AG |
10978631 | Combined dolan bridge and quantum dot josephson junction in series | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10978655 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10978782 | Semiconductor packages and manufacturing methods thereof | -- |
10979042 | DC-coupled high-voltage level shifter | PSEMI CORPORATION |
10980101 | Plasma generating device | FUJI CORPORATION |
10980106 | Apparatus related to conformal coating implemented with surface mount devices | SKYWORKS SOLUTIONS, INC. |
10980108 | Multi-conductor interconnect structure for a microelectronic device | INTEL CORPORATION |
10980119 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
10980127 | Methods for fabricating printed circuit board assemblies with high density via array | TTM TECHNOLOGIES INC. |
10980134 | Method for orienting solder balls on a BGA device | INTEL CORPORATION |
10981250 | Wafer producing apparatus | DISCO CORPORATION |
10981779 | MEMS devices and methods of forming the same | -- |
10982144 | Silicon nitride layer etching composition and etching method using the same | ENF TECHNOLOGY CO., LTD. |
10982322 | Methods to improve front-side process uniformity by back-side metallization | SKYWORKS SOLUTIONS, INC. |
10982324 | Method and device for producing coated semiconductor wafers | SILTRONIC AG |
10982327 | CVD apparatus with multi-zone thickness control | -- |
10982335 | Wet atomic layer etching using self-limiting and solubility-limited reactions | TOKYO ELECTRON LIMITED |
10982346 | Integrated elastomeric lipseal and cup bottom for reducing wafer sticking | LAM RESEARCH CORPORATION |
10982347 | Leak checking method, leak checking apparatus, electroplating method, and electroplating apparatus | EBARA CORPORATION |
10982694 | Fluid control device and connector for fluid control device | SIW ENGINEERING PTE. LTD. |
10983082 | Measurement device comprising a suspended semiconductor wire | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10983101 | Methylbenzene gas sensor using palladium-containing cobalt oxide nanostructures and method for manufacturing the same | KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION |
10983143 | Passive semiconductor device assembly technology | NU NANO LTD |
10983257 | Fabrication of self-aligned grating elements with high refractive index for waveguide displays | FACEBOOK TECHNOLOGIES, LLC |
10983278 | Adhesion promoter apparatus and method | -- |
10983372 | Fast-switching electro-optic modulators and method of making the same | REDLEN TECHNOLOGIES, INC. |
10983434 | Photoresist composition for deep ultraviolet light patterning method and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10983436 | Negative-type photosensitive resin composition, cured film, display device provided with cured film, and production method therefor | TORAY INDUSTRIES, INC. |
10983438 | Exposure apparatus, manufacturing method of flat-panel display, device manufacturing method, and exposure method | NIKON CORPORATION |
10983757 | Nanomaterial-based true random number generator | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
10984524 | Calibration system with at least one camera and method thereof | -- |
10984702 | Display apparatus with array of light emitting diodes and method of manufacturing the same | -- |
10984743 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10984853 | X-ray detector, semiconductor memory device including the same, method of testing semiconductor memory device and method of manufacturing semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
10984937 | Electromagnetic tool for transferring micro devices and methods of fabrication | LUXNOUR TECHNOLOGIES INC. |
10984982 | Charged particle beam optical apparatus, exposure apparatus, exposure method, control apparatus, control method, information generation apparatus, information generation method and device manufacturing method | NIKON CORPORATION |
10984985 | RF impedance matching network | RENO TECHNOLOGIES, INC. |
10984986 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
10984988 | Method of manufacturing ring-shaped member and ring-shaped member | THINKON NEW TECHNOLOGY JAPAN CORPORATION |
10984990 | Electrode assembly | APPLIED MATERIALS, INC. |
10984991 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10985005 | Silicon wafer for an electronic component and method for the production thereof | NEXWAFE GMBH |
10985006 | Electrolytic plating apparatus | TOSHIBA MEMORY CORPORATION |
10985007 | Substrate treating apparatus and method for inspecting treatment liquid nozzle | SEMES CO. LTD. |
10985008 | Substrate cleaning device, substrate processing apparatus, substrate cleaning method and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10985009 | Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources | APPLIED MATERIALS, INC. |
10985010 | Methods for making silicon and nitrogen containing films | VERSUM MATERIALS US, LLC |
10985011 | Structure and formation method of semiconductor device with resistive elements | -- |
10985012 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10985013 | Method and precursors for manufacturing 3D devices | VERSUM MATERIALS US, LLC |
10985014 | Methods for selective deposition on silicon-based dielectrics | APPLIED MATERIALS, INC. |
10985015 | Method for preparing composite membrane | WONIK IPS CO., LTD. |
10985016 | Semiconductor substrate, and epitaxial wafer and method for producing same | TAMURA CORPORATION |
10985017 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
10985019 | Method of forming a semiconductor device using layered etching and repairing of damaged portions | -- |
10985020 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | -- |
10985021 | Method for fabricating p-type gallium nitride semiconductor and method of heat treatment | SCREEN HOLDINGS CO., LTD. |
10985022 | Gate structures having interfacial layers | -- |
10985023 | Methods for depositing fluorine/carbon-free conformal tungsten | APPLIED MATERIALS, INC. |
10985024 | Simultaneous hydrophilization of photoresist and metal surface preparation: methods, systems, and products | ONTOS EQUIPMENT SYSTEMS, INC. |
10985025 | Fin cut profile using fin base liner | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985026 | Substrate processing method, substrate processing apparatus, and substrate processing system | TOKYO ELECTRON LIMITED |
10985027 | Method for manufacturing semiconductor device | KIOXIA CORPORATION |
10985028 | Semiconductor devices and methods of manufacturing | -- |
10985029 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
10985030 | Method for manufacturing semiconductor device | ROHM CO., LTD. |
10985031 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10985032 | Power MOSFET | -- |
10985033 | Semiconductor package with reduced parasitic coupling effects and process for making the same | QORVO US, INC. |
10985034 | Semiconductor processing device | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10985035 | Substrate liquid processing apparatus, substrate liquid processing method and computer readable recording medium having substrate liquid processing program recorded therein | TOKYO ELECTRON LIMITED |
10985036 | Substrate processing apparatus and apparatus for manufacturing integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
10985037 | Substrate cleaning apparatus, substrate cleaning method, and control method of substrate cleaning apparatus | EBARA CORPORATION |
10985038 | Determination method and substrate processing equipment | SCREEN HOLDINGS CO., LTD. |
10985039 | Sub-nanometer-level substrate cleaning mechanism | PLANAR SEMICONDUCTOR, INC. |
10985040 | Substrate treatment method and substrate treatment apparatus | AP SYSTEMS INC. |
10985041 | Method and apparatus for use in wafer processing | INFINEON TECHNOLOGIES AG |
10985042 | SiC substrate, SiC epitaxial wafer, and method of manufacturing the same | SHOWA DENKO K.K. |
10985043 | Substrate housing container | MIRAIAL CO., LTD. |
10985044 | Machine vision system for substrate alignment and alignment device | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
10985045 | Electrostatic chuck mechanism and semiconductor processing device having the same | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10985046 | Micro-LED transfer methods using light-based debonding | VEECO INSTRUMENTS INC. |
10985047 | Semiconductor manufacturing apparatus and driving method of the same | SAMSUNG ELECTRONICS CO., LTD. |
10985048 | Semiconductor device and method for fabricating the same | -- |
10985049 | Manufacturing method of smoothing a semiconductor surface | -- |
10985050 | Semiconductor chip, semiconductor wafer and method for manufacturing semiconductor wafer | DYNAX SEMICONDUCTOR, INC. |
10985051 | Semiconductor device with air spacer and method for forming the same | -- |
10985052 | Method for cleaning contact hole | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10985053 | Contact plugs and methods of forming same | -- |
10985054 | Interconnect structure and method of forming the same | -- |
10985055 | Interconnection structure with anti-adhesion layer | -- |
10985056 | Structure and method to improve FAV RIE process margin and Electromigration | TESSERA, INC. |
10985057 | Method for contacting a buried interconnect rail of an integrated circuit chip from the back side of the IC | IMEC VZW |
10985058 | Semiconductor device and formation thereof | -- |
10985059 | Preclean and dielectric deposition methodology for superconductor interconnect fabrication | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985060 | Laser processing method using plasma light detection for forming a pore in a substrate | DISCO CORPORATION |
10985061 | Methods for forming contact plugs with reduced corrosion | -- |
10985062 | Self-aligned contact cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985063 | Semiconductor device with local connection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985064 | Buried power and ground in stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985065 | Method of dicing a wafer by pre-sawing and subsequent laser cutting | DISCO CORPORATION |
10985066 | Wafer processing method for dividing a wafer along division lines | DISCO CORPORATION |
10985067 | Wafer processing method using a laser beam dividing step | DISCO CORPORATION |
10985068 | Organic light emitting diode display device and method of fabricating the same | LG DISPLAY CO., LTD. |
10985069 | Gate stack optimization for wide and narrow nanosheet transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985070 | Method for forming nano sensing chip by selective deposition of sensing materials through device-localized Joule heating and nano sensing chip thereof | -- |
10985071 | Gate oxide forming process | -- |
10985072 | Etch profile control of polysilicon structures of semiconductor devices | -- |
10985073 | Vertical field effect transistor replacement metal gate fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985074 | Method of manufacturing a CMOS transistor | KEY FOUNDRY CO., LTD |
10985075 | Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985076 | Single metallization scheme for gate, source, and drain contact integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985077 | Semiconductor device and method for preparing the same | -- |
10985078 | Sensor and adjuster for a consumable | LAM RESEARCH CORPORATION |
10985079 | Method of manufacturing SiC epitaxial wafer | SHOWA DENKO K.K. |
10985081 | Semiconductor device and electronic apparatus | SONY CORPORATION |
10985083 | Semiconductor device and method for manufacturing the same | ROHM CO., LTD. |
10985084 | Integrated III-V device and driver device packages with improved heat removal and methods for fabricating the same | GLOBALFOUNDRIES U.S. INC. |
10985090 | Methods of manufacturing a thin film resistor with ends overlapped by interconnect pads | -- |
10985092 | Semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
10985093 | Semiconductor device and method for producing semiconductor device | ROHM CO., LTD. |
10985094 | Lead frame and method of manufacturing lead frame | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10985095 | Power module for vehicle | HYUNDAI MOTOR COMPANY |
10985096 | Electrical device terminal finishing | TEXAS INSTRUMENTS INCORPORATED |
10985100 | Chip package with recessed interposer substrate | -- |
10985101 | Semiconductor package and manufacturing method thereof | -- |
10985102 | Semiconductor device, fabrication method for a semiconductor device and electronic apparatus | SONY CORPORATION |
10985103 | Apparatus and method of forming backside buried conductor in integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
10985104 | Semiconductor device having electrode pad and electrode layer intervening semiconductor layer inbetween and manufacturing method thereof | KABUSHIKI KAISHA TOSHIBA |
10985105 | Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985107 | Systems and methods for forming die sets with die-to-die routing and metallic seals | APPLE INC. |
10985108 | Compositions for gap coating and/or filling in or between electronic packages by capillary flow and methods for the use thereof | HENKEL IP & HOLDING GMBH |
10985109 | Shielded semiconductor packages with open terminals and methods of making via two-step process | STATS CHIPPAC PTE. LTD. |
10985110 | Semiconductor package having an electromagnetic shielding structure and method for producing the same | INFINEON TECHNOLOGIES AG |
10985112 | Memory device and method for fabricating the memory device | SK HYNIX INC. |
10985114 | Scheme for connector site spacing and resulting structures | -- |
10985115 | Semiconductor package and manufacturing method thereof | -- |
10985116 | Semiconductor package and method of forming the same | -- |
10985120 | Chip packaging method and chip packaging structure | JWL (ZHEJIANG) SEMICONDUCTOR CO., LTD. |
10985121 | Bump structure and fabricating method thereof | -- |
10985122 | Semiconductor package system and method | -- |
10985123 | Semiconductor apparatus | MURATA MANUFACTURING CO., LTD. |
10985124 | Semiconductor structure and manufacturing method thereof | -- |
10985125 | Chip package structure | -- |
10985126 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985127 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985129 | Mitigating cracking within integrated circuit (IC) device carrier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985132 | Bonding apparatus, bonding system, bonding method and storage medium | TOKYO ELECTRON LIMITED |
10985133 | Die processing | INVENSAS BONDING TECHNOLOGIES, INC. |
10985134 | Method and system of manufacturing stacked wafers | -- |
10985135 | Methods for controlling warpage in packaging | -- |
10985137 | Stacked integrated circuit structure and method of forming | -- |
10985138 | Semiconductor package having a plurality of chips and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
10985142 | Multi-deck three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10985146 | Semiconductor device with integrated heat distribution and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
10985147 | Capacitors embedded in stiffeners for small form-factor and methods of assembling same | INTEL CORPORATION |
10985149 | Semiconductor device package and method of manufacturing the same | OMNIVISION TECHNOLOGIES, INC |
10985151 | Semiconductor package and method for preparing the same | -- |
10985152 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
10985158 | Semiconductor device with transistor portion having low injection region on the bottom of a substrate | FUJI ELECTRIC CO., LTD. |
10985159 | Method for manufacturing monolithic three-dimensional (3D) integrated circuits | -- |
10985160 | Semiconductor structures and methods of forming the same | -- |
10985161 | Single diffusion break isolation for gate-all-around field-effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985163 | Semiconductor capacitor structure | -- |
10985164 | Semiconductor device with nanowire contact and method for fabricating the same | -- |
10985165 | Methods of forming microelectronic devices | MICRON TECHNOLOGY, INC. |
10985166 | Method of forming a memory device | -- |
10985167 | Flexible merge scheme for source/drain epitaxy regions | -- |
10985171 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
10985172 | Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same | SANDISK TECHNOLOGIES LLC |
10985175 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10985179 | Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias | MICRON TECHNOLOGY, INC. |
10985181 | Semiconductor device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
10985182 | Methods for forming three-dimensional memory device without conductor residual caused by dishing | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10985184 | Fins for metal oxide semiconductor device structures | INTEL CORPORATION |
10985192 | Display driver semiconductor device and manufacturing method thereof | KEY FOUNDRY., LTD. |
10985204 | Structures, systems and methods for electrical charge transport across bonded interfaces | G-RAY SWITZERLAND SA |
10985214 | Flexible display substrate for foldable display apparatus, method of manufacturing flexible display substrate, and foldable display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10985236 | Tunable on-chip nanosheet resistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985238 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
10985239 | Oxidative trim | MICRON TECHNOLOGY, INC. |
10985240 | Semiconductor device having diode devices with different barrier heights and manufacturing method thereof | -- |
10985241 | Semiconductor device and production method thereof | TOYOTA JIDOSHA KABUSHIKI KAISHA |
10985242 | Power semiconductor device having guard ring structure, and method of formation | LITTELFUSE, INC. |
10985243 | Castellated superjunction transistors | NORTHROP GRUMMAN SYSTEMS CORPORATION |
10985244 | N-well resistor | GLOBALFOUNDRIES U.S. INC. |
10985246 | MOSFET with selective dopant deactivation underneath gate | -- |
10985250 | Gate cut device fabrication with extended height gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985251 | Apparatuses including memory cells with gaps comprising low dielectric constant materials | MICRON TECHNOLOGY, INC. |
10985252 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10985254 | Semiconductor device and method of manufacturing the same | -- |
10985256 | Semiconductor device and method for manufacturing the same | -- |
10985257 | Vertical transport fin field effect transistor with asymmetric channel profile | ELPIS TECHNOLOGIES INC. |
10985259 | GaN HEMT device structure and method of fabrication | GAN SYSTEMS INC. |
10985260 | Trench silicide contacts with high selectivity process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985261 | Dummy gate structure and methods thereof | -- |
10985262 | Semiconductor structure and manufacturing method thereof | -- |
10985264 | Semiconductor device and method for fabricating the same | -- |
10985266 | Method of gap filling for semiconductor device | -- |
10985267 | Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication | INTEL CORPORATION |
10985269 | Two-dimensional electron gas (2DEG)-confined devices and methods | STMICROELECTRONICS S.R.L. |
10985270 | Nitride power transistor and manufacturing method thereof | ENKRIS SEMICONDUCTOR, INC. |
10985271 | High electron mobility transistor with improved barrier layer | -- |
10985273 | Vertical field-effect transistor including a fin having sidewalls with a tapered bottom profile | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985274 | Reduction of top source/drain external resistance and parasitic capacitance in vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985275 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10985276 | Method and device having low contact resistance | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
10985277 | Method for forming semiconductor device structure | -- |
10985278 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985279 | Source and drain epitaxy and isolation for gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985280 | Threshold voltage control using channel digital etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10985283 | Semiconductor device having an oxide layer with a concentration gradient of oxygen and an insulating layer with excess oxygen | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10985284 | High-voltage lateral GaN-on-silicon schottky diode with reduced junction leakage current | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
10985285 | Methods for fabricating III-nitride tunnel junction devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10985292 | Method for transferring semiconductor bodies and semiconductor chip | OSRAM OLED GMBH |
10985300 | Encapsulation method for flip chip | -- |
10985302 | Pick-and-remove system with deformable contact surface | ELUX, INC. |
10985316 | Bottom electrode structure in memory device | -- |
10985451 | Antenna module | SAMSUNG ELECTRONICS CO., LTD. |
10986727 | Method and apparatus for fabricating a stretchable electronic element | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
10986736 | Workpiece transfer and printing | ASM ASSEMBLY SYSTEMS SINGAPORE PTE. LTD. |
10986737 | Method of restricting micro device on conductive pad | MIKRO MESA TECHNOLOGY CO., LTD. |
10987619 | Apparatus for collecting by-product in semiconductor manufacturing process | MILAEBO CO., LTD. |
10987706 | Apparatus and methods for exhaust cleaning | -- |
10987760 | Method of manufacturing a holding plate, in particular for a clamp for holding wafers | BERLINER GLAS KGAA HERBERT KUBATZ GMBH & CO. |
10987815 | Methods and systems for electroadhesion-based manipulation and mechanical release in manufacturing | GRABIT, INC. |
10987840 | Ejection-material ejection apparatus and imprinting apparatus | CANON KABUSHIKI KAISHA |
10988359 | Overhead transport vehicle and transport system | MURATA MACHINERY, LTD. |
10988490 | Triiodosilylamine precursor compounds | ENTEGRIS, INC. |
10988635 | Composition and method for copper barrier CMP | CMC MATERIALS, INC. |
10988636 | Polishing composition and method for manufacturing same, polishing method, and method for manufacturing substrate | FUJIMI INCORPORATED |
10988637 | Polishing composition and polishing system | FUJIMI INCORPORATED |
10988647 | Semiconductor substrate manufacturing method, semiconductor device, and method for manufacturing same | MITSUI CHEMICALS, INC. |
10988649 | Method for imparting water repellency to substrate, surface treatment agent, and method for suppressing collapse of organic pattern or inorganic pattern in cleaning substrate surface with cleaning liquid | TOKYO OHKA KOGYO CO., LTD. |
10988718 | Tungsten post-CMP cleaning composition | ENTEGRIS, INC. |
10988852 | Method of electroplating copper into a via on a substrate from an acid copper electroplating bath | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
10988858 | Method for depositing a crystal layer at low temperatures, in particular a photoluminescent IV-IV layer on an IV substrate, and an optoelectronic component having such a layer | FORSCHUNGSZENTRUM JüLICH GMBH |
10988859 | Nitrogen doped and vacancy dominated silicon ingot and thermally treated wafer formed therefrom having radially uniformly distributed oxygen precipitation density and size | -- |
10989096 | Close coupled single module aftertreatment system | CUMMINS EMISSION SOLUTIONS, INC. |
10989594 | Optical filter and optical spectrometer including the same | SAMSUNG ELECTRONICS CO., LTD. |
10989664 | Optical systems and methods of characterizing high-k dielectrics | CALIFORNIA INSTITUTE OF TECHNOLOGY |
10989677 | Sample collecting device, sample collecting method, and fluorescent x-ray analysis apparatus using the same | RIGAKU CORPORATION |
10989747 | Apparatus for measuring condition of electroplating cell components and associated methods | LAM RESEARCH CORPORATION |
10989756 | Systems and methods using stroboscopic universal structure-energy flow correlation scattering microscopy | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
10990011 | Curable composition for imprinting, cured product, pattern forming method, and lithography method | FUJIFILM CORPORATION |
10990012 | Silsesquioxane resin and oxaamine composition | DOW SILICONES CORPORATION |
10990013 | Method for forming semiconductor structure | -- |
10990016 | Extreme ultraviolet light generation device | GIGAPHOTON INC. |
10991547 | Method and device for a carrier proximity mask | APPLIED MATERIALS, INC. |
10991550 | Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system | LAM RESEARCH CORPORATION |
10991552 | Cooling mechanism utilized in a plasma reactor with enhanced temperature regulation | APPLIED MATERIALS, INC. |
10991553 | Nanosecond pulser thermal management | EAGLE HARBOR TECHNOLOGIES, INC. |
10991554 | Plasma processing system with synchronized signal modulation | TOKYO ELECTRON LIMITED |
10991555 | Plasma processing device | SAMSUNG DISPLAY CO., LTD. |
10991556 | Adjustable extended electrode for edge uniformity control | APPLIED MATERIALS, INC. |
10991557 | Reaction chamber, dry etching machine and etching method | HKC CORPORATION LIMITED |
10991570 | Semiconductor wafer cleaning apparatus | -- |
10991571 | High temperature atomic layer deposition of silicon oxide thin films | VERSUM MATERIALS US, LLC |
10991572 | Manufacturing method for semiconductor apparatus | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10991573 | Uniform deposition of SiOC on dielectric and metal surfaces | ASM IP HOLDING B.V. |
10991574 | Methods of manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
10991575 | Semiconductor device with partial regions having impunity concentrations selected to obtain a high threshold voltage | KABUSHIKI KAISHA TOSHIBA |
10991576 | Crystalline semiconductor layer formed in BEOL processes | -- |
10991577 | Method for forming a semiconductor structure for a gallium nitride channel device | IMEC VZW |
10991578 | Forming a planar surface of a III-nitride material | HEXAGEM AB |
10991579 | Methods of making and using tin oxide film with smooth surface morphologies from sputtering target including tin and dopant | APPLIED MATERIALS, INC. |
10991580 | Laser crystallizing apparatus | SAMSUNG DISPLAY CO., LTD. |
10991581 | Method for manufacturing semiconductor film | THE JAPAN STEEL WORKS, LTD. |
10991582 | Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article | CANON KABUSHIKI KAISHA |
10991583 | Self aligned litho etch process patterning method | -- |
10991584 | Methods and structures for cutting lines or spaces in a tight pitch structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991585 | Trimming optical device structures | UNIVERSITY OF SOUTHAMPTON |
10991586 | In-situ tungsten deposition without barrier layer | APPLIED MATERIALS, INC. |
10991587 | Wafer processing method including applying a polyester sheet to a wafer | DISCO CORPORATION |
10991588 | Manufacturing method of semiconductor device and semiconductor device manufacturing apparatus | TOSHIBA MEMORY CORPORATION |
10991589 | Correlation between conductivity and pH measurements for KOH texturing solutions and additives | NAURA AKRION, INC. |
10991590 | Etching method and plating solution | KABUSHIKI KAISHA TOSHIBA |
10991591 | Reactive ion etching apparatus | ULVAC, INC. |
10991592 | Modified etch-and-deposit Bosch process in silicon | -- |
10991594 | Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces | TOKYO ELECTRON LIMITED |
10991595 | Dry etching process for manufacturing trench structure of semiconductor apparatus | -- |
10991596 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
10991597 | Method of fabricating a semiconductor device using an adhesive layer | SAMSUNG ELECTRONICS CO., LTD. |
10991598 | Methods of fabricating semiconductor packages including circuit patterns | SK HYNIX INC. |
10991599 | Self-aligned via and plug patterning for back end of line (BEOL) interconnects | INTEL CORPORATION |
10991600 | Process chamber and substrate processing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
10991601 | Apparatus and method for treating substrate | SEMES CO., LTD. |
10991602 | Substrate washing device | EBARA CORPORATION |
10991603 | Apparatus and method for treating substrate | SEMES CO., LTD. |
10991604 | Method of manufacturing semiconductor structure | -- |
10991605 | Substrate processing device, method for controlling substrate processing device, and storage medium storing a program | EBARA CORPORATION |
10991606 | Purge stocker | MURATA MACHINERY, LTD. |
10991607 | Reticle transfer system and method | -- |
10991608 | Substrate coating apparatus for floating substrate and method | TOKYO ELECTRON LIMITED |
10991609 | Method and substrate holder for the controlled bonding of substrates | EV GROUP E. THALLNER GMBH |
10991610 | Systems and methods for treating substrates with cryogenic fluid mixtures | TEL MANUFACTURING AND ENGINEERING OF AMERICA, INC. |
10991611 | Wafer processing laminate and method for processing wafer | SHIN-ETSU CHEMICAL CO., LTD. |
10991612 | Method of processing wafer having protrusions on the back side | DISCO CORPORATION |
10991613 | Substrate holding apparatus, substrate suction determination method, substrate polishing apparatus, substrate polishing method, method of removing liquid from upper surface of wafer to be polished, elastic film for pressing wafer against polishing pad, substrate release method, and constant amount gas supply apparatus | EBARA CORPORATION |
10991614 | Susceptor for holding a semiconductor wafer with an orientation notch during the deposition of a layer on a front side of the semiconductor wafer and method for depositing the layer by using the susceptor | SILTRONIC AG |
10991615 | Substrate processing apparatus and method for removing substrate from table of substrate processing apparatus | EBARA CORPORATION |
10991616 | High speed low temperature method for manufacturing and repairing semiconductor processing equipment and equipment produced using same | WATLOW ELECTRIC MANUFACTURING COMPANY |
10991617 | Methods and apparatus for cleaving of semiconductor substrates | APPLIED MATERIALS, INC. |
10991618 | Semiconductor device and method of manufacture | -- |
10991619 | Top via process accounting for misalignment by increasing reliability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991620 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991621 | Semiconductor die singulation | TEXAS INSTRUMENTS INCORPORATED |
10991622 | Wafer processing method | DISCO CORPORTION |
10991623 | Wafer processing method | DISCO CORPORATION |
10991624 | Wafer processing method including applying a polyolefin sheet to a wafer | DISCO CORPORATION |
10991625 | Automated transfer and drying tool for process chamber | -- |
10991626 | Method for controlling transistor delay of nanowire or nanosheet transistor devices | TOKYO ELECTRON LIMITED |
10991627 | Methods for forming fin field-effect transistors | -- |
10991628 | Etch stop layer between substrate and isolation structure | -- |
10991629 | Method of forming protection layer in FinFET device | -- |
10991630 | Semiconductor device and method | -- |
10991631 | High performance SiGe heterojunction bipolar transistors built on thin-film silicon-on-insulator substrates for radio frequency applications | NEWPORT FAB, LLC |
10991632 | Assembly process for circuit carrier and circuit carrier | AB MIKROELEKTRONIK GESELLSCHAFT MIT BESCHRAENKTER HAFTUNG |
10991633 | Method and system for manufacturing solar cells and shingled solar cell modules | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
10991634 | Method and system for manufacturing solar cells and shingled solar cell modules | CHENGDU YEFAN SCIENCE AND TECHNOLOGY CO., LTD. |
10991636 | Semiconductor device and method | -- |
10991637 | Wafer-level chip-scale package including power semiconductor and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
10991639 | Compliant Pin Fin heat sink with base integral pins | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991643 | Power module comprising a housing which is formed in levels | ROBERT BOSCH GMBH |
10991644 | Integrated circuit package having a low profile | ALLEGRO MICROSYSTEMS, LLC |
10991646 | Flexible circuit board for display | SILICON WORKS CO., LTD. |
10991647 | Printed circuit board and package structure having the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991649 | Semiconductor device and method of manufacturing semiconductor device | -- |
10991650 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10991651 | Interconnection structure having reduced capacitance and method of manufacturing the same | -- |
10991652 | Energy storage interposer device with conductive nanostructures | SMOLTEK AB |
10991653 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10991657 | Method for fabricating semiconductor device | -- |
10991658 | Electronic element module and method for manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
10991659 | Substrate-less integrated components | APPLE INC. |
10991660 | Semiconductor package having high mechanical strength | ALPHA ANC OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
10991661 | Radio-frequency isolation using backside cavities | SKYWORKS SOLUTIONS, INC. |
10991662 | Isolation cavities in semiconductor devices | SKYWORKS SOLUTIONS, INC. |
10991663 | Semiconductor device including dummy conductive cells | -- |
10991664 | Integrated fuse | STMICROELECTRONICS (ROUSSET) SAS |
10991665 | Package-level noise filtering for EMI RFI mitigation | INTEL CORPORATION |
10991670 | Semiconductor device assemblies including spacer with embedded semiconductor die | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10991675 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
10991676 | Systems and methods for flash stacking | INVENSAS CORPORATION |
10991678 | Semiconductor device and method for manufacturing semiconductor device | LG CHEM, LTD. |
10991679 | Stair-stacked dice device in a system in package, and methods of making same | INTEL CORPORATION |
10991685 | Assembling of chips by stacking with rotation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991686 | Super CMOS devices on a microelectronics system | SCHOTTKY LSI, INC. |
10991687 | FinFET varactor with low threshold voltage and method of making the same | -- |
10991688 | Semiconductor device and manufacturing method thereof | -- |
10991689 | Additional spacer for self-aligned contact for only high voltage FinFETs | GLOBALFOUNDRIES U.S. INC. |
10991690 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10991691 | Semiconductor device having fins and an isolation region | -- |
10991692 | Semiconductor device having a plurality of fins and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10991693 | Boundary region for high-k-metal-gate (HKMG) integration technology | -- |
10991694 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10991695 | Method for manufacturing semiconductor device | -- |
10991696 | Vertically stacked devices with self-aligned regions formed by direct self assembly (DSA) processing | INTEL CORPORATION |
10991697 | NAND string utilizing floating body memory cell | ZENO SEMICONDUCTOR, INC. |
10991699 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991700 | Methods of forming semiconductor devices using aspect ratio dependent etching effects, and related memory devices and electronic systems | MICRON TECHNOLOGY, INC. |
10991701 | Multi-component conductive structures for semiconductor devices | MICRON TECHNOLOGY, INC. |
10991702 | Semiconductor device and method of preparing the same | -- |
10991704 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
10991707 | Semiconductor device and method for fabricating semiconductor device | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
10991709 | Semiconductor device and manufacturing method thereof | RENESAS ELECTRONICS CORPORATION |
10991711 | Stacked-nanosheet semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991712 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
10991715 | Semiconductor memory device and method of manufacturing semiconductor memory device | TOSHIBA MEMORY CORPORATION |
10991716 | Semiconductor device having a vertical channel layer with an impurity region surrounding a dielectric core | SK HYNIX INC. |
10991717 | Vertical memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10991718 | Three-dimensional memory device containing a vertical semiconductor channel containing a connection strap and method of making the same | SANDISK TECHNOLOGIES LLC |
10991719 | Semiconductor memory device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
10991721 | Three-dimensional memory device including liner free molybdenum word lines and methods of making the same | SANDISK TECHNOLOGIES LLC |
10991722 | Ultra low parasitic inductance integrated cascode GaN devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991723 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10991725 | Active matrix substrate and method for producing same | SHARP KABUSHIKI KAISHA |
10991728 | Display panel | -- |
10991729 | Active matrix substrate, optical shutter substrate, display device, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
10991731 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10991736 | Method of producing solid-state imaging device having color filters, solid-state imaging device having color filters, method of producing color filter device comprising color filters, and color filter device comprising color filters | TOPPAN PRINTING CO., LTD. |
10991738 | Method for producing curved electronic circuits | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
10991742 | Image sensors | SAMSUNG ELECTRONICS CO., LTD. |
10991743 | Solid state image pickup device and production method, semiconductor wafer, and electronic apparatus | SONY CORPORATION |
10991759 | Methods of forming vertical field-effect transistor with selfaligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby | MICRON TECHNOLOGY, INC. |
10991794 | Semiconductor device and method for manufacturing same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10991795 | Semiconductor device and manufacturing method thereof | -- |
10991796 | Source/drain contact depth control | GLOBALFOUNDRIES U.S. INC. |
10991798 | Replacement sacrificial nanosheets having improved etch selectivity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991799 | Silicon and silicon germanium nanowire structures | SONY CORPORATION |
10991800 | Method for FinFET LDD doping | -- |
10991804 | Transistor level interconnection methodologies utilizing 3D interconnects | XCELSIS CORPORATION |
10991805 | Semiconductor device and method of manufacture | -- |
10991806 | Two-transistor memory device and method for fabricating memory device | -- |
10991808 | Steep-switch field effect transistor with integrated bi-stable resistive system | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991809 | Composition and process for selectively etching p-doped polysilicon relative to silicon nitride | ENTEGRIS, INC. |
10991810 | Semiconductor device and method for fabricating the same | -- |
10991811 | Structure and formation method of semiconductor device structure with nanowires | -- |
10991817 | Group III-N transistors including source to channel heterostructure design | INTEL CORPORATION |
10991818 | Nitride semiconductor device and fabrication method therefor | ROHM CO., LTD. |
10991820 | Manufacturing method for forming insulating structure of high electron mobility transistor | -- |
10991822 | Silicon carbide semiconductor device having a conductive layer formed above a bottom surface of a well region so as not to be in ohmic connection with the well region and power converter including the same | MITSUBISHI ELECTRIC CORPORATION |
10991823 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10991824 | Semiconductor device | -- |
10991825 | Semiconductor device including non-active fins and separation regions | SAMSUNG ELECTRONICS CO., LTD. |
10991826 | Semiconductor device and methods of forming same | -- |
10991828 | Semiconductor structure and method of forming the same | -- |
10991831 | Layer, multilevel element, method for fabricating multilevel element, and method for driving multilevel element | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
10991832 | Power diode | INFINEON TECHNOLOGIES AUSTRIA AG |
10991844 | Apparatus for aligning a solar cell element, system for use in the manufacture of a solar cell arrangement, and method for aligning a solar cell element | APPLIED MATERIALS ITALIA S.R.L. |
10991846 | Method of manufacturing micro light-emitting element array, transfer carrier, and micro light-emitting element array | -- |
10991873 | Semiconductor device and method for fabricating the same | -- |
10991876 | Methods to improve magnetic tunnel junction memory cells by treating native oxide | -- |
10992055 | Component carrier with integrated antenna arrangement, electronic apparatus, radio communication method | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
10992269 | Compound semiconductor device with high power and reduced off-leakage and method for manufacturing the same | FUJITSU LIMITED |
10993304 | Wire, stripping method and light strip | COSMO LIGHTING INC. |
10993332 | Circuit substrate | -- |
10994300 | Method and/or system for coating a substrate | SERVICE SUPPORT SPECIALTIES, INC |
10994311 | Specific device for cleaning electronic components and/or circuits | -- |
10994369 | Method of reducing photoelectron yield and/or secondary electron yield of a ceramic surface; corresponding apparatus and product | UNIVERSITY OF DUNDEE |
10994389 | Polishing apparatus using neural network for monitoring | APPLIED MATERIALS, INC. |
10994442 | Method for forming a crack in the edge region of a donor substrate, using an inclined laser beam | SILTECTRA GMBH |
10994878 | Feeding device for an electrostatic bag | WORLD PRECISION MANUFACTURING (DONGGUAN) CO., LTD. |
10994938 | Vacuum processing device | ULVAC, INC. |
10994950 | Automated guided vehicle with multistage loading structure | SAMSUNG ELECTRONICS CO., LTD. |
10994951 | Electronic component handler and electronic component tester | SEIKO EPSON CORPORATION |
10994989 | Method for producing a microelectromechanical component and wafer system | ROBERT BOSCH GMBH |
10995172 | Self-organized film-forming composition for use in forming a micro-phase-separated pattern | NISSAN CHEMICAL CORPORATION |
10995173 | Composition and pattern-forming method | JSR CORPORATION |
10995196 | Thermally conductive sheet and method for manufacturing thermally conductive sheet | KITAGAWA INDUSTRIES CO., LTD. |
10995238 | Neutral to alkaline chemical mechanical polishing compositions and methods for tungsten | ROHM AND HAAS ELECTRONIC MATERIALS CMP HOLDINGS |
10995268 | Etching composition effective to selectively wet etch a silicon nitride film | LTCAM CO., LTD. |
10995269 | Etchant composition and method of fabricating integrated circuit device using the same | SAMSUNG ELECTRONICS CO., LTD. |
10995403 | Method of forming aluminum nitride film and method of manufacturing semiconductor light-emitting element | NICHIA CORPORATION |
10995419 | Methods and apparatus for gallium nitride deposition | APPLIED MATERIALS, INC. |
10995420 | Silicon carbide epitaxial substrate and silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10995422 | GaAs substrate and method for manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10995914 | Method of fabricating orange-emitting nanowires LEDs | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10996176 | Methods and apparatus for measuring a property of a substrate | ASML NETHERLANDS B.V. |
10996182 | Memristor based sensor | OXFORD BROOKES UNIVERSITY |
10996262 | Reliability determination method | -- |
10996265 | Apparatus equipped with crack detection circuit and detection system | HUAWEI TECHNOLOGIES CO., LTD. |
10996553 | Extreme ultraviolet mask with reduced wafer neighboring effect and method of manufacturing the same | -- |
10996555 | Mask frame assembly including both frame and mask plate fixed on frame, and evaporation apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
10996564 | Uniformity control of metal-based photoresists | GLOBALFOUNDRIES U.S. INC. |
10996852 | Touch panel with arrow keys associated with direction of movement of a moving mechanism | DISCO CORPORATION |
10998025 | High-density low voltage non-volatile differential memory bit-cell with shared plate-line | KEPLER COMPUTING, INC. |
10998079 | Structure and method for testing three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
10998167 | Ion beam etch without need for wafer tilt or rotation | LAM RESEARCH CORPORATION |
10998169 | Systems and methods of control for plasma processing | TOKYO ELECTRON LIMITED |
10998171 | Plasma source and semiconductor processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
10998174 | Dry etching equipment and method for producing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
10998182 | Semiconductor wafer and method of wafer thinning | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
10998183 | Substrate cleaning apparatus, substrate cleaning system, substrate cleaning method and memory medium | TOKYO ELECTRON LIMITED |
10998184 | Apparatus and method for wafer cleaning | -- |
10998185 | Substrate cleaning method, substrate cleaning apparatus, and method for fabricating a semiconductor device using the apparatus | SAMSUNG ELECTRONICS CO., LTD. |
10998186 | Substrate processing apparatus, substrate processing method, and storage medium | TOKYO ELECTRON LIMITED |
10998187 | Selective deposition with atomic layer etch reset | LAM RESEARCH CORPORATION |
10998188 | Gallium nitride laminated substrate and semiconductor device | HOSEI UNIVERSITY |
10998189 | Laser annealing process of drive backplane and mask | BOE TECHNOLOGY GROUP CO., LTD. |
10998190 | Imprint apparatus and method of manufacturing article | CANON KABUSHIKI KAISHA |
10998191 | Graded hardmask interlayer for enhanced extreme ultraviolet performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998192 | Sequential infiltration synthesis extreme ultraviolet single expose patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998193 | Spacer-assisted lithographic double patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998194 | Metal gate stack having TaAlCN layer | -- |
10998195 | Metal and metal-derived films | APPLIED MATERIALS, INC. |
10998196 | Peeling method for peeling off substrate from support plate | DISCO CORPORATION |
10998197 | Polymer and composition for forming organic film, substrate for manufacturing semiconductor apparatus, method for forming organic film, and patterning process | SHIN-ETSU CHEMICAL CO., LTD. |
10998198 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10998199 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
10998200 | High pressure annealing process for metal containing materials | APPLIED MATERIALS, INC. |
10998201 | Semiconductor encapsulation structure | SHENZHEN REFOND OPTOELECTRONICS CO., LTD. |
10998202 | Semiconductor package and manufacturing method thereof | -- |
10998203 | Substrate processing device and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10998204 | Method of processing substrate and substrate processing apparatus | TOKYO ELECTRON LIMITED |
10998205 | Substrate processing apparatus and manufacturing method of semiconductor device | KOKUSAI ELECTRIC CORPORATION |
10998206 | Light irradiation type heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
10998207 | Heat treatment method and heat treatment apparatus for managing heat treatment of dummy wafer | SCREEN HOLDINGS CO., LTD. |
10998208 | Cold fluid semiconductor device release during pick and place operations, and associated systems and methods | MICRON TECHNOLOGY, INC. |
10998209 | Substrate processing platforms including multiple processing chambers | APPLIED MATERIALS, INC. |
10998210 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
10998211 | Management system, method, and computer program for semiconductor fabrication apparatus | FUJIKIN INC. |
10998212 | Load port assembly with gas curtain device, and purging method for substrate storage pod | -- |
10998213 | Reticle transportation container | -- |
10998214 | Light irradiation type heat treatment method | SCREEN HOLDINGS CO., LTD. |
10998215 | Monitoring dry-etching of polymer layer for transferring semiconductor devices | FACEBOOK TECHNOLOGIES, LLC |
10998216 | Sintered body and electrostatic chuck | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
10998217 | Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998218 | Wet cleaning apparatus and manufacturing method using the same | -- |
10998219 | Wafer support device and method for removing lift pin therefrom | -- |
10998220 | Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method | SCREEN HOLDINGS CO., LTD. |
10998221 | Semiconductor constructions having fluorocarbon material | MICRON TECHNOLOGY, INC. |
10998222 | Methods of forming electromagnetic radiation emitters and conduits | MICRON TECHNOLOGY, INC. |
10998223 | Method for processing target object | TOKYO ELECTRON LIMITED |
10998224 | Semiconductor devices comprising conductive patterns of varying dimensions and related systems | MICRON TECHNOLOGY, INC. |
10998225 | Semiconductor device and method of forming the same | -- |
10998226 | Method of forming interconnection structure with anti-adhesion liner | -- |
10998227 | Metal insulator metal capacitor with extended capacitor plates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998228 | Self-aligned interconnect with protection layer | -- |
10998229 | Transistor with improved self-aligned contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998230 | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998231 | Method for increasing semiconductor device wafer strength | NXP USA, INC. |
10998232 | Wafer processing method of uniting a wafer and a ring frame using a polyolefin sheet | DISCO CORPORATION |
10998233 | Mechanically stable complementary field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998234 | Nanosheet bottom isolation and source or drain epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998235 | FinFET with sloped surface at interface between isolation structures and manufacturing method thereof | -- |
10998236 | Method for fabricating a row of MOS transistors | STMICROELECTRONICS (CROLLES 2) SAS |
10998237 | Gate structure and method with dielectric gates and gate-cut features | -- |
10998238 | Integrated circuits with buried interconnect conductors | -- |
10998239 | Fin isolation structure for FinFET and method of forming the same | -- |
10998240 | Fabrication of a vertical fin field effect transistor with reduced dimensional variations | TESSERA, INC. |
10998241 | Selective dual silicide formation using a maskless fabrication process flow | -- |
10998242 | Semiconductor device including dual trench epitaxial dual-liner contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998243 | Method of manufacturing semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
10998244 | System and method for temperature control in plasma processing system | TOKYO ELECTRON LIMITED |
10998248 | Semiconductor device and method of forming sacrificial adhesive over contact pads of semiconductor die | JCET SEMICONDUCTOR (SHAOXING) CO. LTD. |
10998249 | Semiconductor assembly | SIEMENS AKTIENGESELLSCHAFT |
10998251 | Semiconductor package structure and a method of manufacturing the same | -- |
10998252 | Efficient heat-sinking in PIN diode | JUNIPER NETWORKS, INC. |
10998255 | Overmolded microelectronic packages containing knurled flanges and methods for the production thereof | NXP USA, INC. |
10998256 | High voltage semiconductor device lead frame and method of fabrication | TEXAS INSTRUMENTS INCORPORATED |
10998257 | Semiconductor device and method of manufacturing same | KABUSHIKI KAISHA TOSHIBA |
10998258 | Circuit carrier and manufacturing method thereof | -- |
10998259 | Semiconductor device and method of manufacture | -- |
10998260 | Microelectronic devices having air gap structures integrated with interconnect for reduced parasitic capacitances | INTEL CORPORATION |
10998261 | Over-molded IC package with in-mold capacitor | INTEL CORPORATION |
10998263 | Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998264 | Dual-gate trench IGBT with buried floating P-type shield | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
10998269 | Chemical direct pattern plating method | -- |
10998270 | Local interconnect for group IV source/drain regions | INTEL CORPORATION |
10998271 | High density pillar interconnect conversion with stack to substrate connection | MICRON TECHNOLOGY, INC. |
10998273 | Hybrid integrated circuit architecture | HRL LABORATORIES, LLC |
10998274 | Seal ring structure, semiconductor die, and method for detecting cracks on semiconductor die | -- |
10998279 | On-chip integrated cavity resonator | INFINEON TECHNOLOGIES AG |
10998285 | Code pattern for representing tracing number of chip | OMNIVISION TECHNOLOGIES, INC. |
10998288 | Method of manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
10998289 | Packaging structure and forming method thereof | TONGFU MICROELECTRONICS CO., LTD. |
10998292 | Offset pads over TSV | INVENSAS BONDING TECHNOLOGIES, INC. |
10998302 | Packaged device with a chiplet comprising memory resources | INTEL CORPORATION |
10998303 | Method of manufacturing package-on-package device and bonding apparatus used therein | SAMSUNG ELECTRONICS CO., LTD. |
10998304 | Conductive line patterning | -- |
10998308 | Area-efficient bi-directional ESD structure | TEXAS INSTRUMENTS INCORPORATED |
10998310 | Fins with wide base in a FINFET | -- |
10998311 | Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998312 | Semiconductor device and manufacturing method thereof | -- |
10998313 | Source/drain regions in fin field effect transistors (finFETs) and methods of forming same | -- |
10998314 | Gate cut with integrated etch stop layer | TESSERA, INC. |
10998315 | Metal gate modulation to improve kink effect | -- |
10998316 | Vertical memory device and method for fabricating vertical memory device | SK HYNIX INC. |
10998324 | Semiconductor device comprising work function metal pattern in boundary region and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
10998326 | Integrated assemblies and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
10998331 | Three-dimensional inverse flat NAND memory device containing partially discrete charge storage elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
10998334 | Three-dimensional semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
10998336 | Integrated structures and NAND memory arrays | MICRON TECHNOLOGY, INC. |
10998343 | TFT array substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
10998352 | Integration of microdevices into system substrate | VUEREAL INC. |
10998359 | Image sensor with shallow trench edge doping | -- |
10998360 | Image sensor with shallow trench edge doping | -- |
10998363 | Solid-state imaging device and method of producing solid-state imaging device | TOPPAN PRINTING CO., LTD. |
10998368 | Semiconductor apparatus | CANON KABUSHIKI KAISHA |
10998370 | Semiconductor device with insulating layers forming a bonding plane between first and second circuit components, method of manufacturing the same, and electronic device | CANON KABUSHIKI KAISHA |
10998378 | Method for producing transistors, in particular selection transistors for non-volatile memory, and corresponding device | STMICROELECTRONICS (ROUSSET) SAS |
10998393 | Organic light-emitting diode display device | SAMSUNG DISPLAY CO., LTD. |
10998396 | Semiconductor structure and method for forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
10998398 | Semiconductor device and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
10998401 | Semiconductor device having a base body of silicon carbide | KABUSHIKI KAISHA TOSHIBA |
10998402 | Semiconductor devices with steep junctions and methods of manufacturing thereof | INFINEON TECHNOLOGIES AG |
10998404 | High voltage device and manufacturing method thereof | -- |
10998406 | Silicon carbide single crystal substrate, silicon carbide epitaxial substrate, and method of manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
10998408 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
10998409 | Transistors having gates with a lift-up region | TEXAS INSTRUMENTS INCORPORATED |
10998411 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
10998412 | Semiconductor devices including recessed source/drain silicides and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
10998413 | Semiconductor fin structures having silicided portions | IMEC VZW |
10998414 | Metal gate structure with multi-layer composition | -- |
10998415 | Metal gate scheme for device and methods of forming | -- |
10998416 | Laterally diffused metal oxide semiconductor device and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
10998419 | Single crystalline extrinsic bases for bipolar junction structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998420 | Direct growth of lateral III-V bipolar transistor on silicon substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998421 | Reducing pattern loading in the etch-back of metal gate | -- |
10998422 | Methods, apparatus and system for a self-aligned gate cut on a semiconductor device | -- |
10998423 | Fabrication of multi-channel nanowire devices with self-aligned internal spacers and SOI FinFETs using selective silicon nitride capping | INTEL CORPORATION |
10998424 | Vertical metal-air transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998425 | FinFET structure and method for fabricating the same | -- |
10998427 | Semiconductor device with fin structures and manufacturing method thereof | -- |
10998428 | Integrated circuit device fins | -- |
10998430 | Method of manufacturing a semiconductor device | -- |
10998435 | Enhancement-mode device and method for manufacturing the same | ENKRIS SEMICONDUCTOR, INC. |
10998436 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
10998438 | Self-aligned trench MOSFET structures and methods | IPOWER SEMICONDUCTOR |
10998441 | Strained silicon complementary metal oxide semiconductor including a silicon containing tensile n-type fin field effect transistor and silicon containing compressive p-type fin field effect transistor formed using a dual relaxed substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998442 | Method for fabricating a strained structure and structure formed | -- |
10998444 | Stacked FinFET masked-programmable ROM | INTERNATIONAL BUSINESS MACHINES CORPORATION |
10998445 | Interlayer dielectric for non-planar transistors | INTEL CORPORATION |
10998449 | Oxide semiconductor film and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
10998450 | Memory device and manufacturing method thereof | -- |
10998452 | Semiconductor device having a lateral semiconductor heterojunction and method | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
10998478 | Light-emitting element, light-emitting element package comprising light-emitting element, and light-emitting device comprising light-emitting element package | LG INNOTEK CO., LTD. |
10998480 | Light-emitting structure alignment preservation in display fabrication | FACEBOOK TECHNOLOGIES, LLC |
10998498 | VIA structure and methods of forming the same | -- |
10998511 | Display module, display device, and method of manufacturing the display module | SAMSUNG DISPLAY CO., LTD. |
10998804 | Device, apparatus and system | NEXPERIA, B.V. |
10999919 | Flexible electronic assembly for placement on a vehicle motor assembly | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
10999926 | Stress relief encapsulation for flexible hybrid electronics | FLEX LTD. |
11000782 | Method and apparatus for cleaning substrates using high temperature chemicals and ultrasonic device | ACM RESEARCH (SHANGHAI) INC. |
11000783 | Pumping apparatus, treatment solution supplying device, and substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11000879 | Method and apparatus for treating substrate | SEMES CO., LTD. |
11000923 | Tool and method of reflow | -- |
11000935 | Polishing pad that minimizes occurrence of defects and process for preparing the same | SKC SOLMICS CO., LTD. |
11001061 | Method for manufacturing microfluid delivery device | STMICROELECTRONICS S.R.L. |
11001078 | Interchangeable guide head for transfer mechanism | ROHINNI, LLC |
11001442 | Stocking system | -- |
11001504 | Compound semiconductor and manufacturing method thereof | LG CHEM, LTD. |
11001522 | Methods for thinning glass | CORNING INCORPORATED |
11001535 | Transferring nanostructures from wafers to transparent substrates | APPLIED MATERIALS, INC. |
11001599 | N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom | GELEST TECHNOLOGIES, INC. |
11001732 | Polishing slurry composition | DONGJIN SEMICHEM CO., LTD. |
11001733 | Compositions for polishing cobalt and low-K material surfaces | FUJIMI INCORPORATED |
11001785 | Systems and methods for particulate removal using polymeric microstructures | YALE UNIVERSITY |
11001923 | Method of manufacturing semiconductor device and recording medium | KOKUSAI ELECTRIC CORPORATION |
11001924 | Substrate processing apparatus, nozzle base, and manufacturing method for semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11001930 | Method of manufacturing wiring board | SHINKO ELECTRIC INDUSTRIES CO, LTD. |
11001940 | GaN single crystal and method for manufacturing GaN single crystal | MITSUBISHI CHEMICAL CORPORATION |
11002527 | In-plane sensor misalignment measuring device using capacitive sensing | INVENSENSE, INC. |
11002656 | Substrate processing apparatus, substrate processing system, and substrate processing method | TOKYO ELECTRON LIMITED |
11002704 | Biosensor devices and methods of forming the same | -- |
11002788 | Circuit test structure | -- |
11002908 | Fabrication and self-aligned local functionalization of nanocups and various plasmonic nanostructures on flexible substrates for implantable and sensing applications | CALIFORNIA INSTITUTE OF TECHNOLOGY |
11002927 | Package structure | -- |
11003073 | Photocurable composition for imprint, method for producing film using the same, method for producing optical component using the same, method for producing circuit board using the same, and method for producing electronic component using the same | CANON KABUSHIKI KAISHA |
11003074 | Pattern formation methods and photoresist pattern overcoat compositions | ROHM AND HAAS ELECTRONIC MATERIALS LLC |
11003075 | Apparatus and method for generating physical unclonable function by modifying photo mask of semiconductor process | ICTK HOLDINGS CO., LTD. |
11003076 | Extreme ultraviolet photoresist and method | -- |
11003078 | Compositions for forming a protective film against basic aqueous hydrogen peroxide solution, and pattern formation method | NISSAN CHEMICAL CORPORATION |
11003079 | Composition for film formation, film, resist underlayer film-forming method, production method of patterned substrate, and compound | JSR CORPORATION |
11003082 | Method for forming semiconductor structure | -- |
11003084 | Method for forming semiconductor structure | -- |
11003091 | Method of fabricating reticle | -- |
11003094 | Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder | ASML NETHERLANDS B.V. |
11003149 | Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls | APPLIED MATERIALS, INC. |
11003164 | Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems | MICRON TECHNOLOGY, INC. |
11004530 | Testing through-silicon-vias | RAMBUS INC. |
11004658 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11004661 | Process chamber for cyclic and selective material removal and etching | APPLIED MATERIALS, INC. |
11004664 | Heat transfer medium supply system and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11004665 | Plasma processing apparatus | SHIBAURA MECHATRONICS CORPORATION |
11004674 | Substrate treatment method and substrate treatment equipment | ORGANO CORPORATION |
11004675 | Substrate cleaning composition, substrate treating method, and substrate treating apparatus | SEMES CO., LTD. |
11004676 | Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11004677 | Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device | SAMSUNG DISPLAY CO., LTD. |
11004678 | Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004679 | Method and system for supplying chemical liquid in semiconductor fabrication | -- |
11004680 | Semiconductor device package thermal conduit | TEXAS INSTRUMENTS INCORPORATED |
11004681 | Defect-free heterogeneous substrates | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11004682 | Laser annealing apparatus, laser annealing method, and mask | SAKAI DISPLAY PRODUCTS CORPORATION |
11004683 | Imprint apparatus, imprint method, and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11004684 | Forming method of hard mask | TOKYO ELECTRON LIMITED |
11004685 | Multi-layer structures and methods of forming | -- |
11004686 | Bonding method, bonding device, and holding member | NIKON CORPORATION |
11004687 | Gate contact over active processes | APPLIED MATERIALS, INC. |
11004688 | FinFET device and method of forming | -- |
11004689 | Thermal silicon etch | APPLIED MATERIALS, INC. |
11004690 | Method for making a well disposed over a sensor | LIFE TECHNOLOGIES CORPORATION |
11004691 | Mechanism for manufacturing semiconductor device | -- |
11004692 | Method for ultra-shallow etching using neutral beam processing based on gas cluster ion beam technology | EXOGENESIS CORPORATION |
11004693 | Light-irradiation heat treatment method and heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
11004694 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11004695 | Power semiconductor module arrangement having a base plate and a contact element | INFINEON TECHNOLOGIES AG |
11004696 | Method for manufacturing power diode | -- |
11004697 | Semiconductor device with a multi-layered encapsulant and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11004698 | Power module package | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11004699 | Electronic device and method for manufacturing the same | OMRON CORPORATION |
11004700 | Temporary post-assisted embedding of semiconductor dies | INFINEON TECHNOLOGIES AG |
11004701 | Break-in apparatus, break-in system and storage media | EBARA CORPORATION |
11004702 | Film processing unit and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11004703 | Gas flow guiding device for semiconductor processing apparatus and method of using the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11004704 | Finned rotor cover | APPLIED MATERIALS, INC. |
11004705 | Chip transfer device and chip transferring method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11004706 | Substrate treating apparatus | SCREEN SEMICONDUCTOR SOLUTIONS CO., LTD. |
11004707 | Substrate processing apparatus and method | PICOSUN OY |
11004708 | Core configuration with alternating posts for in-situ electromagnetic induction monitoring system | APPLIED MATERIALS, INC. |
11004709 | Method for monitoring gas in wafer processing system | -- |
11004710 | Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention | APPLIED MATERIALS, INC. |
11004711 | Automated wafer monitoring | -- |
11004712 | Method of inspecting semiconductor wafer, inspection system for performing the same, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11004713 | Robot arm device and method for transferring wafer | -- |
11004714 | Load port | TDK CORPORATION |
11004715 | Substrate supporting device | NGK SPARK PLUG CO., LTD. |
11004716 | Electrostatic chuck assembly and semiconductor manufacturing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11004717 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11004718 | Sectional porous carrier forming a temporary impervious support | -- |
11004719 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11004720 | System and method for ring frame cleaning and inspection | -- |
11004721 | Micro device transfer head | -- |
11004722 | Lift pin assembly | -- |
11004723 | Wafer production method | SILTECTRA GMBH |
11004724 | FETS and methods of forming FETS | -- |
11004725 | Method of forming a FinFET device with gaps in the source/drain region | -- |
11004726 | Stairstep structures in multilevel circuitry, and method for forming the same | -- |
11004727 | Method for fabricating electrode and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004728 | Semiconductor die having edge with multiple gradients and method for forming the same | -- |
11004729 | Method of manufacturing semiconductor devices | -- |
11004730 | Methods of forming conductive features using a vacuum environment | -- |
11004731 | Semiconductor device | KIOXIA CORPORATION |
11004732 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11004733 | Protection structures for bonded wafers | -- |
11004734 | Metal-based etch-stop layer | -- |
11004735 | Conductive interconnect having a semi-liner and no top surface recess | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004736 | Integrated circuit having a single damascene wiring network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004737 | Field effect device with reduced capacitance and resistance in source/drain contacts at reduced gate pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004738 | Capacitance reduction by metal cut design | -- |
11004739 | Gate contact structure over active gate and method to fabricate same | INTEL CORPORATION |
11004740 | Structure and method for interconnection with self-alignment | -- |
11004741 | Profile of through via protrusion in 3DIC interconnect | -- |
11004742 | Methods and apparatus for an improved integrated circuit package | TEXAS INSTRUMENTS INCORPORATED |
11004743 | Dicing method and laser processing apparatus | KIOXIA CORPORATION |
11004744 | Wafer processing method for dividing a wafer along predefined division lines | DISCO CORPORATION |
11004745 | Semiconductor device convex source/drain region | -- |
11004746 | Method for forming a semiconductor structure using dehydrating chemical, and method for forming a semiconductor structure | -- |
11004747 | Fin critical dimension loading optimization | -- |
11004748 | Semiconductor devices with wide gate-to-gate spacing | GLOBALFOUNDRIES U.S. INC. |
11004749 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11004750 | Middle of the line contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004751 | Vertical transistor having reduced edge fin variation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004752 | Fin field-effect transistor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11004753 | Display device and method of manufacturing the display device | -- |
11004754 | X-ray topographic apparatus and substrate processing system using the apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11004755 | Apparatus and method for the minimization of undercut during a UBM etch process | VEECO INSTRUMENTS INC. |
11004757 | Bonded structures | INVENSAS BONDING TECHNOLOGIES, INC. |
11004758 | Integrated circuit package and method | -- |
11004760 | Chip structure operating method including heating elements to reduce temperature variation | SAMSUNG ELECTRONICS CO., LTD. |
11004762 | Semiconductor device, vehicle-mounted semiconductor device, and vehicle-mounted control device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11004764 | Semiconductor package having symmetrically arranged power terminals and method for producing the same | INFINEON TECHNOLOGIES AG |
11004769 | Metal inverse opal substrate with integrated jet cooling in electronic modules | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11004770 | Phase changing on-chip thermal heat sink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004771 | Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices | -- |
11004772 | Cooling structure, cooling structure manufacturing method, power amplifier, and transmitter | NEC CORPORATION |
11004773 | Porous barrier layer for improving reliability of through-substrate via structures and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11004774 | Fabricating field-effect transistors with body contacts between source, gate and drain assemblies | SKYWORKS SOLUTIONS, INC. |
11004776 | Semiconductor device with frame having arms and related methods | STMICROELECTRONICS, INC. |
11004779 | Semiconductor device package and a method of manufacturing the same | -- |
11004780 | Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro | QUALCOMM INCORPORATED |
11004782 | Semiconductor device with internal and external electrode and method of manufacturing | ROHM CO., LTD. |
11004786 | Package structure and method of forming the same | -- |
11004790 | Method of manufacturing an interconnect without dielectric exclusion zones by thermal decomposition of a sacrificial filler material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004791 | Semiconductor chip with stacked conductor lines and air gaps | ADVANCED MICRO DEVICES, INC. |
11004793 | Method of forming an interconnect structure having an air gap and structure thereof | -- |
11004794 | Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof | -- |
11004795 | Semiconductor structure and manufacturing method thereof | -- |
11004797 | Package structure, semiconductor package and method of fabricating the same | -- |
11004798 | Apparatuses including conductive structure layouts | MICRON TECHNOLOGY, INC. |
11004799 | Package structure and manufacturing method thereof | -- |
11004801 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11004802 | Reliability extreme temperature integrated circuits and method for producing the same | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NATIONAL AERONAUTICS AND SPACE ADMINISTRATION |
11004803 | Dummy dies for reducing warpage in packages | -- |
11004805 | Semiconductor device and method of fabricating same including two seal rings | -- |
11004806 | Semiconductor device, manufacturing method of semiconductor device, integrated substrate, and electronic device | SONY CORPORATION |
11004807 | Method of producing laminated substrate, method of producing semiconductor module, laminated substrate, and semiconductor module | FUJI ELECTRIC CO., LTD. |
11004809 | Chip package with antenna element | -- |
11004810 | Semiconductor package structure | -- |
11004813 | Semiconductor device and manufacturing method of semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11004815 | Semiconductor device | DENSO CORPORATION |
11004816 | Hetero-integrated structure | -- |
11004818 | Package with passive devices and method of forming the same | -- |
11004819 | Prevention of bridging between solder joints | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004820 | Apparatus and method for filling a ball grid array | AURIGIN TECHNOLOGY PTE LTD |
11004821 | Wire bonding method and wire bonding apparatus | SHINKAWA LTD. |
11004823 | Chip assembly and method of manufacturing thereof | INFINEON TECHNOLOGIES AG |
11004826 | 3DIC formation with dies bonded to formed RDLs | -- |
11004828 | Methods and apparatus for integrated gang bonding and encapsulation of stacked microelectronic devices | MICRON TECHNOLOGY, INC. |
11004832 | System, structure, and method of manufacturing a semiconductor substrate stack | -- |
11004838 | Packaged die and RDL with bonding structures therebetween | -- |
11004839 | Trench power MOSFET with integrated-schottky in non-active area | RENESAS ELECTRONICS AMERICA INC. |
11004841 | Semiconductor device having multiple gate pads | VISHAY SILICONIX, LLC |
11004842 | System and method of fabricating ESD FinFET with improved metal landing in the drain | -- |
11004844 | Recessed STI as the gate dielectric of HV device | -- |
11004845 | Semiconductor device and manufacturing method thereof | -- |
11004846 | Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric | -- |
11004847 | Semiconductor device and fabricating the same | -- |
11004848 | Composite transistor having overlapping active regions and control electrode | SONY CORPORATION |
11004850 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004851 | Complementary transistor and semiconductor device | SONY CORPORATION |
11004852 | Semiconductor structure | -- |
11004854 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004855 | Buried metal track and methods forming same | -- |
11004856 | Stacked vertical transistor memory cell with epi connections | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004858 | Semiconductor device and manufacturing method thereof | -- |
11004860 | Non-volatile memory device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004862 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11004863 | Non-volatile memory with gate all around thin film transistor and method of manufacturing the same | -- |
11004866 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11004867 | Embedded ferroelectric memory in high-k first technology | -- |
11004868 | Memory field-effect transistors and methods of manufacturing the same | INTEL CORPORATION |
11004872 | Display substrate including a nano-imprint pattern and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11004875 | Methods of manufacturing electronic structures | PRAGMATIC PRINTING LTD. |
11004876 | Method for producing a semiconductor chip and semiconductor chip | OSRAM OLED GMBH |
11004882 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11004889 | Method of fabricating image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11004895 | Pixel or display with sub pixels selected by antifuse programming | BLACK PEAK LLC |
11004902 | Circuit element, storage device, electronic equipment, method of writing information into circuit element, and method of reading information from circuit element | SONY CORPORATION |
11004930 | High density three-dimensional integrated capacitors | TESSERA, INC. |
11004934 | Semiconductor device including a liner layer between a channel and a source/drain epitaxial layer | -- |
11004937 | Semiconductor device and manufacturing method thereof | -- |
11004938 | Semiconductor substrate structure and power semiconductor device | ROHM CO., LTD. |
11004939 | Semiconductor device having a junction portion contacting a Schottky metal | ROHM CO., LTD. |
11004941 | Silicon carbide epitaxial substrate having grooves extending along main surface and method of manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11004943 | Porous and nanoporous semiconductor materials and manufacture thereof | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11004944 | Gate cut device fabrication with extended height gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11004947 | Nonvolatile storage element | ASAHI KASEI MICRODEVICES CORPORATION |
11004948 | Three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11004950 | Integrated circuit metal gate structure | -- |
11004952 | High-electron mobility transistor and fabrication method thereof | -- |
11004953 | Mask-free methods of forming structures in a semiconductor device | GLOBALFOUNDRIES U.S. INC. |
11004954 | Epitaxial buffer to reduce sub-channel leakage in MOS transistors | INTEL CORPORATION |
11004956 | Manufacturing method of semiconductor device | SK HYNIX INC. |
11004957 | Manufacturing methods of inorganic thin film transistors (TFTs) and flexible display devices | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11004958 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11004959 | Semiconductor device structure and method for forming the same | -- |
11004960 | Semiconductor device and manufacturing method thereof | -- |
11004962 | Integrated circuit including at least one nano-ridge transistor | IMEC VZW |
11004963 | Insulated gate bipolar transistor having first and second field stop zone portions and manufacturing method | INFINEON TECHNOLOGIES AG |
11004964 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11004970 | Mirror device structure for power MOSFET and method of manufacture | NXP USA, INC. |
11004972 | Semiconductor device having conducting member for electrically coupling gate structure to underlying substrate of SOI structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11004973 | Semiconductor device with contamination improvement | -- |
11004976 | Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11004977 | Method for depositing a group IV semiconductor and related semiconductor device structures | ASM IP HOLDING B.V. |
11004985 | Semiconductor device having multi-thickness nanowire | SAMSUNG ELECTRONICS CO., LTD. |
11005003 | Method for producing an optoelectronic semiconductor chip and optoelectronic semiconductor chip | OSRAM OLED GMBH |
11005014 | Optics formation using pick-up tools | FACEBOOK TECHNOLOGIES, LLC |
11005018 | Semiconductor continuous array layer | -- |
11005066 | Organic electronic device using adhesive film encapsulation technology, and method of manufacturing same | DONGJIN SEMICHEM CO., LTD. |
11005149 | Metaconductor skins for low loss RF conductors | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11005231 | Strain-balanced semiconductor structure | IQE PIC |
11006514 | Three-dimensional decoupling integration within hole in motherboard | INTEL CORPORATION |
11007497 | Gas jetting apparatus | TOSHIBA MITSUBISHI-ELECTRIC INDUSTRIAL SYSTEMS CORPORATION |
11007605 | Laser processing apparatus | DISCO CORPORATION |
11007619 | Carrier head membrane with regions of different roughness | APPLIED MATERIALS, INC. |
11007620 | Method and apparatus for cleaning process monitoring | -- |
11008180 | Swirl flow-forming body and suction device | HARMOTEC CO., LTD. |
11008482 | Polishing composition and polishing method using the same | -- |
11008513 | Composition for etching | -- |
11008648 | CuO/Se composite film | SHAANXI NORMAL UNIVERSITY |
11008654 | Apparatus and method for spatial atomic layer deposition | -- |
11008655 | Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems | LAM RESEARCH CORPORATION |
11008669 | Apparatus for holding a substrate | ACM RESEARCH (SHANGHAI) INC. |
11009455 | Precursor delivery system and methods related thereto | APPLIED MATERIALS, INC. |
11009538 | Micro resonator array system | APPLIED MATERIALS, INC. |
11009787 | Mask blank, phase shift mask, and method for manufacturing semiconductor device | HOYA CORPORATION |
11009789 | Pattern formation method and method for manufacturing polarizing plate | DEXERIALS CORPORATION |
11009794 | Anti-reflection optical substrates and methods of manufacture | ASML HOLDING N.V. |
11009795 | Aqueous solution for resist pattern coating and pattern forming methods using the same | NISSAN CHEMICAL CORPORATION |
11009796 | Method for forming semiconductor structure | -- |
11009798 | Wafer alignment markers, systems, and related methods | MICRON TECHNOLOGY, INC. |
11010526 | Method and structure for mandrel and spacer patterning | -- |
11010580 | Fingerprint sensor in InFO structure and formation method | -- |
11011224 | Memory device and method for forming the same | -- |
11011347 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11011351 | Monoenergetic ion generation for controlled etch | LAM RESEARCH CORPORATION |
11011353 | Systems and methods for performing edge ring characterization | LAM RESEARCH CORPORATION |
11011369 | Carbon film forming method, carbon film forming apparatus, and storage medium | TOKYO ELECTRON LIMITED |
11011370 | Method for manufacturing semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11011371 | SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material | APPLIED MATERIALS, INC. |
11011372 | Semiconductor devices and methods of manufacture | -- |
11011373 | Engineered substrate structures for power and RF applications | QROMIS, INC. |
11011374 | Group III nitride semiconductor substrate and method for manufacturing group III nitride semiconductor substrate | FURUKAWA CO., LTD. |
11011375 | Hybrid template area selective epitaxy (HTASE) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011376 | Method of manufacturing semiconductor structure with an epitaxial layer | -- |
11011377 | Method for fabricating a semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011378 | Atom implantation for reduction of compressive stress | MICRON TECHNOLOGY, INC. |
11011379 | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors | LAM RESEARCH CORPORATION |
11011380 | High-electron-mobility transistor and manufacturing method thereof | -- |
11011381 | Patterning platinum by alloying and etching platinum alloy | TEXAS INSTRUMENTS INCORPORATED |
11011382 | Fin profile improvement for high performance transistor | -- |
11011383 | Etching method | TOKYO ELECTRON LIMITED |
11011384 | Gapfill using reactive anneal | APPLIED MATERIALS, INC. |
11011385 | CMP-friendly coatings for planar recessing or removing of variable-height layers | -- |
11011386 | Etching method and plasma treatment device | TOKYO ELECTRON LIMITED |
11011387 | Wet etching of samarium selenium for piezoelectric processing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011388 | Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching | LAM RESEARCH CORPORATION |
11011389 | Additively manufactured flexible interposer | THE BOEING COMPANY |
11011390 | Micro device stabilization post | APPLE INC. |
11011391 | Semiconductor structure and method for fabricating the same | -- |
11011392 | Stiction-free drying process with contaminant removal for high-aspect ratio semiconductor device structures | APPLIED MATERIALS, INC. |
11011393 | Cutting apparatus | DISCO CORPORATION |
11011394 | System and method for annealing die and wafer | -- |
11011395 | Cover structure for a light source, light illuminating apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11011396 | Customized smart devices and touchscreen devices and cleanspace manufacturing methods to make them | -- |
11011397 | Wafer soak temperature readback and control via thermocouple embedded end effector for semiconductor processing equipment | AXCELIS TECHNOLOGIES, INC. |
11011398 | Fume determination method, substrate processing method, and substrate processing equipment | SCREEN HOLDINGS CO., LTD. |
11011399 | Substrate storing container | MIRAIAL CO., LTD. |
11011400 | Substrate-storing container | SUMCO CORPORATION |
11011401 | Modular pressurized workstation | -- |
11011402 | Transport system of semiconductor fabrication facility, associated movable container and method | -- |
11011403 | Transport container automatic clamping mechanism | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11011404 | Ceramic structure, member for substrate-holding apparatus, and method for producing the ceramic structure | NGK INSULATORS, LTD. |
11011405 | Apparatus for supporting substrate having gas supply hole and method of manufacturing same | SEMES CO., LTD. |
11011406 | Method of processing a substrate | DISCO CORPORATION |
11011407 | Wafer processing method using a ring frame and a polyolefin sheet | DISCO CORPORATION |
11011408 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11011409 | Devices with backside metal structures and methods of formation thereof | INFINEON TECHNOLOGIES AG |
11011410 | Substrate having two semiconductor materials on insulator | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11011411 | Semiconductor wafer having integrated circuits with bottom local interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011412 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11011413 | Interconnect structures and methods of forming the same | -- |
11011414 | Multi-barrier deposition for air gap formation | -- |
11011415 | Airgap vias in electrical interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011416 | Semiconductor structure and method for forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11011417 | Method and structure of metal cut | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011418 | 3D IC method and device | INVENSAS BONDING TECHNOLOGIES, INC. |
11011419 | Method for forming interconnect structure | -- |
11011420 | Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11011421 | Semiconductor device having voids and method of forming same | -- |
11011422 | Self-aligned wrap-around trench contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011423 | Semiconductor device and method of using a standardized carrier in semiconductor packaging | STATS CHIPPAC PTE. LTD. |
11011424 | Hybrid wafer dicing approach using a spatially multi-focused laser beam laser scribing process and plasma etch process | APPLIED MATERIALS, INC. |
11011425 | Production of a 3D circuit with upper level transistor provided with a gate dielectric derived from a substrate transfer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11011426 | Semiconductor device and manufacturing method thereof | -- |
11011427 | System and method for widening fin widths for small pitch FinFET devices | -- |
11011428 | Method for fabricating a semiconductor device | -- |
11011429 | Minimize middle-of-line contact line shorts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011430 | Semiconductor device and method for fabricating the same | -- |
11011431 | Semiconductor structure and manufacturing method thereof | -- |
11011432 | Vertical silicon/silicon-germanium transistors with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011433 | NMOS and PMOS transistor gates with hafnium oxide layers and lanthanum oxide layers | -- |
11011435 | Apparatus and method inspecting bonded semiconductor dice | ASM TECHNOLOGY SINGAPORE PTE LTD |
11011436 | Substrate processing apparatus, control method of substrate processing apparatus and substrate processing system | TOKYO ELECTRON LIMITED |
11011437 | Method and apparatus for determining width-to-length ratio of channel region of thin film transistor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011438 | Display device | SAMSUNG DISPLAY CO., LTD. |
11011440 | Semiconductor element bonding body, semiconductor device, and method of manufacturing semiconductor element bonding body | MITSUBISHI ELECTRIC CORPORATION |
11011444 | Semiconductor package structure | -- |
11011446 | Semiconductor device and method of making a semiconductor device | NEXPERIA B.V. |
11011447 | Semiconductor package and method for forming the same | -- |
11011448 | IC package including multi-chip unit with bonded integrated heat spreader | INTEL CORPORATION |
11011450 | Preparation method of a ceramic module for power semiconductor integrated packaging | XI'AN BAIXIN CHUANGDA ELECTRONIC TECHNOLOGY CO., LTD. |
11011451 | Integrated circuit package and method | -- |
11011453 | Cooling apparatus, semiconductor module, vehicle, and manufacturing method | FUJI ELECTRIC CO., LTD. |
11011456 | Lead frames including lead posts in different planes | INFINEON TECHNOLOGIES AG |
11011457 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11011458 | Circuit board structure and manufacturing method thereof | -- |
11011460 | Package structure, package-on-package structure and manufacturing method thereof | -- |
11011463 | Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom | INTEL CORPORATION |
11011464 | Package structures and method of forming the same | -- |
11011465 | Single crystal silicon carbide substrate, method of manufacturing single crystal silicon carbide substrate, and semiconductor laser | HITACHI POWER SOLUTIONS CO., LTD. |
11011466 | Integrated circuit package with integrated voltage regulator | ADVANCED MICRO DEVICES, INC. |
11011467 | Method of forming interconnection structure | -- |
11011468 | Semiconductor structure and method for manufacturing the same | -- |
11011469 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11011470 | Microelectronic package with mold-integrated components | INTEL CORPORATION |
11011471 | Semiconductor device | LONGITUDE LICENSING LIMITED |
11011472 | Self-aligned register structure for base polysilicon and preparation method thereof | JIANGSU |
11011473 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11011476 | Lead frame surface finishing | STMICROELECTRONICS INTERNATIONAL N.V. |
11011481 | Configurable resistor | INTEL CORPORATION |
11011483 | Nickel alloy for semiconductor packaging | TEXAS INSTRUMENTS INCORPORATED |
11011490 | Assembly comprising hybrid interconnecting means including intermediate interconnecting elements and sintered metal joints, and manufacturing process | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11011494 | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics | INVENSAS BONDING TECHNOLOGIES, INC. |
11011495 | Multiple-die integrated circuit with integrated voltage regulator | ADVANCED MICRO DEVICES, INC. |
11011496 | Semiconductor device packages and methods of manufacturing the same | -- |
11011499 | Stacked device, stacked structure, and method of manufacturing stacked device | FUJIFILM CORPORATION |
11011501 | Package structure, package-on-package structure and method of fabricating the same | -- |
11011502 | Semiconductor package | NEPES CO., LTD. |
11011507 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11011511 | Electrostatic discharge protection devices | SAMSUNG ELECTRONICS CO., LTD. |
11011513 | Integrating a junction field effect transistor into a vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011515 | Normally off III nitride transistor | TEXAS INSTRUMENTS INCORPORATED |
11011516 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11011517 | Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011518 | Semiconductor device and method of manufacturing the same | SONY CORPORATION |
11011519 | Semiconductor device including gate structure having device isolation film | SAMSUNG ELECTRONICS CO., LTD. |
11011520 | Semiconductor DRAM cell structure having low leakage capacitor | -- |
11011524 | Semiconductor arrangement with capacitor | -- |
11011525 | Landing pad structure and method of manufacturing the same | -- |
11011526 | Methods of manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11011527 | Semiconductor structure and static random access memory, and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11011528 | Asymmetric gate edge spacing for SRAM structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011529 | Memory arrays comprising vertically-alternating tiers of insulative material and memory cells and methods of forming a memory array comprising memory cells individually comprising a transistor and a capacitor | MICRON TECHNOLOGY, INC. |
11011530 | Memory cell, nonvolatile semiconductor storage device, and method for manufacturing nonvolatile semiconductor storage device | FLOADIA CORPORATION |
11011531 | Replacement control gate methods and apparatuses | MICRON TECHNOLOGY, INC. |
11011534 | Multi-level cell thin-film transistor memory and method of fabricating the same | FUDAN UNIVERSITY |
11011535 | Semiconductor device with integrated memory devices and MOS devices and process of making the same | -- |
11011538 | Transistors and arrays of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
11011539 | Multi-stack three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011540 | Three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11011546 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11011547 | Method for forming a microelectronic device | X-FAB FRANCE |
11011548 | Electronic device and method of manufacturing the same | MURATA MANUFACTURING CO., LTD. |
11011549 | Thin film transistor, method for manufacturing the same, and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11011551 | Array substrate with a plurality of different signal lines | BOE TECHNOLOGY GROUP CO., LTD. |
11011552 | Method for manufacturing a display substrate comprising interconnected first and second wirings | SAMSUNG DISPLAY CO., LTD. |
11011555 | Fabricating integrated light-emitting pixel arrays for displays | -- |
11011566 | Bonding pad on a back side illuminated image sensor | -- |
11011599 | Stretchable display panel and stretchable display device including the same | LG DISPLAY CO., LTD. |
11011601 | Narrow gap device with parallel releasing structure | -- |
11011602 | Circuits employing adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET source/drain parasitic capacitance, and related fabrication methods | QUALCOMM INCORPORATED |
11011603 | Preparation of nanocrystals with mixtures of organic ligands | LIFE TECHNOLOGIES CORPORATION |
11011604 | Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region | GLOBALFOUNDRIES U.S. INC. |
11011605 | Diamond semiconductor device | EVINCE TECHNOLOGY LTD. |
11011606 | Semiconductor component having a SiC semiconductor body and method for producing a semiconductor component | INFINEON TECHNOLOGIES AG |
11011608 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11011609 | Method of manufacturing a semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11011610 | Plate design to decrease noise in semiconductor devices | -- |
11011611 | Semiconductor device with low resistivity contact structure | -- |
11011613 | Flexible substrate with high dielectric-constant film and manufacturing method thereof | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11011614 | High electron mobility transistor (HEMT) device and method of forming same | -- |
11011615 | Transistor with contacted deep well region | SILANNA ASIA PTE LTD |
11011616 | Gate line plug structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11011617 | Formation of a partial air-gap spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011618 | Circuit devices with gate seals | -- |
11011619 | Method and related apparatus for reducing gate-induced drain leakage in semiconductor devices | -- |
11011620 | Techniques for increasing channel region tensile strain in n-MOS devices | INTEL CORPORATION |
11011622 | Closely packed vertical transistors with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011623 | Method for increasing germanium concentration of FIN and resulting semiconductor device | -- |
11011624 | Vertical transport field-effect transistor (VFET) with dual top spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011625 | Liner for a bi-layer gate helmet and the fabrication thereof | -- |
11011626 | Fin field-effect transistor with reduced parasitic capacitance and reduced variability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011627 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11011628 | Method for making thin film transistor with nanowires as masks | TSINGHUA UNIVERSITY |
11011630 | Semiconductor wafer | SUMITOMO CHEMICAL COMPANY, LIMITED |
11011634 | Elongated source/drain region structure in finFET device | -- |
11011635 | Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (FINFET) device | APPLIED MATERIALS, INC. |
11011636 | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same | -- |
11011640 | Fin field effect transistor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11011643 | Nanosheet FET including encapsulated all-around source/drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011646 | TFT structure based on flexible multi-layer graphene quantum carbon substrate material and method for manufacturing same | GUANG DONG DONGBOND TECHNOLOGY CO., LTD. |
11011647 | Semiconductor devices comprising channel materials | MICRON TECHNOLOGY, INC. |
11011649 | Oxide semiconductor device and method of manufacturing the same | -- |
11011650 | Thin-film transistor having hydrogen-blocking layer and display apparatus including the same | LG DISPLAY CO., LTD. |
11011651 | Tight pitch stack nanowire isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11011676 | PVD buffer layers for LED fabrication | APPLIED MATERIALS, INC. |
11011716 | Photodetectors and photovoltaic devices | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11011717 | Photodetectors and photovoltaic devices | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11012008 | Electrostatic chuck device | SUMITOMO OSAKA CEMENT CO., LTD. |
11013068 | Multilayer heating body | NGK SPARK PLUG CO., LTD. |
11014103 | Substrate processing apparatus and substrate processing method | -- |
11014127 | Removing a residual photo-mask fence in photolithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11014203 | System for applying interface materials | -- |
11014215 | Chemical mechanical polishing process | -- |
11014256 | Semiconductor memory device and method for manufacturing same | KIOXIA CORPORATION |
11014853 | Y<sub>2</sub>O<sub>3</sub>—ZrO<sub>2 </sub>erosion resistant material for chamber components in plasma environments | APPLIED MATERIALS, INC. |
11015019 | Epoxy resin, production method, epoxy resin composition and cured product of same | DIC CORPORATION |
11015082 | Crack-resistant polysiloxane dielectric planarizing compositions, methods and films | HONEYWELL INTERNATIONAL INC. |
11015086 | Polishing slurry and polishing material | MITSUI MINING & SMELTING CO., LTD. |
11015087 | Polishing composition, method for producing polishing composition, polishing method, and method for producing semiconductor substrate | FUJIMI INCORPORATED |
11015089 | Polyimide film for semiconductor package reflow process, and manufacturing method therefor | IPI TECH INC. |
11015098 | Polishing composition | FUJIMI INCORPORATED |
11015243 | Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11015245 | Gas-phase reactor and system having exhaust plenum and components thereof | ASM IP HOLDING B.V. |
11015248 | Substrate processing apparatus and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11015260 | Method for controlling electrochemical deposition to avoid defects in interconnect structures | -- |
11015261 | Substrate holder and plating apparatus | EBARA CORPORATION |
11015768 | Method of manufacturing light source device | NICHIA CORPORATION |
11016035 | Smart defect calibration system and the method thereof | -- |
11016139 | Test assembly and test device | CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11016142 | Adjustment method of inspection system and auxiliary element therefor | TOKYO ELECTRON LIMITED |
11016255 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11016382 | Mask blanks, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device | HOYA CORPORATION |
11016386 | Photoresist composition and method of forming photoresist pattern | -- |
11016398 | Integrated circuit overlay test patterns and method thereof | -- |
11017143 | Method for modeling excess current in irradiated bipolar junction transistors | ARIZONA BOARD OF REGENTS ON BEHALF OF ARIZONA STATE UNIVERSITY |
11017522 | Inspection and cleaning system and method for the same | -- |
11017524 | Thickness measurement of substrate using color metrology | APPLIED MATERIALS, INC. |
11017852 | Method of forming memory device | -- |
11017979 | Method of ion implantation and an apparatus for the same | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11017983 | RF power amplifier | RENO TECHNOLOGIES, INC. |
11017984 | Ceramic coated quartz lid for processing chamber | APPLIED MATERIALS, INC. |
11017985 | Plasma processing apparatus, impedance matching method, and plasma processing method | TOKYO ELECTRON LIMITED |
11017987 | Etching method and etching processing apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11017995 | Composition for TiN hard mask removal and etch residue cleaning | VERSUM MATERIALS US, LLC |
11017996 | Automated particle removal system | ASM TECHNOLOGY SINGAPORE PTE LTD |
11017997 | Methods and apparatus for low temperature silicon nitride films | APPLIED MATERIALS, INC. |
11017998 | Precursors and flowable CVD methods for making low-K films to fill surface features | VERSUM MATERIALS US, LLC |
11017999 | Method and structure for forming bulk FinFET with uniform channel height | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018000 | Electronic apparatus and manufacturing method of the same | JAPAN DISPLAY INC. |
11018001 | Method of growing two-dimensional transition metal dichalcogenide thin film and method of manufacturing device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11018002 | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures | ASM IP HOLDING B.V. |
11018003 | Method of selective silicon germanium epitaxy at low temperatures | APPLIED MATERIALS, INC. |
11018004 | Method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11018005 | Patterning method and patterned structure | -- |
11018006 | Method for patterning a semiconductor structure | -- |
11018007 | Self aligned pattern formation post spacer etchback in tight pitch configurations | TESSERA, INC. |
11018008 | Manufacturing method of a semiconductor device with efficient edge structure | STMICROELECTRONICS S.R.L. |
11018009 | Tuning work function of p-metal work function films through vapor deposition | APPLIED MATERIALS, INC. |
11018010 | Mask layout, semiconductor device and manufacturing method using the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11018011 | Methods of forming contact features in semiconductor devices | -- |
11018012 | Contact structures with deposited silicide layers | -- |
11018013 | Semiconductor device manufacturing method and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11018014 | Dry etching method | HITACHI HIGH-TECH CORPORATION |
11018015 | Composition for forming organic film, substrate for manufacturing semiconductor device, method for forming organic film, and patterning process | SHIN-ETSU CHEMICAL CO., LTD. |
11018016 | Hybrid evolutionary algorithm for triple-patterning | SYNOPSYS, INC. |
11018017 | Substrate treatment method | SCREEN HOLDINGS CO., LTD. |
11018018 | Superstrate and methods of using the same | CANON KABUSHIKI KAISHA |
11018019 | Semiconductor structure and manufacturing method thereof | -- |
11018020 | Method of fabricating an integrated circuit device by using a block copolymer to form a self-assembly layer | SAMSUNG ELECTRONICS CO., LTD. |
11018021 | Curing photo resist for improving etching selectivity | -- |
11018022 | Method for forming semiconductor device structure having oxide layer | -- |
11018023 | Defect reduction of semiconductor layers and semiconductor devices by anneal and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018024 | Method of fabricating embedded traces | NXP USA, INC. |
11018025 | Redistribution lines having stacking vias | -- |
11018026 | Interposer, semiconductor package, and method of fabricating interposer | SAMSUNG ELECTRONICS CO., LTD. |
11018027 | Interconnect structure | -- |
11018028 | Method of applying conductive adhesive and manufacturing device using the same | -- |
11018029 | Method for producing an at least partly packaged semiconductor wafer | UNITED MONOLITHIC SEMICONDUCTORS GMBH |
11018030 | Fan-out wafer level chip-scale packages and methods of manufacture | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018031 | Cutting apparatus | DISCO CORPORATION |
11018032 | High pressure and high temperature anneal chamber | APPLIED MATERIALS, INC. |
11018033 | Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11018034 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11018035 | Substrate processing system | TOKYO ELECTRON LIMITED |
11018036 | Carrier adapter insert apparatus and carrier adapter insert detection methods | APPLIED MATERIALS, INC. |
11018037 | Optical reticle load port | -- |
11018038 | Apparatus for sawing a semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11018039 | Electrostatic chuck which reduces arc discharge | TOTO LTD. |
11018040 | Carrier assisted substrate method of manufacturing an electronic device and electronic device produced thereby | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018041 | Chip transferring method | -- |
11018042 | 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11018043 | Wafer processing method using a ring frame and a polyester sheet | DISCO CORPORATION |
11018044 | Wafer expanding method and wafer expanding apparatus | DISCO CORPORATION |
11018045 | Deposition apparatus including upper shower head and lower shower head | SAMSUNG ELECTRONICS CO., LTD. |
11018046 | Substrate processing apparatus including edge ring | SAMSUNG ELECTRONICS CO., LTD. |
11018047 | Hybrid lift pin | ASM IP HOLDING B.V. |
11018048 | Ceramic pedestal having atomic protective layer | WATLOW ELECTRIC MANUFACTURING COMPANY |
11018049 | Manufacturing method of isolation structure | -- |
11018050 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11018051 | Power semiconductor device with reliably verifiable p-contact and method | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11018052 | Interconnect structure and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11018053 | Semiconductor structure with material modification and low resistance plug | -- |
11018054 | Integrated circuit interconnects | INTEL CORPORATION |
11018055 | Physical vapor deposition process for semiconductor interconnection structures | -- |
11018056 | Encapsulated solder TSV insertion interconnect | MICRON TECHNOLOGY, INC. |
11018057 | Semiconductor devices | -- |
11018058 | Wafer processing method for dividing a wafer along predefined division lines using polyester sheet | DISCO CORPORATION |
11018059 | SiC substrate processing method | DISCO CORPORATION |
11018060 | Semiconductor device having deep trench structure and method of manufacturing thereof | KEY FOUNDRY CO., LTD. |
11018061 | Strain enhancement for FinFETs | -- |
11018062 | Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018063 | Method and apparatus for nanoscale-dimension measurement using a diffraction pattern filter | SANDISK TECHNOLOGIES LLC |
11018064 | Multiple-tool parameter set configuration and misregistration measurement system and method | KLA CORPORATION |
11018065 | Semiconductor device structure with magnetic element in testing region | -- |
11018067 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018068 | Methods and apparatuses for packaging an ultrasound-on-a-chip | BUTTERFLY NETWORK, INC. |
11018069 | Underfill control structures and method | -- |
11018070 | Semiconductor die, manufacturing method thereof, and semiconductor package | -- |
11018072 | Semiconductor package having overlapping electrically conductive regions and method for producing the same | INFINEON TECHNOLOGIES AG |
11018073 | Heat spreading device and method | -- |
11018078 | Method of producing electronic components, corresponding electronic component | STMICROELECTRONICS S.R.L. |
11018079 | Land structure for semiconductor package and method therefor | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018080 | Semiconductor package and method of forming the same | AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH |
11018081 | Heterogeneous fan-out structure and method of manufacture | -- |
11018082 | Space transformer and manufacturing method thereof | -- |
11018083 | Semiconductor package and manufacturing method thereof | -- |
11018085 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11018086 | Passive devices in package-on-package structures and methods for forming the same | -- |
11018087 | Metal interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018088 | Dummy features in redistribution layers (RDLS) and methods of forming same | -- |
11018091 | Eliminate sawing-induced peeling through forming trenches | -- |
11018092 | Thinned semiconductor wafer | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11018093 | Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11018095 | Semiconductor structure | -- |
11018096 | Crack sensor for sensing cracks in a solder pad, and method for production quality control | STMICROELECTRONICS (CROLLES 2) SAS |
11018097 | Electronic component guard ring | INTEL CORPORATION |
11018100 | Semiconductor device having a passivation layer | -- |
11018102 | Semiconductor product with interlocking metal-to-metal bonds and method for manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018104 | Semiconductor structure and method for manufacturing the same | -- |
11018105 | Semiconductor device and method of manufacturing the same | CYPRESS SEMICONDUCTOR CORPORATION |
11018106 | Semiconductor device including solder bracing material with a rough surface, and manufacturing method thereof | -- |
11018107 | Semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11018108 | Method of fabricating semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11018111 | Wafer level derived flip chip package | TEXAS INSTRUMENTS INCORPORATED |
11018112 | Bonding method of semiconductor chip and bonding apparatus of semiconductor chip | KABUSHIKI KAISHA TOSHIBA |
11018113 | Memory module, semiconductor package including the same, and manufacturing method thereof | -- |
11018114 | Monolithic silicon bridge stack including a hybrid baseband die supporting processors and memory | INTEL IP CORPORATION |
11018115 | Semiconductor package having a high reliability | SAMSUNG ELECTRONICS CO., LTD. |
11018116 | Method to form a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018120 | Semiconductor device package with stress buffering layer and method for manufacturing the same | -- |
11018124 | Embedded memory device and method for embedding memory device in a substrate | INTEL CORPORATION |
11018126 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11018130 | Method to mitigate signal feed through ESD elements | XILINX, INC. |
11018131 | Semiconductor device and fabricating method thereof | -- |
11018132 | Method of fabricating semiconductor device | -- |
11018133 | 3D integrated circuit | MONOLITHIC 3D INC. |
11018134 | Semiconductor device and method for manufacturing the same | -- |
11018135 | Three-dimensional devices having reduced contact length | MICRON TECHNOLOGY, INC. |
11018138 | Methods for forming dynamic random-access devices by implanting a drain through a spacer opening at the bottom of angled structures | APPLIED MATERIALS, INC. |
11018140 | Semiconductor device and method for manufacturing the same | -- |
11018143 | Antifuse OTP structures with hybrid low-voltage devices | ZHUHAI CHUANGFEIXIN TECHNOLOGY CO., LTD. |
11018147 | Method of forming split gate memory cells with thinned tunnel oxide | SILICON STORAGE TECHNOLOGY, INC. |
11018148 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11018151 | Three-dimensional flat NAND memory device including wavy word lines and method of making the same | SANDISK TECHNOLOGIES LLC |
11018154 | Memory device and method for fabricating the same | -- |
11018155 | Vertical string of memory cells individually comprising a programmable charge storage transistor comprising a control gate and a charge storage structure and method of forming a vertical string of memory cells individually comprising a programmable charge storage transistor comprising a control gate and a charge storage structure | MICRON TECHNOLOGY, INC. |
11018157 | Local interconnect structure | -- |
11018167 | Method and system for aging process on transistors in a display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11018179 | Semiconductor structure | -- |
11018181 | Solid-state imaging device | KABUSHIKI KAISHA TOSHIBA |
11018188 | Three-dimensional stackable multi-layer cross-point memory with bipolar junction transistor selectors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018191 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11018210 | Display device | SAMSUNG DISPLAY CO., LTD. |
11018215 | Package and manufacturing method thereof | -- |
11018217 | Semiconductor device and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11018218 | Narrow gap device with parallel releasing structure | -- |
11018219 | P-type MOSFET and method for manufacturing same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11018220 | Device isolation design rules for HAST improvement | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11018221 | Air gap regions of a semiconductor device | GLOBALFOUNDRIES U.S. INC. |
11018223 | Methods for forming device isolation for semiconductor applications | APPLIED MATERIALS, INC. |
11018224 | Semiconductor device with epitaxial source/drain | -- |
11018225 | III-V extension by high temperature plasma doping | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018227 | Semiconductor storage device, method of controlling semiconductor storage device, computer program product, and method of fabricating semiconductor storage device | KABUSHIKI KAISHA TOSHIBA |
11018229 | Methods of forming semiconductor structures | MICRON TECHNOLOGY, INC. |
11018231 | Method to make buried, highly conductive p-type III-nitride layers | YALE UNIVERSITY |
11018232 | Semiconductor device and fabrication method thereof | -- |
11018233 | Flash memory cell structure with step-shaped floating gate | -- |
11018234 | Semiconductor device and manufacturing method thereof | -- |
11018236 | Thin film transistor, array substrate, display panel and method for manufacturing thin film transistor | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11018237 | Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
11018239 | Semiconductor device and manufacturing method thereof | -- |
11018240 | Vertical field effect transistor with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018241 | Polysilicon design for replacement gate technology | -- |
11018242 | Gate spacer structure of FinFET device | -- |
11018244 | Lateral trench transistor device | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11018245 | Epitaxial structures for fin-like field effect transistors | -- |
11018246 | Integrated circuit with a fin and gate structure and method making the same | -- |
11018247 | Semiconductor device with a base link region and method therefor | NXP USA, INC. |
11018248 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11018254 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11018255 | Devices and systems with string drivers including high band gap material and methods of formation | MICRON TECHNOLOGY, INC. |
11018256 | Selective internal gate structure for ferroelectric semiconductor devices | -- |
11018257 | Semiconductor device structure having a plurality of threshold voltages and method of forming the same | -- |
11018258 | Device of dielectric layer | -- |
11018259 | Semiconductor device comprising gate structure and doped gate spacer | -- |
11018260 | Non-volatile memory device with reduced area | -- |
11018264 | Three-dimensional nanoribbon-based logic | INTEL CORPORATION |
11018265 | Transient-voltage-suppression diode structure and manufacturing method thereof | -- |
11018272 | Methods for forming metal electrodes concurrently on silicon regions of opposite polarity | IMEC VZW |
11018294 | Method for regulating phase transformation of hydrogen-containing transition metal oxide | TSINGHUA UNIVERSITY |
11018295 | Non-volatile memory structure with positioned doping | HEFEI RELIANCE MEMORY LIMITED |
11018713 | Radio frequency shielding within a semiconductor package | INTEL IP CORPORATION |
11020760 | Substrate processing apparatus and precursor gas nozzle | KOKUSAI ELECTRIC CORPORATION |
11020776 | Substrate cleaning method and substrate cleaning apparatus | SCREEN HOLDINGS CO., LTD. |
11020777 | Substrate treating apparatus | SEMES CO., LTD. |
11020778 | Photoresist removal method using residue gas analyzer | -- |
11020811 | Solder removal from semiconductor devices | MICRON TECHNOLOGY, INC. |
11020821 | Cutting device for thin semiconductor wafer and cutting method thereof | -- |
11020837 | Monolithic platen | -- |
11020852 | Substrate transport apparatus with independent accessory feedthrough | BROOKS AUTOMATION, INC. |
11020950 | Device and method for bonding substrates | EV GROUP E. THALLNER GMBH |
11020951 | Device and method for bonding substrates | EV GROUP E. THALLNER GMBH |
11020952 | Device and method for bonding substrates | EV GROUP E. THALLNER GMBH |
11020953 | Device and method for bonding substrates | EV GROUP E. THALLNER GMBH |
11021616 | Self-exposure method for surface of conductive particles anchored in polymer layer, method of fabricating anisotropic conductive film using the self-exposure method and the anisotropic conductive film | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11021786 | Copper passivation | TEXAS INSTRUMENTS INCORPORATED |
11021788 | Sputtering method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11021791 | Film forming apparatus, film forming method, and method for manufacturing a semiconductor device using the film forming apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11021794 | Graphite susceptor | APPLIED MATERIALS, INC. |
11021795 | Multi zone spot heating in epi | APPLIED MATERIALS, INC. |
11021796 | Gas injectors and wafer processing apparatuses having the same | SAMSUNG ELECTRONICS CO., LTD. |
11022224 | Valve device, flow control method using the same, and semiconductor manufacturing method | FUJIKIN INCORPORATED |
11022437 | Leveling sensor, load port including the same, and method of leveling a load port | -- |
11022566 | Examination of a semiconductor specimen | APPLIED MATERIALS ISRAEL LTD. |
11022642 | Semiconductor yield prediction | PDF SOLUTIONS, INC. |
11022874 | Chromeless phase shift mask structure and process | -- |
11022875 | Mask blank, phase shift mask, and method of manufacturing semiconductor device | HOYA CORPORATION |
11022877 | Etch processing system having reflective endpoint detection | APPLIED MATERIALS, INC. |
11022878 | Critical dimension uniformity | -- |
11022882 | Compound and composition for forming organic film | SHIN-ETSU CHEMICAL CO., LTD. |
11022884 | Silicon-containing resist underlayer film-forming composition having halogenated sulfonylalkyl group | NISSAN CHEMICAL INDUSTRIES, LTD. |
11022886 | Bottom-up material formation for planarization | -- |
11022887 | Tunable adhesion of EUV photoresist on oxide surface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11023011 | Semiconductor device for attaching to a flexible display and a method of manufacturing the same | -- |
11023641 | Isolated wells for resistor devices | -- |
11023648 | Puzzle-based pattern analysis and classification | SIEMENS INDUSTRY SOFTWARE INC. |
11023651 | Optical proximity correction (OPC) modeling methods and methods for manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11024369 | Static random-access memory cell design | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024486 | Semiconductor processing systems having multiple plasma configurations | APPLIED MATERIALS, INC. |
11024488 | Film-forming method, manufacturing method of electronic device, and plasma atomic layer deposition apparatus | THE JAPAN STEEL WORKS, LTD. |
11024501 | Carrier-assisted method for parting crystalline material along laser damage region | CREE, INC. |
11024502 | Semiconductor devices and methods for forming semiconductor devices | INFINEON TECHNOLOGIES AG |
11024503 | Laser annealing device, mask, thin film transistor, and laser annealing method | SAKAI DISPLAY PRODUCTS CORPORATION |
11024504 | Semiconductor structure and manufacturing method thereof | -- |
11024505 | Gate structure passivating species drive-in method and structure formed thereby | -- |
11024506 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11024507 | Substrate liquid processing apparatus, substrate liquid processing method, and computer-redable storage medium having substrate liquid processing program stored therein | TOKYO ELECTRON LIMITED |
11024508 | Independent control of etching and passivation gas components for highly selective silicon oxide/silicon nitride etching | TOKYO ELECTRON LIMITED |
11024509 | Method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11024510 | Pattern forming method and method of manufacturing semiconductor device | KIOXIA CORPORATION |
11024511 | Patterning method | -- |
11024512 | Selective etch formulation for silicon oxide | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024513 | Methods for minimizing sidewall damage during low k etch processes | AIR LIQUIDE ELECTRONICS U.S. LP |
11024514 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
11024515 | Systems and methods for in SITU maintenance of a thin hardmask during an etch process | -- |
11024516 | Display device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11024517 | Apparatus and transfer unit which measures weight remaining on a substrate | SEMES CO., LTD. |
11024518 | Substrate processing apparatus, substrate processing method and recording medium | TOKYO ELECTRON LIMITED |
11024519 | Substrate processing apparatus, substrate processing method and computer readable recording medium | TOKYO ELECTRON LIMITED |
11024520 | Substrate processing apparatus | EBARA CORPORATION |
11024521 | Heat treatment method for managing dummy wafer | SCREEN HOLDINGS CO., LTD. |
11024522 | Virtual sensor for spatially resolved wafer temperature control | APPLIED MATERIALS, INC. |
11024523 | Substrate processing apparatus and method | ASM IP HOLDING B.V. |
11024524 | Heat treatment method and heat treatment apparatus for managing dummy wafer | SCREEN HOLDINGS CO., LTD. |
11024525 | Diffusion temperature shock monitor | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11024526 | Robot with gas flow sensor coupled to robot arm | BROOKS AUTOMATION (GERMANY) GMBH |
11024527 | Methods and apparatus for novel fabricators with Cleanspace | -- |
11024528 | Electrostatic chuck device having focus ring | SUMITOMO OSAKA CEMENT CO., LTD. |
11024529 | System and method for residual voltage control of electrostatic chucking assemblies | APPLIED MATERIALS, INC. |
11024530 | Method for the bonding and debonding of substrates | EV GROUP E. THALLNER GMBH |
11024531 | Optimized low energy / high productivity deposition system | LAM RESEARCH CORPORATION |
11024532 | Electrostatic chuck design for cooling-gas light-up prevention | LAM RESEARCH CORPORATION |
11024533 | Methods of forming interconnect structures using via holes filled with dielectric film | -- |
11024534 | Semiconductor device having opening and via hole and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11024535 | Method for filling recessed features in semiconductor devices with a low-resistivity metal | TOKYO ELECTRON LIMITED |
11024536 | Contact interlayer dielectric replacement with improved SAC cap retention | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024537 | Methods and apparatus for hybrid feature metallization | APPLIED MATERIALS, INC. |
11024538 | Hardened plug for improved shorting margin | INTEL CORPORATION |
11024539 | Self-aligned cut process for self-aligned via process window | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024540 | Fin field-effect transistor device and method of forming the same | -- |
11024541 | Process for molding a back side wafer singulation guide | QORVO US, INC. |
11024542 | Manufacturing method of device chip | DISCO CORPORATION |
11024543 | Wafer processing method including applying a polyester sheet to a wafer | DISCO CORPORATION |
11024544 | Assembly for 3D circuit with superposed transistor levels | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11024545 | Semiconductor arrangement and method of manufacture | -- |
11024546 | Vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024547 | Method and structure for forming vertical transistors with shared gates and separate gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024548 | Complementary MOS FETS vertically arranged and including multiple dielectric layers surrounding the MOS FETS | -- |
11024549 | Semiconductor device and manufacturing method thereof | -- |
11024550 | Semiconductor device and method | -- |
11024551 | Metal replacement vertical interconnections for buried capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024552 | Device arrangement structure assembly having adhesive tape layer | -- |
11024553 | Semiconductor structure and manufacturing method thereof | -- |
11024555 | Semiconductor substrate, semiconductor package, and method for forming the same | -- |
11024559 | Semiconductor package with electromagnetic interference shielding structures | INTEL CORPORATION |
11024560 | Semiconductor structure and manufacturing method thereof | -- |
11024561 | Semiconductor device and method of forming a fan-out PoP device with PWB vertical interconnect units | STATS CHIPPAC PTE. LTD. |
11024563 | Semiconductor device and manufacturing method thereof | ABLIC INC. |
11024564 | Packaged electronic device with film isolated power stack | TEXAS INSTRUMENTS INCORPORATED |
11024565 | Direct selective adhesion promotor plating | INFINEON TECHNOLOGIES AG |
11024567 | SMD diode taking a runner as body and manufacturing method thereof | SIYANG GRANDE ELECTRONICS CO., LTD. |
11024569 | Semiconductor package device and method of manufacturing the same | -- |
11024573 | Substrate structure with high-density wiring and manufacturing method thereof | -- |
11024575 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11024576 | Semiconductor package with underfill between a sensor coil and a semiconductor die | TEXAS INSTRUMENTS INCORPORATED |
11024579 | Dual power structure with connection pins | -- |
11024580 | Random cut patterning | -- |
11024581 | Semiconductor packages and methods of manufacturing the same | -- |
11024582 | Semiconductor device and manufacturing method thereof | -- |
11024585 | Integrated circuit packaging system with shielding and method of manufacture thereof | STATS CHIPPAC PTE. LTD. |
11024586 | Semiconductor device package and method of manufacturing the same | -- |
11024588 | Power integrated module | DELTA ELECTRONICS (SHANGHAI) CO., LTD. |
11024595 | Thermocompression bond tips and related apparatus and methods | MICRON TECHNOLOGY, INC. |
11024596 | Bonding apparatus and bonding method | SHINKAWA LTD. |
11024600 | Unified semiconductor devices having programmable logic device and heterogeneous memories and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11024603 | Manufacturing method and a related stackable chip package | -- |
11024605 | Integrated circuit package and method | -- |
11024606 | Semiconductor device and manufacturing method thereof | -- |
11024607 | Method for interconnecting stacked semiconductor devices | INTEL CORPORATION |
11024608 | Structures and methods for electrical connection of micro-devices and substrates | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11024609 | Four-in-one mini-LED module, display screen and manufacturing method | SHENZHEN ZHIXUNDA OPTOELECTRONICS CO., LTD. |
11024611 | Micro-LED array transfer method, manufacturing method and display device | GOERTEK INC. |
11024616 | Package structure and method of manufacturing the same | -- |
11024619 | Semiconductor manufacturing apparatus | TOSHIBA MEMORY CORPORATION |
11024626 | Apparatus and circuits including transistors with different threshold voltages and methods of fabricating the same | -- |
11024627 | High-K metal gate transistor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11024628 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11024629 | Semiconductor device comprising gate structure sidewalls having different angles | MICRON TECHNOLOGY, INC. |
11024630 | Memory cells, methods of forming an array of two transistor-one capacitor memory cells, and methods used in fabricating integrated circuitry | MICRON TECHNOLOGY, INC. |
11024633 | SRAM cell word line structure with reduced RC effects | -- |
11024634 | Semiconductor device having an inter-layer via (ILV), and method of making same | -- |
11024635 | Three-dimensional flat NAND memory device having curved memory elements and methods of making the same | SANDISK TECHNOLOGIES LLC |
11024636 | Vertical 3D stack NOR device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024637 | Embedded non-volatile memory | -- |
11024644 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11024645 | Three-dimensional memory device containing a silicon nitride ring in an opening in a memory film and method of making the same | SANDISK TECHNOLOGIES LLC |
11024649 | Integrated circuit with resurf region biasing under buried insulator layers | TEXAS INSTRUMENTS INCORPORATED |
11024650 | FinFET device and a method for fabricating the same | -- |
11024652 | Flexible display device and method of manufacturing the same | LG DISPLAY CO., LTD. |
11024656 | Active matrix substrate, optical shutter substrate, display device, and method for manufacturing active matrix substrate | SHARP KABUSHIKI KAISHA |
11024661 | Solid-state image pickup device having pixel separation wall | SONY CORPORATION |
11024671 | Resistive random access memory device | -- |
11024673 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11024679 | Color filter substrate having a filter layer disposed on quantum dot layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11024685 | Electroluminescent display device | LG DISPLAY CO., LTD. |
11024687 | Array substrate with a pixel defining layer with groove between sub-pixel areas | YUNGU (GU'AN) TECHNOLOGY CO., LTD. |
11024701 | Integrated electronic component suitable for broadband biasing | MURATA INTEGRATED PASSIVE SOLUTIONS |
11024703 | Semiconductor device and a method for fabricating the same | -- |
11024708 | Semiconductor device and method for manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11024709 | Vertical fin field effect transistor with air gap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024710 | Vertically oriented planar structures of transition metal chalcogenides for advanced electronic and optoelectronic systems | THE PENN STATE RESEARCH FOUNDATION |
11024711 | Nanosheet FET bottom isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024713 | Gradient doping to lower leakage in low band gap material devices | INTEL CORPORATION |
11024714 | Nanowire transistor fabrication with hardmask layers | SONY CORPORATION |
11024715 | FinFET gate cut after dummy gate removal | TESSERA, INC. |
11024716 | Semiconductor structure and method for forming the same | -- |
11024718 | Semiconductor device and method of forming the same | -- |
11024719 | Semiconductor device and production method thereof | TOSHIBA MEMORY CORPORATION |
11024720 | Non-self aligned contact semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024721 | Semiconductor device and manufacturing method thereof | -- |
11024723 | Semiconductor device | -- |
11024724 | Vertical FET with differential top spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024731 | Power module for supporting high current densities | CREE, INC. |
11024732 | Lateral MOSFET with dielectric isolation trench | -- |
11024735 | Methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024736 | Transistor and methods of forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11024737 | Etching fin core to provide fin doubling | INTEL CORPORATION |
11024738 | Measurement of top contact resistance in vertical field-effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024739 | Fin field effect transistor including a single diffusion break with a multi-layer dummy gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024740 | Asymmetric channel threshold voltage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024744 | Semiconductor device and method for manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11024746 | Gate all-around device | APPLIED MATERRIALS, INC. |
11024748 | Nonvolatile memory device including two-dimensional material and apparatus including the nonvolatile memory device | SAMSUNG ELECTRONICS CO., LTD. |
11024749 | Dual channel transistor device and methods of forming the same | -- |
11024753 | PERC solar cell capable of improving photoelectric conversion efficiency and preparation method thereof | GUANGDONG AIKO SOLAR ENERGY TECHNOLOGY CO., LTD. |
11024758 | Layer structure for a thin-film solar cell and production method | ZENTRUM FUER SONNENENERGIE- UND WASSERSTOFF-FORSCHUNG BADEN-WUERTTEMBERG |
11024762 | Substrate processing system, substrate conveying device and conveying method | MILPITAS |
11024785 | Light-emitting diode packages | CREELED, INC. |
11024798 | Protective passivation layer for magnetic tunnel junctions | -- |
11024801 | Diffusion layer for magnetic tunnel junctions | -- |
11024803 | Methods for fabricating artificial neural networks (ANN) based on doped semiconductor resistive random access memory (RRAM) elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11024820 | Photo-patterned emissive layer containing passivated quantum dots, arrangement of light-emitting devices including same, and method of making same | SHARP KABUSHIKI KAISHA |
11024954 | Semiconductor package with antenna and fabrication method thereof | -- |
11024979 | 3D IC antenna array with laminated high-k dielectric | -- |
11025029 | Monolithic III-V nanolaser on silicon with blanket growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11026341 | Method of changing a switching module using pressure-applying device | LSIS CO., LTD. |
11027310 | Fluid deposition apparatus and method | -- |
11027394 | Load cup and chemical mechanical polishing apparatus and method of manufacturing including the same | SAMSUNG ELECTRONICS CO., LTD. |
11027395 | Polishing apparatus, polishing method, and machine learning apparatus | EBARA CORPORATION |
11027437 | Apparatus, system and method for providing a conformable vacuum cup for an end effector | JABIL INC. |
11027949 | Transport vehicle and transport method | MURATA MACHINERY, LTD. |
11028005 | Glass for semiconductor processing | AGC INC. |
11028022 | Copper-ceramic bonded body and insulation circuit substrate | MITSUBISHI MATERIALS CORPORATION |
11028201 | Polymer composition | LG CHEM, LTD. |
11028321 | Etching composition, method for etching insulating layer of semiconductor devices and method for preparing semiconductor devices | SK INNOVATION CO., LTD. |
11028340 | Composition for surface treatment, method for producing the same, surface treatment method using composition for surface treatment, and method for producing semiconductor substrate | FUJIMI INCORPORATED |
11028343 | Cleaning agent composition for substrate for semiconductor device | KAO CORPORATION |
11028473 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11028477 | Bottom-up gap-fill by surface poisoning treatment | APPLIED MATERIALS, INC. |
11028481 | Substrate treating apparatus and method | JUSUNG ENGINEERING CO., LTD. |
11028483 | Plating method, plating apparatus and recording medium | TOKYO ELECTRON LIMITED |
11028488 | Etching composition, a method of etching a metal barrier layer and a metal layer using the same, and method of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11029278 | Ion sensor based on differential measurement, and production method | CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS (CSIC) |
11029596 | Film mask, method for manufacturing same, and method for forming pattern using film mask and pattern formed thereby | LG CHEM, LTD. |
11029597 | Method for producing pattern laminate, method for producing reversal pattern, and pattern laminate | FUJIFILM CORPORATION |
11029599 | Chemically amplified positive photoresist composition and pattern forming method using same | MERCK PATENT GMBH |
11029603 | Chemical replacement system | -- |
11029673 | Generating robust machine learning predictions for semiconductor manufacturing processes | PDF SOLUTIONS, INC. |
11030348 | Circuit edit and obfuscation for trusted chip fabrication | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11030373 | System for generating standard cell layout having engineering change order (ECO) cells | -- |
11030734 | Mirror die image recognition system, reference die setting system, and mirror die image recognition method | FUJI CORPORATION |
11031167 | Giant perpendicular magnetic anisotropy in Fe/GaN thin films for data storage and memory devices | UNIVERSITY OF NEW HAMPSHIRE |
11031213 | Microwave output device and plasma processing device | TOKYO ELECTRON LIMITED |
11031214 | Batch type substrate processing apparatus | EUGENE TECHNOLOGY CO., LTD. |
11031215 | Vacuum pump protection against deposition byproduct buildup | LAM RESEARCH CORPORATION |
11031233 | High lateral to vertical ratio etch process for device manufacturing | APPLIED MATERIALS, INC. |
11031234 | Wafer processing method including applying a polyolefin sheet to a wafer | DISCO CORPORATION |
11031235 | Substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11031236 | Method for improving surface of semiconductor device | -- |
11031237 | Aromatic amino siloxane functionalized materials for use in capping porous dielectrics | MERCK PATENT GMBH |
11031238 | Silicon carbide stacked substrate and manufacturing method thereof | HITACHI METALS, LTD. |
11031239 | Germanium nanosheets and methods of forming the same | -- |
11031240 | Method for growing gallium nitride based on graphene and magnetron sputtered aluminum nitride | XIDIAN UNIVERSITY |
11031241 | Method of growing doped group IV materials | APPLIED MATERIALS, INC. |
11031242 | Methods for depositing a boron doped silicon germanium film | ASM IP HOLDING B.V. |
11031244 | Modification of SNO<sub>2 </sub>surface for EUV lithography | LAM RESEARCH CORPORATION |
11031245 | Tin oxide thin film spacers in semiconductor device manufacturing | LAN RESEARCH CORPORATION |
11031246 | EUV pattern transfer with ion implantation and reduced impact of resist residue | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031247 | Method and apparatus for depositing a monolayer on a three dimensional structure | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11031248 | Alternating hardmasks for tight-pitch line formation | TESSERA, INC. |
11031249 | Electronic device with a gate insulating film and a cap layer of silicon nitride having crystallinity | SUMITOMO CHEMICAL COMPANY, LIMITED |
11031250 | Semiconductor structures of more uniform thickness | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031251 | Self-aligned planarization of low-k dielectrics and method for producing the same | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11031252 | Heat shield for chamber door and devices manufactured using same | -- |
11031253 | Etching using an electrolyzed chloride solution | IMEC VZW |
11031254 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
11031255 | Stack frame for electrical connections and the method to fabricate thereof | -- |
11031256 | Semiconductor device with tiered pillar and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031257 | Method for manufacturing power device cooler | NIPPON LIGHT METAL COMPANY, LTD. |
11031258 | Semiconductor packages with patterns of die-specific information | MICRON TECHNOLOGY, INC. |
11031259 | Method of manufacturing an electronic device and electronic device manufactured thereby | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031260 | Hydrogen fluoride vapor phase corrosion method | JIANGSU LEUVEN INSTRUMENTS CO LTD |
11031261 | Liquid processing apparatus | TOKYO ELECTRON LIMITED |
11031262 | Loadlock integrated bevel etcher system | APPLIED MATERIALS, INC. |
11031263 | Laser stripping mass-transfer device and method for microdevices based on winding process | HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11031264 | Semiconductor device manufacturing system | -- |
11031265 | Load port module | BROOKS AUTOMATION, INC. |
11031266 | Wafer handling equipment and method thereof | -- |
11031267 | Method and system of measuring air-tightness and container measured thereby | -- |
11031268 | Device for in situ thermal control and transfer of a monolayer or thin film | PURDUE RESEARCH FOUNDATION |
11031269 | Substrate transport robot, substrate transport system, and substrate transport method | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11031270 | Substrate processing apparatus, substrate holder and mounting tool | KOKUSAI ELECTRIC CORPORATION |
11031271 | Heater system, ceramic heater, plasma treatment system, and adsorption system | KYOCERA CORPORATION |
11031272 | Micro device electrostatic chuck with diffusion blocking layer | MIKRO MESA TECHNOLOGY CO., LTD. |
11031273 | Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes | APPLIED MATERIALS, INC. |
11031274 | Semiconductor device packages and method for manufacturing the same | -- |
11031275 | 3D semiconductor device and structure with memory | MONOLITHIC 3D INC. |
11031276 | Wafer expanding method and wafer expanding apparatus | DISCO CORPORATION |
11031277 | Processing apparatus | DISCO CORPORATION |
11031278 | Suction member | KYOCERA CORPORATION |
11031279 | Semiconductor device with reduced trench loading effect | -- |
11031280 | Isolation regions including two layers and method forming same | -- |
11031281 | Semiconductor devices and methods of fabricating a deep trench isolation structure | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11031282 | Three-dimensional memory devices with deep isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031283 | Trench isolation interfaces | MICRON TECHNOLOGY, INC. |
11031284 | Semiconductor device and method of forming the same | -- |
11031285 | Diffusion barrier collar for interconnects | INVENSAS BONDING TECHNOLOGIES, INC. |
11031286 | Conductive feature formation and structure | -- |
11031287 | Fully self-aligned via with selective bilayer dielectric regrowth | TOKYO ELECTRON LIMITED |
11031288 | Passive components in vias in a stacked integrated circuit package | INTEL CORPORATION |
11031289 | Semiconductor package and methods of forming the same | -- |
11031290 | Semiconductor structure with cutting depth control and method for fabricating the same | -- |
11031291 | Semiconductor structure and method of forming the same | -- |
11031292 | Multi-gate device and related methods | -- |
11031293 | Method for fabricating a semiconductor device | -- |
11031294 | Semiconductor device and a method for fabricating the same | -- |
11031295 | Gate cap last for self-aligned contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031296 | 3D vertical FET with top and bottom gate contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031297 | Multiple gate length vertical field-effect-transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031298 | Semiconductor device and method | -- |
11031299 | FinFET device with different liners for PFET and NFET and method of fabricating thereof | -- |
11031300 | Semiconductor structure and method for manufacturing the same | -- |
11031301 | Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031302 | High-k metal gate and method for fabricating the same | -- |
11031303 | Deep trench isolation structure and method of making the same | -- |
11031304 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11031305 | Laterally adjacent and diverse group III-N transistors | INTEL CORPORATION |
11031306 | Quality control method of position measurement light source, semiconductor manufacturing apparatus, and method for manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11031307 | Semiconductor package, buffer wafer for semiconductor package, and method of manufacturing semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11031308 | Connectivity detection for wafer-to-wafer alignment and bonding | SANDISK TECHNOLOGIES LLC |
11031310 | Chip package | QUALCOMM INCORPORATED |
11031311 | Packaged semiconductor device with multilayer stress buffer | TEXAS INSTRUMENTS INCORPORATED |
11031314 | Spacer structure for double-sided-cooled power module and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
11031317 | Direct bonded metal substrates with encapsulated phase change materials and electronic assemblies incorporating the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11031318 | Encapsulated phase change porous layer | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11031319 | Thermal interface materials with adhesive selant for electronic components | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11031320 | Structures and methods for reducing process charging damages | -- |
11031321 | Semiconductor device having a die pad with a dam-like configuration | INFINEON TECHNOLOGIES AG |
11031325 | Low-stress passivation layer | -- |
11031326 | Wiring structure, electronic device and method for manufacturing the same | -- |
11031327 | Through vias and methods of formation thereof | INFINEON TECHNOLOGIES AG |
11031329 | Method of fabricating packaging substrate | -- |
11031330 | Electroconductive substrate, electronic device and display device | TDK CORPORATION |
11031334 | Semiconductor device including a conductive feature over an active region | -- |
11031336 | Semiconductor memory device having contact element of rectangular shape | -- |
11031337 | Forming dual metallization interconnect structures in single metallization level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031338 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11031339 | Metal interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031340 | Semiconductor device including a multilayer etch stop layer | SAMSUNG ELECTRONICS CO., LTD. |
11031342 | Semiconductor package and method | -- |
11031344 | Package having redistribution layer structure with protective layer and method of fabricating the same | -- |
11031345 | Integrated circuit package and method of forming same | MEDTRONIC, INC. |
11031346 | Advanced wafer security method including pattern and wafer verifications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031350 | Leadframe with pad anchoring members and method of forming the same | STMICROELECTRONICS, INC. |
11031351 | Method of manufacturing a semiconductor device | -- |
11031352 | Routing design of dummy metal cap and redistribution line | -- |
11031354 | Mixing organic materials into hybrid packages | -- |
11031357 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11031358 | Overhang model for reducing passivation stress and method for producing the same | MARVELL ASIA PTE, LTD. |
11031360 | Techniques for an inductor at a second level interface | INTEL CORPORATION |
11031361 | Semiconductor bonding structure and method of manufacturing the same | -- |
11031362 | 3D-interconnect | INVENSAS CORPORATION |
11031369 | Apparatus for bond wave propagation control | -- |
11031370 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11031375 | Semiconductor devices having a conductive pillar and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11031379 | Stray inductance reduction in packaged semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11031385 | Standard cell for removing routing interference between adjacent pins and device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11031387 | PN diodes and connected group III-N devices and their methods of fabrication | INTEL CORPORATION |
11031388 | Semiconductor structure and driving chip | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11031389 | Semiconductor structures over active region and methods of forming the structures | GLOBALFOUNDRIES U.S. INC. |
11031390 | Bidirectional switch having back to back field effect transistors | ALPHA AND OMEGA SEMICONDUCTOR INCORPORATED |
11031391 | Method for manufacturing a FinFET device | -- |
11031392 | Integrated circuit device having a work function control layer with a step portion located on an element isolation layer | SAMSUNG ELECTRONICS CO., LTD. |
11031393 | III-V fins by aspect ratio trapping and self-aligned etch to remove rough epitaxy surface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031394 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11031395 | Method of forming high performance MOSFETs having varying channel structures | -- |
11031396 | Spacer for dual epi CMOS devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11031397 | Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells | -- |
11031398 | Structure and method for semiconductor device | -- |
11031399 | Semiconductor device and manufacturing method of the same | SONY CORPORATION |
11031403 | Semiconductor device and manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031406 | Semiconductor devices having silicon/germanium active regions with different germanium concentrations | GLOBALFOUNDRIES U.S. INC. |
11031408 | Semiconductor device and method of producing semiconductor device | LAPIS SEMICONDUCTOR CO., LTD. |
11031409 | Cell boundary structure for embedded memory | -- |
11031413 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11031416 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11031418 | Integrated circuit structure and method with hybrid orientation for FinFET | -- |
11031429 | Semiconductor device, solid-state image pickup element, image pickup device, and electronic apparatus | SONY CORPORATION |
11031431 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11031433 | Back-side illuminated image sensor | STMICROELECTRONICS (CROLLES) SAS |
11031456 | Rolled-up electromagnetic component for on-chip applications and method of making a rolled-up electromagnetic component | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11031459 | Semiconductor device including a capacitor and method of manufacturing semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11031461 | Manufacture of robust, high-performance devices | GENESIC SEMICONDUCTOR INC. |
11031464 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11031465 | Semiconductor device incorporating epitaxial layer field stop zone | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11031466 | Method of forming oxygen inserted Si-layers in power semiconductor devices | INFINEON TECHNOLOGIES AUSTRIA AG |
11031467 | Field effect transistor based on vertically integrated gate-all-round multiple nanowire channels | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11031468 | Germanium nitride layers on semiconductor structures, and methods for forming the same | -- |
11031469 | Semiconductor device, manufacturing method thereof, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11031475 | Self-standing GaN substrate, GaN crystal, method for producing GaN single crystal, and method for producing semiconductor device | MITSUBISHI CHEMICAL CORPORATION |
11031476 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11031477 | Method for fabricating semiconductor structure | -- |
11031478 | Semiconductor device having body contacts with dielectric spacers and corresponding methods of manufacture | INFINEON TECHNOLOGIES AUSTRIA AG |
11031480 | Semiconductor device, comprising an insulated gate field effect transistor connected in series with a field effect transistor | K. EKLUND INNOVATION |
11031481 | Semiconductor device and method of manufacturing the same | -- |
11031482 | Gate electrode having a capping layer | INTEL CORPORATION |
11031483 | Forming semiconductor devices in silicon carbide | INFINEON TECHNOLOGIES AG |
11031484 | Silicided gate structures | GLOBALFOUNDRIES U.S. INC. |
11031486 | Semiconductor device and methods of manufacture | -- |
11031487 | Contact over active gate structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11031488 | Semiconductor device structure with barrier layer and method for forming the same | -- |
11031489 | Semiconductor device | -- |
11031490 | Fabrication of field effect transistors with ferroelectric materials | -- |
11031492 | Semiconductor structure comprising III-N material | EXAGAN |
11031494 | Silicon carbide semiconductor device having a gate electrode formed in a trench structure | INFINEON TECHNOLOGIES AG |
11031496 | MOSFET and manufacturing method thereof | -- |
11031497 | Semiconductor device and method for manufacturing the same | SILERGY SEMICONDUCTOR TECHNOLOGY (HANGZHOU) LTD |
11031498 | Semiconductor structure with improved source drain epitaxy | -- |
11031500 | Gate resistance improvement and method thereof | -- |
11031501 | Isolation structure having different distances to adjacent FinFET devices | -- |
11031503 | Non-planar gate thin film transistor | INTEL CORPORATION |
11031505 | Transistor and its manufacturing process | X-FAB FRANCE |
11031506 | Semiconductor device including transistor using oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11031507 | Semiconductor device and method of manufacture | COMPTEK SOLUTIONS OY |
11031508 | Semiconductor device with treated interfacial layer on silicon germanium | -- |
11031509 | Memory device and manufacturing method thereof | -- |
11031510 | Impact ionization semiconductor device and manufacturing method thereof | -- |
11031524 | Optoelectronic component having a layer with lateral offset inclined side surfaces | OSRAM OLED GMBH |
11031546 | Method of integration of a magnetoresistive structure | EVERSPIN TECHNOLOGIES, INC. |
11031552 | PCM RF switch with PCM contacts having slot lower portions | NEWPORT FAB, LLC |
11031554 | Method for manufacturing a pass-through device | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11031556 | Systems and methods for phase change material based thermal assessment | -- |
11031675 | Antenna module | SAMSUNG ELECTRO-MECHANICS CO. LTD. |
11031699 | Antenna with graded dielectirc and method of making the same | INTEL IP CORPORATION |
11031833 | Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus | SONY CORPORATION |
11031883 | Method of manufacturing actuator | HONDA MOTOR CO., LTD. |
11031907 | Method and system for monitoring the quality of photovoltaic cells | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11032472 | Image-capturing device and image-capturing method | -- |
11032629 | Microelectromechanical microphone | STMICROELECTRONICS S.R.L. |
11032911 | Embedded component package structure and manufacturing method thereof | -- |
11032915 | Single-layer circuit board, multi-layer circuit board, and manufacturing methods therefor | RICHVIEW ELECTRONICS CO., LTD. |
11032942 | Structure for a heat transfer interface and method of manufacturing the same | ALCATEL LUCENT |
11032944 | Crushable heat sink for electronic devices | INTEL CORPORATION |
11032945 | Heat shield assembly for an epitaxy chamber | APPLIED MATERIALS, INC. |
11033862 | Method of manufacturing partially freestanding two-dimensional crystal film and device comprising such a film | KONINKLIJKE PHILIPS N.V. |
11033929 | Application method | SCREEN HOLDINGS CO., LTD. |
11033930 | Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition | APPLIED MATERIALS, INC. |
11034057 | Planarization process, apparatus and method of manufacturing an article | CANON KABUSHIKI KAISHA |
11034058 | Method for manufacturing silicon carbide substrate, method for manufacturing silicon carbide epitaxial substrate, and method for manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11034176 | Gas enclosure assembly and system | KATEEVA, INC. |
11034590 | BaSnO<sub>3 </sub>thin flim and low-temperature preparation method therefor | KOREA RESEARCH INSTITUTE OF CHEMICAL TECHNOLOGY |
11034847 | Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition | SAMSUNG ELECTRONICS CO., LTD. |
11034859 | Barrier ruthenium chemical mechanical polishing slurry | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11034860 | Polishing agent, stock solution for polishing agent, and polishing method | SHOWA DENKO MATERIALS CO., LTD. |
11034861 | Polishing compositions containing charged abrasive | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11034862 | Polishing composition and method utilizing abrasive particles treated with an aminosilane | CMC MATERIALS, INC. |
11034864 | Adhesive film having adhesive resin layers and method of manufacturing electronic apparatus using the adhesive film | MITSUI CHEMICALS TOHCELLO, INC. |
11035034 | Film formation method, vacuum processing apparatus, method of manufacturing semiconductor light emitting element, semiconductor light emitting element, method of manufacturing semiconductor electronic element, semiconductor electronic element, and illuminating apparatus | CANON ANELVA CORPORATION |
11035037 | Substrate processing apparatus and metal member | KOKUSAI ELECTRIC CORPORATION |
11035039 | Compositions and methods for depositing silicon nitride films | VERSUM MATERIALS US, LLC |
11035048 | Cobalt filling of interconnects | MACDERMID ENTHONE INC. |
11035055 | Group 13 nitride layer, composite substrate, and functional element | NGK INSULATORS, LTD. |
11035625 | Adjustable heat sink fin spacing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11035666 | Inspection-guided critical site selection for critical dimension measurement | KLA-TENCOR CORPORATION |
11035709 | CMOS thermal fluid flow sensing device employing a flow sensor and a pressure sensor on a single membrane | CAMBRIDGE ENTERPRISE LIMITED |
11035721 | Substrate processing apparatus, vibration detection system and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11035804 | System and method for x-ray imaging and classification of volume defects | KLA CORPORATION |
11036002 | Photonic communication platform | LIGHTMATTER, INC. |
11036125 | Substrate positioning apparatus and methods | APPLIED MATERIALS, INC. |
11036129 | Photomask and method for forming the same | -- |
11036137 | Method for forming semiconductor structure | -- |
11036138 | Substrate processing apparatus, substrate processing method, and computer-readable recording medium | TOKYO ELECTRON LIMITED |
11036139 | Sacrificial film forming method, substrate treatment method, and substrate treatment device | SCREEN HOLDINGS CO., LTD. |
11036142 | Substrate processing method and substrate processing device | SCREEN HOLDINGS CO., LTD. |
11036202 | Real-time health monitoring of semiconductor manufacturing equipment | LAM RESEARCH CORPORATION |
11037756 | Precision substrate material multi-processing using miniature-column charged particle beam arrays | -- |
11037760 | Temperature controller, temperature measurer, and plasma processing apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037765 | Resonant structure for electron cyclotron resonant (ECR) plasma ionization | TOKYO ELECTRON LIMITED |
11037766 | Substrate support apparatus and plasma processing apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11037767 | Substrate support, substrate processing apparatus, substrate processing system, and method of detecting erosion of adhesive in substrate support | TOKYO ELECTRON LIMITED |
11037768 | Methods and apparatus for controlling ion fraction in physical vapor deposition processes | APPLIED MATERIALS, INC. |
11037779 | Gas residue removal | MICRON TECHNOLOGY, INC. |
11037780 | Method for manufacturing semiconductor device with helium-containing gas | ASM IP HOLDING B.V. |
11037781 | Device and method for high pressure anneal | -- |
11037782 | Heterostructure semiconductor device and manufacturing method | COMPTEK SOLUTIONS OY |
11037783 | Field effect transistor using transition metal dichalcogenide and a method for forming the same | -- |
11037784 | Amorphous carbon layer opening process | LAM RESEARCH CORPORATION |
11037785 | Method for fabricating pattern of cured product and methods for manufacturing optical component, circuit board and quartz mold replica as well as coating material for imprint pretreatment and cured product thereof | CANON KABUSHIKI KAISHA |
11037786 | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037787 | Method of semiconductor device fabrication | -- |
11037788 | Integration of device regions | APPLIED MATERIALS, INC. |
11037789 | Cut last self-aligned litho-etch patterning | -- |
11037790 | Pressurizing device and pressurizing method | NIKKISO CO., LTD. |
11037791 | Substrate holder, a method for holding a substrate with a substrate holder, and a plating apparatus | EBARA CORPORATION |
11037792 | Semiconductor structure etching solution and method for fabricating a semiconductor structure using the same etching solution | -- |
11037793 | Method of plasma etching | SPTS TECHNOLOGIES LIMITED |
11037794 | Methods for multiple-patterning nanosphere lithography for fabrication of periodic three-dimensional hierarchical nanostructures | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11037795 | Planarization of dielectric topography and stopping in dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037796 | Manufacturing method of semiconductor device structure | -- |
11037797 | Arrays of elevationally-extending strings of memory cells and methods used in forming an array of elevationally-extending strings of memory cells | MICRON TECHNOLOGY, INC. |
11037798 | Self-limiting cyclic etch method for carbon-based films | TOKYO ELECTRON LIMITED |
11037799 | Metal heterojunction structure with capping metal layer | -- |
11037800 | Patterning methods | MICRON TECHNOLOGY, INC. |
11037801 | Fabrication methods of patterned metal film layer, thin film transistor and display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11037802 | Package substrate having copper alloy sputter seed layer and high density interconnects | INTEL CORPORATION |
11037803 | Method for making redistribution circuit structure | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11037804 | Methods and apparatus for cleaning substrates | ACM RESEARCH, INC. |
11037805 | Wafer cleaning apparatus and method of cleaning wafer | -- |
11037806 | Plasma processing method, plasma processing apparatus and method of manufacturing semiconductor device using the apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11037807 | Apparatus for treating substrate | SEMES CO., LTD. |
11037808 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11037809 | Transfer device and method for transferring substrate without unexpected rotation | -- |
11037810 | Teaching method | TOKYO ELECTRON LIMITED |
11037811 | Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11037812 | Method for a transfer print between substrates | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11037813 | Wafer processing method | DISCO CORPORATION |
11037814 | Wafer processing method using a ring frame with a polyester sheet with no adhesive layer | DISCO CORPORATION |
11037815 | Dechuck control method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11037816 | Transistor device with sinker contacts and methods for manufacturing the same | TEXAS INSTRUMENTS INCORPORATED |
11037817 | Apparatus with multi-wafer based device and method for forming such | INTEL CORPORATION |
11037818 | Semiconductor structure having epitaxial structure and method for forming the same | -- |
11037819 | Wafer level chip scale packaging intermediate structure apparatus and method | -- |
11037820 | Method for forming vias and method for forming contacts in vias | -- |
11037821 | Multiple patterning with self-alignment provided by spacers | GLOBALFOUNDRIES U.S. INC. |
11037822 | Svia using a single damascene interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037823 | Method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11037824 | Semiconductor device and method for manufacturing the same | -- |
11037825 | Selective removal process to create high aspect ratio fully self-aligned via | MICROMATERIALS LLC |
11037826 | Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same | -- |
11037827 | Asymmetric source/drain epitaxy | -- |
11037828 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11037829 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11037830 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11037831 | Gate structure and method | -- |
11037832 | Threshold voltage adjustment by inner spacer material selection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037833 | Fabrication method of semiconductor device with spacer trimming process | -- |
11037834 | Simple contact over gate on active area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037835 | Isolation manufacturing method for semiconductor structures | -- |
11037836 | Semiconductor device and transistor thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037837 | Epitaxial source/drain and methods of forming same | -- |
11037838 | In-situ integrated chambers | APPLIED MATERIALS, INC. |
11037839 | Integrated structure and manufacturing method thereof | ROCKLEY PHOTONICS LIMITED |
11037840 | Method of manufacturing semiconductor apparatus including measuring a film thickness of an SOG film | MITSUBISHI ELECTRIC CORPORATION |
11037841 | Light emitting diode (LED) test apparatus and method of manufacture | APPLE INC. |
11037842 | Semiconductor device with inspection patterns | SAMSUNG ELECTRONICS CO., LTD. |
11037843 | Apparatuses and methods for TSV resistance and short measurement in a stacked device | MICRON TECHNOLOGY, INC. |
11037844 | Power semiconductor device and method of manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11037846 | Semiconductor package structure and method of manufacturing the same | -- |
11037847 | Method of manufacturing semiconductor module and semiconductor module | RENESAS ELECTRONICS CORPORATION |
11037848 | Semiconductor module and semiconductor module manufacturing method | FUJI ELECTRIC CO., LTD. |
11037849 | Semiconductor structure and manufacturing method thereof | -- |
11037850 | Passivation structure and methods of manufacturing a semiconductor device including the same | SAMSUNG ELECTRONICS CO., LTD. |
11037851 | Nitrogen-rich silicon nitride films for thin film transistors | APPLIED MATERIALS, INC. |
11037853 | Semiconductor package structure and method of manufacturing the same | -- |
11037854 | Thermal dissipation through seal rings in 3DIC structure | -- |
11037856 | Semiconductor chip package comprising a leadframe connected to a substrate and a semiconductor chip, and a method for fabricating the same | INFINEON TECHNOLOGIES AG |
11037858 | Semiconductor module, method for manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11037860 | Multi layer thermal interface material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037861 | Interconnect structure for package-on-package devices | -- |
11037863 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11037864 | Lead frame for improving adhesive fillets on semiconductor die corners | STMICROELECTRONICS, INC. |
11037865 | Semiconductor with external electrode | ROHM CO., LTD. |
11037866 | Semiconductor device and method of manufacturing the same | ABLIC INC. |
11037868 | Semiconductor device package and method of manufacturing the same | -- |
11037869 | Package structure and preparation method thereof | -- |
11037870 | Electronic module, lead frame and manufacturing method for electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11037872 | Semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11037873 | Hermetic barrier for semiconductor device | MARVELL GOVERNMENT SOLUTIONS, LLC. |
11037875 | Forming dual metallization interconnect structures in single metallization level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037877 | Package structure and method of manufacturing the same | -- |
11037878 | Semiconductor device with EMI protection liners and method for fabricating the same | -- |
11037880 | Semiconductor package and antenna module including the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11037881 | Component carrier with face-up and face-down embedded components | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11037882 | Overlay mark | -- |
11037883 | Regulator circuit package techniques | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11037885 | Semiconductor packaging device comprising a shield structure | -- |
11037886 | Semiconductor structure of work unit module | -- |
11037888 | Nitride-based electronic device and method for manufacturing same | WAVICE INC. |
11037891 | Device package | -- |
11037898 | Semiconductor device package and method for manufacturing the same | -- |
11037899 | Package structures and methods of forming the same | -- |
11037900 | Chip bonding device and bonding method thereof | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
11037901 | Semiconductor element bonding apparatus and semiconductor element bonding method | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11037903 | Plasma etch singulated semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037904 | Singulation and bonding methods and structures formed thereby | -- |
11037905 | Formation of stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11037906 | 3D IC package with RDL interposer and related method | GLOBALFOUNDRIES U.S. INC. |
11037907 | Semiconductor package and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11037908 | Bonded die assembly containing partially filled through-substrate via structures and methods for making the same | SANDISK TECHNOLOGIES LLC |
11037919 | Techniques for processing devices | INVENSAS BONDING TECHNOLOGIES, INC. |
11037923 | Through gate fin isolation | INTEL CORPORATION |
11037924 | Method for forming source/drain contacts | -- |
11037925 | Structure and method of integrated circuit having decouple capacitance | -- |
11037926 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037927 | Circuit device and electronic apparatus | SEIKO EPSON CORPORATION |
11037928 | Methods and apparatuses including an active area of a tap intersected by a boundary of a well | MICRON TECHNOLOGY, INC. |
11037932 | Semiconductor arrangement having capacitor separated from active region | -- |
11037936 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11037937 | SRAM bit cells formed with dummy structures | GLOBALFOUNDRIES U.S. INC. |
11037940 | Integrated circuit constructions comprising memory and methods used in the formation of integrated circuitry comprising memory | MICRON TECHNOLOGY, INC. |
11037941 | Method for forming an integrated circuit and an integrated circuit | -- |
11037943 | Three-dimensional memory device having on-pitch drain select gate electrodes and method of making the same | SANDISK TECHNOLOGIES LLC |
11037944 | Memory arrays and methods used in forming a memory array comprising strings of memory cells and operative through-array-vias | MICRON TECHNOLOGY, INC. |
11037947 | Array of pillars located in a uniform pattern | -- |
11037948 | Semiconductor storage device and method for manufacturing semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11037949 | Embedded memory using SOI structures and methods | -- |
11037950 | Semiconductor memory device, semiconductor device, and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11037951 | Void formation in charge trap structures | MICRON TECHNOLOGY, INC. |
11037952 | Peripheral circuitry under array memory device and method of fabricating thereof | -- |
11037953 | Semiconductor device and method of manufacturing the same | SK HYNIX INC. |
11037954 | Three dimensional flash memory element with middle source-drain line and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11037955 | Semiconductor device and manufacturing method thereof | SK HYNIX INC. |
11037956 | Integrated assemblies having charge-trapping material arranged in vertically-spaced segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11037959 | Method of producing array substrate, array substrate, and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11037963 | Thin film transistor and method for manufacturing the same, and display device including the same | LG DISPLAY CO., LTD. |
11038001 | Active matrix substrate and method for producing same | SHARP KABUSHIKI KAISHA |
11038010 | Capacitor structure and method of making the same | -- |
11038011 | Metal-insulator-metal capacitors including nanofibers | GLOBALFOUNDRIES U.S. INC. |
11038012 | Capacitor device and manufacturing method therefor | -- |
11038013 | Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038014 | Semiconductor device having plug and metal line | -- |
11038015 | Non-planar field effect transistor devices with low-resistance metallic gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038017 | Bipolar-transistor device and corresponding fabrication process | STMICROELECTRONICS (CROLLES 2) SAS |
11038019 | Power semiconductor device and method for manufacturing the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11038020 | Silicon carbide semiconductor device and method of manufacturing a silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11038023 | III-nitride material semiconductor structures on conductive silicon substrates | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11038024 | Self-standing GaN substrate, GaN crystal, method for producing GaN single crystal, and method for producing semiconductor device | MITSUBISHI CHEMICAL CORPORATION |
11038026 | Crystalline multilayer structure and semiconductor device | FLOSFIA INC. |
11038028 | Semiconductor device and manufacturing method | INFINEON TECHNOLOGIES AG |
11038029 | Semiconductor device structure and method for forming the same | -- |
11038030 | Transistor having low capacitance field plate structure | RAYTHEON COMPANY |
11038032 | Method for auto-aligned manufacturing of a VDMOS transistor, and auto-aligned VDMOS transistor | STMICROELECTRONICS S.R.L. |
11038033 | Integrated circuits | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11038034 | Method and related apparatus for integrating electronic memory in an integrated chip | -- |
11038036 | Separate epitaxy layers for nanowire stack GAA device | -- |
11038038 | Transistors and methods of forming transistors | MICRON TECHNOLOGY, INC. |
11038039 | Method of forming a semiconductor device | IMEC VZW |
11038040 | Fin field effect transistor devices with robust gate isolation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038041 | Composite spacer enabling uniform doping in recessed fin devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038042 | Forming gate last vertical FET with self-aligned spacers and junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038043 | Semiconductor device and manufacturing method thereof | -- |
11038044 | Semiconductor device and manufacturing method thereof | -- |
11038046 | High electron mobility transistor with trench isolation structure capable of applying stress and method of manufacturing the same | -- |
11038048 | Gallium nitride-on-silicon devices | -- |
11038050 | Semiconductor device and method of manufacturing the same | ROHM CO., LTD. |
11038052 | Semiconductor arrangement with one or more semiconductor columns | -- |
11038053 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11038054 | Asymmetric source and drain structures in semiconductor devices | -- |
11038055 | Method and structure of improving contact resistance for passive and long channel devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038057 | Semiconductor device with high-quality epitaxial layer and method of manufacturing the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11038058 | Semiconductor device structure and method for forming the same | -- |
11038059 | Semiconductor device and method of forming the same | -- |
11038060 | Semiconductor device with embedded sigma-shaped structure and method for preparing the same | -- |
11038061 | Semiconductor device structure and method for forming the same | -- |
11038063 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11038065 | Semiconductor device, manufacturing method of the semiconductor device, or display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11038081 | Method of separating light emitting devices formed on a substrate wafer | LUMILEDS LLC |
11038082 | Method of separating light emitting devices formed on a substrate wafer | LUMILEDS LLC |
11038085 | Light-emitting device with a plurality of electrodes on a semiconductor stack | -- |
11038086 | Semiconductor light-emitting element and manufacturing method therefor | SEMICON LIGHT CO., LTD. |
11038088 | Light emitting diode package | -- |
11038093 | Wirebond cross-talk reduction for quantum computing chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11038095 | Systems and methods for fabrication of superconducting integrated circuits | D-WAVE SYSTEMS INC. |
11038110 | Method for manufacturing electronic device and method for removing impurity using same | EWHA UNIVERSITY—INDUSTRY COLLABORATION FOUNDATION |
11038701 | Method for securing an integrated circuit during fabrication | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11039532 | Printed circuit board and manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11040415 | Pulse train annealing method and apparatus | APPLIED MATERIALS, INC. |
11040837 | Method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11040988 | Method for producing an organic electronic component, and organic electronic component | NOVALED GMBH |
11040989 | Tris(disilanyl)amine | JIANGSU NATA OPTO-ELECTRONIC MATERIALS CO., LTD. |
11041087 | Coatings | P2I LTD |
11041096 | Polishing agent, polishing method, and liquid additive for polishing | AGC INC. |
11041236 | Method for direct patterned growth of atomic layer metal dichalcogenides with pre-defined width | HONDA MOTOR CO., LTD. |
11041239 | Film forming method for SiC film | TOKYO ELECTRON LIMITED |
11041240 | Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium | HITACHI KOKUSAI ELECTRIC, INC. |
11041241 | Plasma processing apparatus and temperature control method | TOKYO ELECTRON LIMITED |
11041242 | Gas shower head with plural hole patterns and with corresponding different plural hole densities and film formation method | -- |
11041253 | Silicon wafers by epitaxial deposition | SVAGOS TECHNIK, INC. |
11041254 | Chamfered silicon carbide substrate and method of chamfering | SICRYSTAL GMBH |
11041567 | Elastomeric seal | PRECISION POLYMER ENGINEERING LTD. |
11041766 | Bonded assembly with integrated temperature sensing in bond layer | WATLOW ELECTRIC MANUFACTURING COMPANY |
11042084 | Photomask including fiducial mark, method of patterning the photomask and method of making semiconductor device using the photomask | -- |
11042085 | Pellicle film, pellicle frame, pellicle, method for producing same, original plate for light exposure, light exposure apparatus and method for manufacturing semiconductor device | MITSUI CHEMICALS, INC. |
11042091 | Spin-on inorganic oxide containing composition useful as hard masks and filling materials with improved thermal stability | MERCK PATENT GMBH |
11042140 | Adaptive control for a power generator | MKS INSTRUMENTS, INC. |
11042148 | System and method for scheduling semiconductor lot to fabrication tool | -- |
11042981 | Methods and systems for printed circuit board design based on automatic corrections | SVXR, INC. |
11043151 | Surface treated abrasive particles for tungsten buff applications | CMC MATERIALS, INC. |
11043251 | Magnetic tunnel junction device and method of forming same | -- |
11043285 | Bioinformatics systems, apparatus, and methods executed on an integrated circuit processing platform | EDICO GENOME CORPORATION |
11043361 | Symmetric VHF source for a plasma reactor | APPLIED MATERIALS, INC. |
11043372 | High-density low temperature carbon films for hardmask and other patterning applications | APPLIED MATERIALS, INC. |
11043373 | Interconnect system with improved low-k dielectrics | -- |
11043374 | Silacycloalkane compounds and methods for depositing silicon containing films using same | VERSUM MATERIALS US, LLC |
11043375 | Plasma deposition of carbon hardmask | APPLIED MATERIALS, INC. |
11043376 | Semiconductor device and method | -- |
11043377 | Method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11043378 | Systems and methods for inhibiting detectivity, metal particle contamination, and film growth on wafers | TOKYO ELECTRON LIMITED |
11043379 | Conformal carbon film deposition | APPLIED MATERIALS, INC. |
11043380 | Techniques to engineer nanoscale patterned features using ions | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11043381 | Directional patterning method | -- |
11043382 | Diamond semiconductor system and method | AKHAN SEMICONDUCTOR, INC. |
11043383 | Electrical contact connection on silicon carbide substrate | INFINEON TECHNOLOGIES AG |
11043384 | Method of manufacturing a semiconductor device by using ion beam technique | INFINEON TECHNOLOGIES AG |
11043385 | Semiconductor device and method of forming the same | -- |
11043386 | Enhanced spatial ALD of metals through controlled precursor mixing | APPLIED MATERIALS, INC. |
11043387 | Methods and apparatus for processing a substrate | APPLIED MATERIALS, INC. |
11043388 | Integrated circuit fabrication system with adjustable gas injector and method utilizing the same | -- |
11043389 | Substrate processing method | TOKYO ELECTRON LIMITED |
11043390 | Mask for protecting a semiconductor material for localized etching applications | CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE |
11043391 | Etching method and etching processing apparatus | TOKYO ELECTRON LIMITED |
11043392 | Method of manufacturing semiconductor device, substrate processing apparatus and recording medium | KOKUSAI ELECTRIC CORPORATION |
11043393 | Ozone treatment for selective silicon nitride etch over silicon | MATTSON TECHNOLOGY, INC. |
11043394 | Techniques and apparatus for selective shaping of mask features using angled beams | APPLIED MATERIALS, INC. |
11043395 | Methods for processing semiconductor wafers having a polycrystalline finish | -- |
11043396 | Chemical mechanical polish slurry and method of manufacture | -- |
11043397 | Method of forming a pattern and method of manufacturing a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11043398 | Substrate processing device | SCREEN HOLDINGS CO., LTD. |
11043399 | Substrate processing apparatus and operation method of substrate processing apparatus | TOKYO ELECTRON LIMITED |
11043400 | Movable and removable process kit | APPLIED MATERIALS, INC. |
11043401 | Ceramic member | NGK SPARK PLUG CO., LTD. |
11043402 | Cooling unit, heat insulating structure, and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11043403 | Substrate support unit and substrate processing apparatus having the same including reflective member configured to reflect light toward substrate | SEMES CO., LTD. |
11043404 | Method for PUF generation using variations in transistor threshold voltage and subthreshold leakage current | -- |
11043405 | Particle reduction in semiconductor fabrication | -- |
11043406 | Two piece shutter disk assembly with self-centering feature | APPLIED MATERIALS, INC. |
11043407 | Planarization process, apparatus and method of manufacturing an article | CANON KABUSHIKI KAISHA |
11043408 | Method of forming FinFET devices with embedded air gaps | -- |
11043409 | Method of forming contacts to an embedded semiconductor die and related semiconductor packages | INFINEON TECHNOLOGIES AG |
11043410 | Packages with through-vias having tapered ends | -- |
11043411 | Integration of air spacer with self-aligned contact in transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043412 | Methods of forming microelectronic devices, and related microelectronic devices and electronic systems | MICRON TECHNOLOGY, INC. |
11043413 | Barrier layer formation for conductive feature | -- |
11043414 | Microelectronic devices with conductive contacts to silicide regions, and related devices | MICRON TECHNOLOGY, INC. |
11043415 | Enhanced cobalt agglomeration resistance and gap-fill performance by ruthenium doping | APPLIED MATERIALS, INC. |
11043416 | Gradient atomic layer deposition | -- |
11043417 | Line structure for fan-out circuit and manufacturing method thereof, and photomask pattern for fan-out circuit | -- |
11043418 | Middle of the line self-aligned direct pattern contacts | GLOBALFOUNDRIES U.S. INC. |
11043419 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11043420 | Fan-out wafer level packaging of semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11043421 | Wafer processing method | DISCO CORPORATION |
11043422 | Jet ablation die singulation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11043423 | Threshold voltage adjustment for a gate-all-around semiconductor structure | -- |
11043424 | Increase the volume of epitaxy regions | -- |
11043425 | Methods of reducing parasitic capacitance in semiconductor devices | -- |
11043426 | Dummy MOL removal for performance enhancement | -- |
11043427 | Method of manufacture of a FinFET device | -- |
11043428 | Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11043429 | Semiconductor fins with dielectric isolation at fin bottom | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043430 | Semiconductor device having work-function metal and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11043431 | Integrated circuit devices with well regions | -- |
11043432 | Radio-frequency switching devices having improved voltage handling capability | SKYWORKS SOLUTIONS, INC. |
11043433 | Method of inspecting surface and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11043434 | Method of manufacturing a semiconductor device | ABLIC INC. |
11043435 | Semiconductor die with hybrid wire bond pads | INNOGRIT TECHNOLOGIES CO., LTD. |
11043436 | Semiconductor device, manufacturing method, imaging device, and electronic apparatus for enabling component mounting with high flatness | SONY CORPORATION |
11043437 | Transparent substrate with light blocking edge exclusion zone | APPLIED MATERIALS, INC. |
11043441 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11043445 | Semiconductor device having a through silicon via and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11043446 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11043448 | Semiconductor device with vertically separated openings and manufacturing method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11043450 | Anti-fuse structure and method for fabricating same, as well as semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11043451 | Electrical fuse and/or resistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043453 | Method of preventing pattern collapse | -- |
11043454 | Low resistivity interconnects with doped barrier layer for integrated circuits | SAMSUNG ELECTRONICS CO., LTD. |
11043455 | Three-dimensional memory device including self-aligned dielectric isolation regions for connection via structures and method of making the same | SANDISK TECHNOLOGIES LLC |
11043457 | Embedded multi-die interconnect bridge packages with lithotgraphically formed bumps and methods of assembling same | INTEL CORPORATION |
11043458 | Method of manufacturing an electronic device comprising a conductive pad on a protruding-through electrode | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11043460 | Measurement method of overlay mark structure | -- |
11043462 | Solderless interconnection structure and method of forming same | -- |
11043463 | Interconnect structures and methods of forming same | -- |
11043464 | Semiconductor device having upper and lower redistribution layers | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11043467 | Flip chip backside die grounding techniques | TEXAS INSTRUMENTS INCORPORATED |
11043469 | Method of forming three dimensional semiconductor structure | -- |
11043470 | Inductor design in active 3D stacking technology | XILINX, INC. |
11043471 | Mixed-orientation multi-die integrated circuit package with at least one vertically-mounted die | MICROCHIP TECHNOLOGY INCORPORATED |
11043473 | Integrated circuit including a first semiconductor wafer and a second semiconductor wafer, semiconductor device including a first semiconductor wafer and a second semiconductor wafer and method of manufacturing same | -- |
11043476 | Displaying apparatus having light emitting device, method of manufacturing the same and method of transferring light emitting device | SEOUL SEMICONDUCTOR CO., LTD. |
11043477 | Power converter monolithically integrating transistors, carrier, and components | TEXAS INSTRUMENTS INCORPORATED |
11043478 | Integrated circuit bridge for photonics and electrical chip integration | CISCO TECHNOLOGY, INC. |
11043480 | Forming and/or configuring stacked dies | XILINX, INC. |
11043481 | Method of manufacturing semiconductor package structure | -- |
11043482 | Semiconductor component, package structure and manufacturing method thereof | -- |
11043483 | Semiconductor device in a level shifter with electrostatic discharge (ESD) protection circuit and semiconductor chip | MAGNACHIP SEMICONDUCTOR, LTD. |
11043489 | Semiconductor device and manufacturing method thereof | -- |
11043490 | Semiconductor device having a dielectric dummy gate | -- |
11043491 | Semiconductor device and a method for fabricating the same | -- |
11043492 | Self-aligned gate edge trigate and finFET devices | INTEL CORPORATION |
11043493 | Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043494 | Structure and method for equal substrate to channel height between N and P fin-FETs | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043495 | Integrated circuit semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11043496 | Thin film transistors and related fabrication techniques | MICRON TECHNOLOGY, INC. |
11043501 | Embedded SRAM and methods of forming the same | -- |
11043504 | Method for fabricating word lines of NAND memory and NAND memory comprising word lines fabricated by adopting the same | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11043505 | Three-dimensional memory device having multi-deck structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043515 | Display substrate, manufacturing method thereof, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11043517 | Semiconductor crystal substrate, infrared detector, method for producing semiconductor crystal substrate, and method for producing infrared detector | FUJITSU LIMITED |
11043519 | Image capturing apparatus comprising silicon nitride layer of different chlorine concentration, camera, and method of manufacturing image capturing apparatus | CANON KABUSHIKI KAISHA |
11043522 | Stacked substrate structure with inter-tier interconnection | -- |
11043523 | Multilevel semiconductor device and structure with image sensors | MONOLITHIC 3D INC. |
11043524 | Device-bonded body, image pickup module, endoscope and method for manufacturing device-bonded body | OLYMPUS CORPORATION |
11043530 | Light-emitting component having light-absorbing layer, light-emitting device, and image forming apparatus | FUJIFILM BUSINESS INNOVATION CORP. |
11043533 | Switch and method for fabricating the same, and resistive memory cell and electronic device, including the same | SK HYNIX INC. |
11043553 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11043555 | Semiconductor device and manufacturing method of the same | FUJI ELECTRIC CO., LTD. |
11043556 | Local epitaxy nanofilms for nanowire stack GAA device | -- |
11043558 | Source/drain metal contact and formation thereof | -- |
11043559 | Method for manufacturing semiconductor device | -- |
11043560 | Silicon carbide semiconductor component comprising trench gate structures and shielding regions | INFINEON TECHNOLOGIES AG |
11043561 | Multi-gate device and method of fabrication thereof | -- |
11043565 | Three-dimensional memory device with source contacts connected by an adhesion layer and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11043566 | Semiconductor structures in a wide gate pitch region of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11043567 | Semiconductor device and manufacturing method thereof | -- |
11043568 | Semiconductor devices having gate structures with skirt regions | SAMSUNG ELECTRONICS CO., LTD. |
11043570 | Semiconductor device and manufacturing method thereof | -- |
11043571 | Insulated gate field effect transistor having passivated schottky barriers to the channel | ACORN SEMI, LLC |
11043572 | Metal gate structure and methods thereof | -- |
11043573 | Method of fabricating tantalum nitride barrier layer and semiconductor device thereof | -- |
11043574 | Method of manufacturing a HEMT device with reduced gate leakage current, and HEMT device | STMICROELECTRONICS S.R.L. |
11043576 | FinFET device and method | -- |
11043577 | Semiconductor device and method of manufacturing the same | -- |
11043578 | Nanowire stack GAA device with inner spacer | -- |
11043579 | Method for manufacturing semiconductor device | -- |
11043580 | Method of manufacturing semiconductor devices | -- |
11043581 | Nanosheet channel-to-source and drain isolation | TESSERA, INC. |
11043586 | Semiconductor device, method for manufacturing semiconductor device, inverter circuit, drive device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11043587 | Fabrication of vertical fin transistor with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043589 | Semiconductor device | ROHM CO., LTD. |
11043591 | FeFET transistor | STMICROELECTRONICS (CROLLES 2) SAS |
11043593 | Semiconductor device and manufacturing method thereof | -- |
11043595 | Cut metal gate in memory macro edge and middle strap | -- |
11043596 | Semiconductor device and fabrication method thereof | -- |
11043597 | Method for reducing contact resistance in semiconductor structures | -- |
11043598 | Vertical field effect transistor with low-resistance bottom source-drain contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11043599 | Semiconductor device and method for producing same | SHARP KABUSHIKI KAISHA |
11043600 | Semiconductor device provided with oxide semiconductor TFT | SHARP KABUSHIKI KAISHA |
11043602 | Schottky barrier diode | TAMURA CORPORATION |
11043606 | Solar cell edge interconnects | ZHEJIANG KAIYING NEW MATERIALS CO., LTD. |
11043630 | Method of manufacturing a magnetoresistive device | EVERSPIN TECHNOLOGIES, INC. |
11043756 | Structure for radio frequency applications | SOITEC |
11043792 | Method for GaN vertical microcavity surface emitting laser (VCSEL) | YALE UNIVERSITY |
11044798 | ESD protection composite structure, ESD protection device, and manufacturing method thereof | -- |
11044812 | Component carrier with adhesion promoting shape of wiring structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11046051 | Metal-on-ceramic substrates | MATERION CORPORATION |
11046869 | Polishing liquid, polishing liquid set, and substrate polishing method | SHOWA DENKO MATERIALS CO., LTD. |
11046910 | Cleaning solution composition | KANTO KAGAKU KABUSHIKI KAISHA |
11047035 | Protective yttria coating for semiconductor equipment parts | APPLIED MATERIALS, INC. |
11047040 | Dual selective deposition | ASM IP HOLDING B.V. |
11047042 | Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films | ASM IP HOLDING B.V. |
11047044 | Film forming apparatus and film forming method | TOKYO ELECTRON LIMITED |
11047045 | Precursor supply unit, substrate processing system, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11047048 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11047050 | Semiconductor tool having controllable ambient environment processing zones | -- |
11047792 | Semiconductor device inspection method and semiconductor device inspection apparatus | HAMAMATSU PHOTONICS K.K. |
11047795 | Calibration chucks for optical probe systems, optical probe systems including the calibration chucks, and methods of utilizing the optical probe systems | FORMFACTOR, INC. |
11047800 | Method of evaluating carbon concentration of silicon sample, method of evaluating silicon wafer manufacturing process, method of manufacturing silicon wafer, method of manufacturing silicon single crystal ingot, silicon single crystal ingot and silicon wafer | SUMCO CORPORATION |
11047822 | Sensor device | HITACHI AUTOMOTIVE SYSTEMS, LTD. |
11047900 | Method for manufacturing electronic apparatus, adhesive film for manufacturing electronic apparatus, and electronic component testing apparatus | MITSUI CHEMICALS TOHCELLO, INC. |
11048158 | Method for extreme ultraviolet lithography mask treatment | -- |
11048160 | Mask blank, phase shift mask and method for manufacturing semiconductor device | HOYA CORPORATION |
11048162 | Method and apparatus for neutral beam processing based on gas cluster ion beam technology | EXOGENESIS CORPORATION |
11048170 | Apparatus for dispensing liquid material and method for fabricating semiconductor device | -- |
11048176 | Substrate processing apparatus and article manufacturing method | CANON KABUSHIKI KAISHA |
11049691 | Ion beam quality control using a movable mass resolving device | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11049692 | Methods for tuning plasma potential using variable mode plasma chamber | MATTSON TECHNOLOGY, INC. |
11049693 | Systems and methods for achieving peak ion energy enhancement with a low angular spread | LAM RESEARCH CORPORATION |
11049695 | Metal contact landing structure | MICROMATERIALS LLC |
11049698 | Dual-channel showerhead with improved profile | APPLIED MATERIALS, INC. |
11049699 | Gas box for CVD chamber | APPLIED MATERIALS, INC. |
11049700 | Atmospheric plasma processing systems and methods for manufacture of microelectronic workpieces | TOKYO ELECTRON LIMITED |
11049714 | Silyl substituted organoamines as precursors for high growth rate silicon-containing films | VERSUM MATERIALS US, LLC |
11049715 | Method for manufacturing a semiconductor structure | -- |
11049716 | Gap fill using carbon-based films | LAM RESEARCH CORPORATION |
11049717 | Method for fabricating ultra-thin graphite film on silicon carbide substrate from siloxane-coupling-group-containing polyamic acid solution | -- |
11049718 | Fabrication of group III-nitride semiconductor devices | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11049719 | Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal | APPLIED MATERIALS, INC. |
11049720 | Removable opaque coating for accurate optical topography measurements on top surfaces of transparent films | KLA CORPORATION |
11049721 | Method and process for forming memory hole patterns | TOKYO ELECTRON LIMITED |
11049722 | Methods and materials for modifying the threshold voltage of metal oxide stacks | APPLIED MATERIALS, INC. |
11049723 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11049724 | Method for producing patterns in a substrate | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11049725 | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride | CORPORATION FOR NATIONAL RESEARCH INITIATIVES |
11049726 | Methods and systems for advanced ion control for etching processes | LAM RESEARCH CORPORATION |
11049727 | Interleaved structure for molecular manipulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049728 | Boron-doped amorphous carbon hard mask and related methods | ENTEGRIS, INC. |
11049729 | Dry etching method, semiconductor device manufacturing method, and chamber cleaning method | CENTRAL GLASS COMPANY, LIMITED |
11049730 | Workpiece processing method | TOKYO ELECTRON LIMITED |
11049731 | Methods for film modification | APPLIED MATERIALS, INC. |
11049732 | Heat treatment method and heat treatment apparatus that manage heat treatment of dummy wafer | SCREEN HOLDINGS CO., LTD. |
11049733 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049734 | Method of packaging chip and chip package structure | PEP INNOVATION PTE. LTD. |
11049735 | Methods and apparatus for conserving electronic device manufacturing resources | APPLIED MATERIALS, INC. |
11049736 | Circulating EFEM | TDK CORPORATION |
11049737 | Apparatus and method for treating substrate | SEMES CO. LTD. |
11049738 | Substrate heating device | TOKYO ELECTRON LIMITED |
11049739 | Ashing apparatus, ashing method and recording medium | TOKYO ELECTRON LIMITED |
11049740 | Reconfigurable mainframe with replaceable interface plate | APPLIED MATERIALS, INC. |
11049741 | Systems for integrated decomposition and scanning of a semiconducting wafer | ELEMENTAL SCIENTIFIC, INC. |
11049742 | Substrate processing apparatus, method of manufacturing semiconductor device, and thermocouple support | KOKUSAI ELECTRIC CORPORATION |
11049743 | Substrate processing apparatus, flow rate control method, and storage medium storing flow rate control program | TOKYO ELECTRON LMITED |
11049744 | Optimizing semiconductor binning by feed-forward process adjustment | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049745 | Defect-location determination using correction loop for pixel alignment | KLA CORPORATION |
11049746 | Substrate cassette | SUSS MICROTEC LITHOGRAPHY GMBH |
11049747 | SiC freestanding film structure | ADMAP INC. |
11049748 | Separator | ACHILLES CORPORATION |
11049749 | Substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11049750 | Container storage add-on for bare workpiece stocker | BROOKS CCS GMBH |
11049751 | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith | ASM IP HOLDING B.V. |
11049752 | Apparatus and method for calibrating wafer bonding apparatus | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11049754 | Method for controlling semiconductor process | SAMSUNG ELECTRONICS CO., LTD. |
11049755 | Semiconductor substrate supports with embedded RF shield | APPLIED MATERIALS, INC. |
11049756 | Thermal pad for etch rate uniformity | -- |
11049757 | Wafer processing method including applying a polyester sheet to a wafer | DISCO CORPORATION |
11049758 | Substrate placing apparatus and substrate placing method | TOKYO ELECTRON LIMITED |
11049759 | Micro LED transfer head | POINT ENGINEERING CO., LTD. |
11049760 | Universal process kit | APPLIED MATERIALS, INC. |
11049761 | Shutter disk for physical vapor deposition chamber | APPLIED MATERIALS, INC. |
11049762 | Electronic circuit comprising electrical insulation trenches | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11049763 | Multi-patterning to form vias with straight profiles | -- |
11049764 | Method for fabricating a semiconductor device | -- |
11049765 | Semiconductor device | -- |
11049766 | Etch stop layer for semiconductor structure | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11049767 | Semiconductor device and methods of manufacturing thereof | -- |
11049768 | Methods of forming microelectronic devices, and related microelectronic devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11049769 | Self-aligned interconnection for integrated circuits | MICRON TECHNOLOGY, INC. |
11049770 | Methods and apparatus for fabrication of self aligning interconnect structure | APPLIED MATERIALS, INC. |
11049771 | Semiconductor device and fingerprint identification device | -- |
11049772 | Wafer processing method including applying a polyester sheet to a wafer | DISCO CORPORATION |
11049773 | Art trench spacers to enable fin release for non-lattice matched channels | INTEL CORPORATION |
11049774 | Hybrid source drain regions formed based on same Fin and methods forming same | -- |
11049775 | Semiconductor device having FinFET with work function layers and method of manufacturing the same | -- |
11049776 | Semiconductor memory device having chip-to-chip bonding structure | SK HYNIX INC. |
11049777 | Ceramic combo lid with selective and edge metallizations | MATERION CORPORATION |
11049779 | Carrier for chip packaging and manufacturing method thereof | -- |
11049781 | Chip-scale package device | -- |
11049784 | Semiconductor device for use in harsh media | MELEXIS TECHNOLOGIES NV |
11049785 | Semiconductor device and fabrication method thereof | FUJI ELECTRIC CO., LTD. |
11049787 | Semiconductor device and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11049789 | Semiconductor microcooler | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049790 | Electrically insulating thermal interface on the discontinuity of an encapsulation structure | INFINEON TECHNOLOGIES AG |
11049791 | Heat spreading layer integrated within a composite IC die structure and methods of forming the same | INTEL CORPORATION |
11049792 | Package structure for semiconductor devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049797 | Method for manufacturing a semiconductor structure comprising a semiconductor device layer formed on a tem, porary substrate having a graded SiGe etch stop layer therebetween | -- |
11049798 | Embedded bridge with through-silicon Vias | INTEL CORPORATION |
11049799 | Semiconductor structure and method for forming the same | -- |
11049800 | Semiconductor device package with grooved substrate | TEXAS INSTRUMENTS INCORPORATED |
11049801 | Encapsulated vertical interconnects for high-speed applications and methods of assembling same | INTEL CORPORATION |
11049802 | Semiconductor device and method of manufacture | -- |
11049805 | Semiconductor package and method | -- |
11049809 | Semiconductor device having a reduced pitch between lead-out wirings | LONGITUDE LICENSING LIMITED |
11049810 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11049811 | Forming interlayer dielectric material by spin-on metal oxide deposition | -- |
11049812 | Semiconductor devices and methods of forming the same | -- |
11049813 | Interconnection structure, fabricating method thereof, and semiconductor device using the same | -- |
11049814 | Semiconductor device including a through contact extending between sub-chips and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11049816 | Alignment mark and semiconductor device, and fabrication methods thereof | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11049820 | Crack suppression structure for HV isolation component | TEXAS INSTRUMENTS INCORPORATED |
11049823 | Integrated circuit package apparatus deployed with antenna and method for manufacturing integrated circuit package apparatus | HUAWEI TECHNOLOGIES CO., LTD. |
11049825 | Method for producing semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
11049827 | Semiconductor devices including a thick metal layer and a bump | SAMSUNG ELECTRONICS CO., LTD. |
11049828 | Electronic device with interconnection structure oblate ellipsoid-shaped aperture | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11049830 | Level shifting between interconnected chips having different voltage potentials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049831 | Circuit substrate | MURATA MANUFACTURING CO., LTD. |
11049832 | Formation method of package structure with warpage-control element | -- |
11049833 | Semiconductor packages with an intermetallic layer | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049834 | Hybrid bonding using dummy bonding contacts | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11049835 | Semiconductor module | MURATA MANUFACTURING CO., LTD. |
11049840 | Bonding device | OSAKA UNIVERSITY |
11049843 | Semiconductor packages | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11049844 | Semiconductor wafer having trenches with varied dimensions for multi-chip modules | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049845 | Semiconductor device having wires connecting connection pads | LONGITUDE LICENSING LIMITED |
11049846 | Integrated circuit chip, method of manufacturing the integrated circuit chip, and integrated circuit package and display apparatus including the integrated circuit chip | SAMSUNG ELECTRONICS CO., LTD. |
11049856 | Semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11049857 | Nanosheet CMOS semiconductor device and the method of manufacturing the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11049858 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049860 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11049866 | Three-dimensional memory devices having through array contacts and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11049868 | Stacked type semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11049869 | Semiconductor device with recess and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11049871 | Semiconductor storage device and manufacturing method of semiconductor storage device | TOSHIBA MEMORY CORPORATION |
11049873 | Epitaxial monocrystalline channel for storage transistors in 3-dimensional memory structures and methods for formation thereof | SUNRISE MEMORY CORPORATION |
11049874 | NOR-type memory device and method of fabricating the same | -- |
11049876 | Three-dimensional memory device containing through-memory-level contact via structures | SANDISK TECHNOLOGIES LLC |
11049878 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11049881 | Method for manufacturing a top-gate self-aligned indium-tin-zinc oxide thin-film transistor | SOUTH CHINA UNIVERSITY OF TECHNOLOGY |
11049883 | COA type array substrate and method for measuring via size on color-resist layer | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11049887 | Layer stack for display applications | APPLIED MATERIALS, INC. |
11049889 | Method for preparing array substrate by stripping first photo-resist layer through wet etching before forming ohm contact layer and active layer | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11049890 | Stacked field-effect transistors having proximity electrodes | SKYWORKS SOLUTIONS, INC. |
11049931 | Semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11049932 | Semiconductor isolation structures comprising shallow trench and deep trench isolation | GLOBALFOUNDRIES U.S. INC. |
11049934 | Transistor comprising a matrix of nanowires and methods of making such a transistor | GLOBALFOUNDRIES U.S. INC. |
11049935 | Non-planar field effect transistor devices with low-resistance metallic gate structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049936 | High ruggedness heterojunction bipolar transistor structure | -- |
11049937 | Gate structures for semiconductor devices | -- |
11049940 | Method and structure for forming silicon germanium finFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049943 | Method for forming III-nitride semiconductor device and the III-nitride semiconductor device | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11049945 | Semiconductor device structure and method for forming the same | -- |
11049946 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11049949 | Gate-all-around gradient-doped nano-sheet complementary inverter and method of making the same | SIEN (QINGDAO) INTEGRATED CIRCUITS CO., LTD. |
11049950 | Trench power seminconductor device and manufacturing method thereof | -- |
11049951 | Coating liquid for forming oxide or oxynitride insulator film, oxide or oxynitride insulator film, field-effect transistor, and method for producing the same | RICOH COMPANY, LTD. |
11049953 | Nanosheet transistor | TESSERA, INC. |
11049957 | LDMOS device with sinker link | MONOLITHIC POWER SYSTEMS, INC. |
11049958 | Semiconductor power device and manufacturing method thereof | -- |
11049959 | FinFET with dummy fins and methods of making the same | -- |
11049960 | Gallium nitride (GaN) based transistor with multiple p-GaN blocks | TEXAS INSTRUMENTS INCORPORATED |
11049966 | Silicon carbide semiconductor device and method of manufacturing the same | DENSO CORPORATION |
11049967 | DMOS transistor having thick gate oxide and STI and method of fabricating | TEXAS INSTRUMENTS INCORPORATED |
11049968 | Semiconductor device and method of manufacturing a semiconductor device | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11049969 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11049970 | Semiconductor device and manufacturing method thereof | -- |
11049972 | Formation method of semiconductor device with low resistance contact | -- |
11049973 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11049975 | Dual-gate thin film transistor, manufacturing method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11049976 | Thin-film transistor, oxide semiconductor film, and sputtering target | ULVAC, INC. |
11049978 | Organic light-emitting display apparatus | SAMSUNG DISPLAY CO., LTD. |
11049979 | Long channel nanosheet FET having tri-layer spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11049999 | Template, nitride semiconductor ultraviolet light-emitting element, and method of manufacturing template | SOKO KAGAKU CO., LTD. |
11050020 | Methods of forming devices including multi-portion liners | MICRON TECHNOLOGY, INC. |
11050030 | OLED display and OLED display device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11050034 | Quantum dot light emitting diode and quantum dot display device including the same | LG DISPLAY CO., LTD. |
11050045 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11050153 | Encapsulating low-k dielectric blocks along with dies in an encapsulant to form antennas | -- |
11050155 | Microelectronic devices designed with mold patterning to create package-level components for high frequency communication systems | INTEL CORPORATION |
11050329 | Method for manufacturing a winding core | MURATA MANUFACTURING CO., LTD. |
11050365 | Piezoelectric driving device, electronic component conveyance apparatus, robot, projector, and printer | SEIKO EPSON CORPORATION |
11050407 | Electronic devices formed in a cavity between substrates | SKYWORKS SOLUTIONS, INC. |
11051391 | Thermally highly conductive coating on base structure accommodating a component | AT&S (CHINA) CO. LTD. |
11052432 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11052455 | Caulking jig and manufacturing method using same | NHK SPRING CO., LTD. |
11052513 | Pneumatic-fixation connecting device | -- |
11052647 | Direct additive synthesis of diamond semiconductor | LOCKHEED MARTIN CORPORATION |
11052926 | Overhead transport system and overhead transport vehicle | MURATA MACHINERY, LTD. |
11053074 | Transport vehicle system and transport vehicle arrangement method in transport vehicle system | MURATA MACHINERY, LTD. |
11053339 | Polyurethane for polishing layer, polishing layer including polyurethane and modification method of the polishing layer, polishing pad, and polishing method | KURARAY CO., LTD. |
11053438 | Fluoride-based phosphors for light emitting device | INDUSTRY-ACADEMIA COOPERATION GROUP OF SEJONG UNIVERSITY |
11053440 | Silicon nitride etching composition and method | ENTEGRIS, INC. |
11053457 | Cleaning composition for semiconductor substrate | JSR CORPORATION |
11053580 | Techniques for selective deposition using angled ions | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11053582 | Support including an electrostatic substrate carrier | ION BEAM SERVICES |
11053583 | Particle reduction during sputtering deposition | CORNING INCORPORATED |
11053592 | Substrate temperature monitoring | APPLIED MATERIALS, INC. |
11053594 | Microetchant for copper and method for producing wiring board | MEC COMPANY LTD. |
11053607 | Silicon carbide epitaxial substrate and method for manufacturing silicon carbide semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11054174 | Semiconductor process by-product collecting device | MILAEBO CO., LTD. |
11054184 | Methods and apparatus for processing a substrate to remove moisture and/or residue | APPLIED MATERIALS, INC. |
11054317 | Method and apparatus for direct measurement of chucking force on an electrostatic chuck | APPLIED MATERIALS, INC. |
11054387 | Semiconductor devices with ion-sensitive field effect transistor | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11054463 | Method and system for measuring thermal stability factor of magnetic tunnel junction device, semiconductor integrated circuit, and production management method for semiconductor integrated circuit | TOHOKU UNIVERSITY |
11054468 | Segmented digital die ring | MICRON TECHNOLOGY, INC. |
11054707 | Method of manufacturing via hole, method of manufacturing array substrate, and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11054735 | Mask blank, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device | HOYA CORPORATION |
11054739 | Imprint apparatus, control method, imprint method and manufacturing method | CANON KABUSHIKI KAISHA |
11054749 | Photoresist stripping composition and method | VERSUM MATERIALS US, LLC |
11054752 | Device metrology targets and methods | KLA CORPORATION |
11054753 | Overlay monitoring | APPLIED MATERIALS ISRAEL LTD. |
11054801 | Data processing method, data processing apparatus, data processing system, and recording medium having recorded therein data processing program | SCREEN HOLDINGS CO., LTD. |
11054803 | Motor drive, production system and method thereof with quality measuring and mechanism diagnosing functions using real and virtual system modules | -- |
11055459 | Heterogeneous miniaturization platform | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11055631 | Automated meta parameter search for invariant based anomaly detectors in log analytics | NEC CORPORATION |
11056309 | Method and device for implanting ions in wafers | MI2-FACTORY GMBH |
11056317 | Microwave plasma source, microwave plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11056321 | Metal contamination reduction in substrate processing systems with transformer coupled plasma | LAM RESEARCH CORPORATION |
11056322 | Method and apparatus for determining process rate | LAM RESEARCH CORPORATION |
11056334 | Wafer processing method using a ring frame and a polyester sheet | DISCO CORPORATION |
11056335 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11056337 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11056338 | Method for printing wide bandgap semiconductor materials | THE JOHNS HOPKINS UNIVERSITY |
11056339 | Thin film electrode separation method using thermal expansion coefficient | OSONG MEDICAL INNOVATION FOUNDATION |
11056340 | Direct bonding process | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11056341 | Optical semiconductor element and method of manufacturing the same | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11056342 | Method for silicidation of semiconductor device, and corresponding semiconductor device | STMICROELECTRONICS (CROLLES 2) SAS |
11056343 | Providing a temporary protective layer on a graphene sheet | CARDEA BIO, INC. |
11056344 | Layer forming method | ASM IP HOLDING B.V. |
11056345 | Method for manufacturing semiconductor device | ASM IP HOLDING B.V. |
11056346 | Wafer processing method | DISCO CORPORATION |
11056347 | Method for dry etching compound materials | TOKYO ELECTRON LIMITED |
11056348 | Bonding surfaces for microelectronics | INVENSAS BONDING TECHNOLOGIES, INC. |
11056349 | Method of fabricating semiconductor device, vacuum processing apparatus and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11056350 | Retaining ring having inner surfaces with facets | APPLIED MATERIALS, INC. |
11056351 | Process monitor for wafer thinning | SYNAPTICS INCORPORATED |
11056352 | Magnetic slurry for highly efficient CMP | -- |
11056353 | Method and structure for wet etch utilizing etch protection layer comprising boron and carbon | ASM IP HOLDING B.V. |
11056354 | Semiconductor separation device | LUMENTUM TECHNOLOGY UK LIMITED |
11056355 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11056356 | Fluid viscosity control during wafer bonding | INTEL CORPORATION |
11056357 | Substrate processing apparatus and substrate processing apparatus assembling method | TOKYO ELECTRON LIMITED |
11056358 | Wafer cleaning apparatus and method | -- |
11056359 | Cleaning apparatus and substrate processing apparatus | EBARA CORPORATION |
11056360 | Substrate liquid processing apparatus and method, and computer-redable storage medium stored with substrate liquid processing program | TOKYO ELECTRON LIMITED |
11056361 | Laminate processing method | DISCO CORPORATION |
11056362 | Wafer heating and holding mechanism and method for rotary table, and wafer rotating and holding device | MIMASU SEMICONDUCTOR INDUSTRY CO., LTD. |
11056363 | Electromagnetic tool for transferring micro devices and methods of transfer | LUX NOUR TECHNOLOGIES, INC. |
11056364 | Method for substrate thinning | -- |
11056365 | Fault detection method in semiconductor fabrication facility | -- |
11056366 | Sample transport device with integrated metrology | KLA CORPORATION |
11056367 | Buffer unit, and apparatus for treating substrate with the unit | SEMES CO., LTD. |
11056368 | Chip transferring method and the apparatus thereof | -- |
11056369 | Substrate holding apparatus | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11056370 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
11056371 | Tool and method for cleaning electrostatic chuck | -- |
11056372 | Low temperature biasable substrate support | APPLIED MATERIALS, INC. |
11056373 | 3D fanout stacking | APPLE INC. |
11056374 | Protective member forming method | DISCO CORPORATION |
11056375 | Micro LED carrier board | -- |
11056376 | Removing an organic sacrificial material from a two-dimensional material | IMEC VZW |
11056377 | Collet inspection in a semiconductor pick and place apparatus | ASM TECHNOLOGY SINGAPORE PTE LTD |
11056378 | Workpiece holding method and workpiece processing method | DISCO CORPORATION |
11056379 | Clamp assembly | -- |
11056380 | Wafer positioning pedestal for semiconductor processing | LAM RESEARCH CORPORATION |
11056381 | Method for producing bonded SOI wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11056382 | Cavity formation within and under semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11056383 | Forming array contacts in semiconductor memories | MICRON TECHNOLOGY, INC. |
11056384 | Method for forming contact plug | -- |
11056385 | Selective formation of metallic films on metallic surfaces | ASM INTERNATIONAL N.V. |
11056386 | Two-dimensional (2D) self-aligned contact (or via) to enable further device scaling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056387 | Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11056388 | Mask-integrated surface protective tape | FURUKAWA ELECTRIC CO., LTD. |
11056389 | Method of manufacture of group III nitride semiconductor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11056390 | Structures and methods for reliable packages | INVENSAS CORPORATION |
11056391 | Subtractive vFET process flow with replacement metal gate and metallic source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056392 | FinFET devices having gate stacks with protruding parts and method of forming the same | -- |
11056393 | Method for FinFET fabrication and structure thereof | -- |
11056394 | Methods for fabricating FinFETs having different fin numbers and corresponding FinFETs thereof | -- |
11056395 | Transistor metal gate and method of manufacture | -- |
11056396 | Gate-all-around devices having gate dielectric layers of varying thicknesses and method of forming the same | -- |
11056397 | Directional spacer removal for integrated circuit structures | INTEL CORPORATION |
11056398 | Forming interconnect without gate cut isolation blocking opening formation | GLOBALFOUNDRIES U.S. INC. |
11056399 | Source and drain EPI protective spacer during single diffusion break formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056400 | Semiconductor device and method | -- |
11056401 | Semiconductor device and method for manufacturing the same | -- |
11056402 | Integrated circuit chip and manufacturing method therefor, and gate drive circuit | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11056403 | Wafer with beveled edge region and method for analyzing shape of the same | SK SILTRON CO., LTD. |
11056404 | Evaluating a hole formed in an intermediate product | APPLIED MATERIALS ISRAEL LTD. |
11056405 | Methods and systems for controlling wafer fabrication process | LAM RESEARCH CORPORATION |
11056406 | Stack of multiple deposited semiconductor layers | APPLIED MATERIALS, INC. |
11056407 | Semiconductor chips including through electrodes and methods of testing the through electrodes | SK HYNIX INC. |
11056410 | Method of manufacturing semiconductor package using alignment mark on wafer | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11056411 | Chip packaging structure | -- |
11056412 | Semiconductor package and manufacturing method thereof | -- |
11056419 | Semiconductor device having backside interconnect structure on through substrate via and method of forming the same | -- |
11056425 | Structural enhancement of Cu nanowires | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056426 | Metallization interconnect structure formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056428 | Semiconductor device extension insulation | -- |
11056429 | Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device | TESSERA, INC. |
11056430 | Thin film based semiconductor devices and methods of forming a thin film based semiconductor device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11056434 | Semiconductor device having specified p-type dopant concentration profile | -- |
11056435 | Semiconductor package with chamfered pads | -- |
11056436 | Integrated fan-out structure with rugged interconnect | -- |
11056437 | Panel-level chip device and packaging method thereof | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11056438 | Semiconductor packages and method of forming the same | -- |
11056439 | Optical chip ID definition using nanoimprint lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056440 | Methods of manufacturing semiconductor device and semiconductor device | -- |
11056443 | Apparatuses exhibiting enhanced stress resistance and planarity, and related methods | MICRON TECHNOLOGY, INC. |
11056444 | Surface-mount thin-film components having terminals configured for visual inspection | AVX CORPORATION |
11056445 | Package structure with buffer layer sandwiched between encapsulation layer and semiconductor substrate | -- |
11056446 | Semiconductor package device and semiconductor process | -- |
11056447 | Power module having at least one power semiconductor | SIEMENS AKTIENGESELLSCHAFT |
11056448 | Covert gates to protect gate-level semiconductors | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11056449 | Guard ring structures and their methods of fabrication | INTEL CORPORATION |
11056450 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11056451 | Semiconductor device manufacturing method and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11056453 | Stackable fully molded semiconductor structure with vertical interconnects | DECA TECHNOLOGIES USA, INC. |
11056455 | Negative fillet for mounting an integrated device die to a carrier | ANALOG DEVICES, INC. |
11056457 | Semiconductor device with bond wire reinforcement structure | NXP USA, INC. |
11056458 | Package comprising chip contact element of two different electrically conductive materials | INFINEON TECHNOLOGIES AG |
11056461 | Method of manufacturing fan-out wafer level package | SAMSUNG ELECTRONICS CO., LTD. |
11056463 | Arrangement of penetrating electrode interconnections | SONY CORPORATION |
11056464 | Packages with metal line crack prevention design | -- |
11056465 | Semiconductor package having singular wire bond on bonding pads | INTEL CORPORATION |
11056466 | Package on package thermal transfer systems and methods | INTEL CORPORATION |
11056468 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11056470 | Electronic package and method for fabricating the same | -- |
11056471 | Semiconductor device and method of manufacture | -- |
11056474 | Semiconductor package, semiconductor device and method of forming the same | -- |
11056475 | Semiconductor module | FUJI ELECTRIC CO., LTD. |
11056477 | Semiconductor device having a first cell row and a second cell row | SOCIONEXT INC. |
11056478 | Metal gate structure cutting process | -- |
11056479 | Chip, manufacturing method, and mobile terminal | GUANGDONG OPPO MOBILE TELECOMMUNICATIONS CORP., LTD. |
11056483 | Heterolithic microwave integrated circuits including gallium-nitride devices on intrinsic semiconductor | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11056485 | Semiconductor device having three-dimensional structure and method of manufacturing the same | SK HYNIX INC. |
11056486 | Semiconductor device with multiple threshold voltage and method of fabricating the same | -- |
11056487 | Single diffusion break local interconnect | QUALCOMM INCORPORATED |
11056490 | Process enhancement using double sided epitaxial on substrate | TEXAS INSTRUMENTS INCORPORATED |
11056491 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11056493 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056498 | Semiconductor device and manufacturing method therefor | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11056501 | Three-dimensional NAND memory device with source line comprising metallic and semiconductor layers | TOSHIBA MEMORY CORPORATION |
11056504 | Memory device | -- |
11056505 | Integrated assemblies having one or more modifying substances distributed within semiconductor material, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11056506 | Semiconductor device including stack structure and trenches | SAMSUNG ELECTRONICS CO., LTD. |
11056509 | Display device having a plurality of thin-film transistors with different semiconductors | LG DISPLAY CO., LTD. |
11056512 | Device substrate | -- |
11056521 | Imaging device and image sensor | NIKON CORPORATION |
11056526 | Imaging device camera system and driving method of the same | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11056530 | Semiconductor structure with metal connection layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11056531 | Method of fabricating a monolithic sensor device from a layered structure | THE UNIVERSITY COURT OF THE UNIVERSITY OF GLASGOW |
11056532 | Techniques for monolithic co-integration of polycrystalline thin-film bulk acoustic resonator devices and monocrystalline III-N semiconductor transistor devices | INTEL CORPORATION |
11056537 | Self-aligned gate contact integration with metal resistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056558 | Semiconductor device and semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11056560 | Hetero-epitaxial output device array with serial connections | HANGZHOU HAICUN INFORMATION TECHNOLOGY CO., LTD. |
11056561 | Silicided source/drain terminals for field-effect transistors | GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG. |
11056563 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11056564 | Method of manufacturing a memory device | -- |
11056565 | Flash memory device and method | LONGITUDE FLASH MEMORY SOLUTIONS LTD. |
11056566 | Split gate memory device and method of fabricating the same | -- |
11056567 | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures | ASM IP HOLDING B.V. |
11056568 | Method for manufacturing horizontal-gate-all-around devices with different number of nanowires | -- |
11056569 | Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions | ACORN SEMI, LLC |
11056570 | Nanosheet transistor with dual inner airgap spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056572 | Semiconductor device and method for manufacturing the same | SUZHOU HAN HUA SEMICONDUCTOR CO., LTD. |
11056573 | Implantation and annealing for semiconductor device | -- |
11056575 | Power semiconductor device with alternating source region and body contact region and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11056576 | Method of manufacturing semiconductor device | -- |
11056578 | Method of forming shaped source/drain epitaxial layers of a semiconductor device | -- |
11056580 | Semiconductor device and manufacturing method thereof | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11056583 | OR gate based on electron interference | MITSUBISHI ELECTRIC RESEARCH LABORATORIES, INC. |
11056585 | Small pitch super junction MOSFET structure and method | IPOWER SEMICONDUCTOR |
11056586 | Techniques for fabricating charge balanced (CB) trench-metal-oxide-semiconductor field-effect transistor (MOSFET) devices | GENERAL ELECTRIC COMPANY |
11056588 | Vertical transport field effect transistor with bottom source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11056591 | Epitaxial structures of semiconductor devices that are independent of local pattern density | GLOBALFOUNDRIES U.S. INC. |
11056593 | Semiconductor devices with metal contacts including crystalline alloys | INTEL CORPORATION |
11056594 | Semiconductor device having fin structures | -- |
11056596 | Semiconductor device and method of manufacturing the same | HYUNDAI MOTOR COMPANY |
11056602 | Device, system, and method for selectively tuning nanoparticles with graphene | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11056612 | Light emitting element | NICHIA CORPORATION |
11056627 | Light emitting device | NICHIA CORPORATION |
11056635 | Electronic component housing package, electronic device, and electronic module | KYOCERA CORPORATION |
11056669 | Flip-chip light emitting diode and manufacturing method thereof | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11056683 | Electrode plate processing device | CONTEMPORARY AMPEREX TECHNOLOGY CO., LIMITED |
11056704 | Hybrid active material structures for electrochemical cells | GRU ENERGY LAB INC. |
11057963 | Lamp infrared radiation profile control by lamp filament design and positioning | APPLIED MATERIALS, INC. |
11057992 | Connection structure | MIKUNI ELECTRON CORPORATION |
11057996 | Circuit board, method of manufacturing circuit board, and electronic device | FUJITSU INTERCONNECT TECHNOLOGIES LIMITED |
11058007 | Component carrier with two component carrier portions and a component being embedded in a blind opening of one of the component carrier portions | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11059061 | Apparatus for increasing flux from an ampoule | APPLIED MATERIALS, INC. |
11059137 | Tool set for use in position adjustment of positioning pins | EBARA CORPORATION |
11059183 | Apparatus, system and method for providing an end effector | JABIL INC. |
11059187 | Apparatus, system and method for providing a vacuum ejector for an end effector | JABIL INC. |
11059280 | Device and method for bonding substrates | EV GROUP E. THALLNER GMBH |
11059996 | Production method of polishing composition | FUJIMI INCORPORATED |
11059997 | Polishing composition | JGC CATALYSTS AND CHEMICALS LTD. |
11060051 | Composition for rinsing or cleaning a surface with ceria particles adhered | FUJIMI INCORPORATED |
11060182 | Method of forming metal layer, semiconductor device and method of fabricating same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11060183 | Apparatuses, systems and methods for applying protective coatings to electronic device assemblies | -- |
11060189 | Method to enable high temperature processing without chamber drifting | APPLIED MATERIALS, INC. |
11060190 | Substrate processing apparatus and control system | KOKUSAI ELECTRIC CORPORATION |
11060770 | Cooling system | TOKYO ELECTRON LIMITED |
11060845 | Polarization measurements of metrology targets and corresponding target designs | KLA CORPORATION |
11060983 | Evaluation method of silicon wafer | GLOBALWAFERS JAPAN CO., LTD. |
11061064 | Semiconductor device and method for detecting cracks | -- |
11061071 | Wafer inspection system, wafer inspection apparatus and prober | TOKYO ELECTRON LIMITED |
11061146 | Nanosheet radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11061315 | Hybrid optical and EUV lithography | GLOBALFOUNDRIES U.S. INC. |
11061317 | Method of fabricating an integrated circuit with non-printable dummy features | -- |
11061318 | Lithography model calibration | -- |
11061324 | Manufacturing method of replica template, manufacturing method of semiconductor device, and master template | TOSHIBA MEMORY CORPORATION |
11061330 | Methods and apparatuses for coating photoresist | THE INSTITUTE OF OPTICS AND ELECTRONICS, THE CHINESE ACADEMY OF SCIENCES |
11061333 | Manufacturing method of semiconductor device and semiconductor processing system | -- |
11061459 | Hybrid computing module | -- |
11062075 | Integrated circuit and method for manufacturing same | -- |
11062826 | Nanocomposite magnetic materials for magnetic devices and systems | UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INCORPORATED |
11062879 | Face-on, gas-assisted etching for plan-view lamellae preparation | FEI COMPANY |
11062881 | Plasma etching method and plasma processing device | TOKYO ELECTRON LIMITED |
11062882 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11062883 | Atomic layer deposition apparatus | THE JAPAN STEEL WORKS, LTD. |
11062884 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11062886 | Apparatus and method for controlling wafer uniformity | -- |
11062887 | High temperature RF heater pedestals | APPLIED MATERIALS, INC. |
11062897 | Metal doped carbon based hard mask removal in semiconductor fabrication | LAM RESEARCH CORPORATION |
11062898 | Particle removal apparatus, particle removal system and particle removal method | -- |
11062899 | Coated film removing apparatus | TOKYO ELECTRON LIMITED |
11062900 | Method of reducing effective oxide thickness in a semiconductor structure | APPLIED MATERIALS, INC. |
11062901 | Low-k dielectric and processes for forming same | -- |
11062902 | Heterostructure system and method of fabricating the same | RAMOT AT TEL-AVIV UNIVERSITY LTD. |
11062903 | Method and apparatus for manufacturing semiconductor device | -- |
11062904 | Method of forming polysilicon film and film forming apparatus | TOKYO ELECTRON LIMITED |
11062905 | Patterning process of a semiconductor structure with a middle layer | -- |
11062906 | Silicon implantation in substrates and provision of silicon precursor compositions therefor | ENTEGRIS, INC. |
11062907 | Nitride semiconductor device | FUJI ELECTRIC CO., LTD. |
11062908 | Contact structure | -- |
11062909 | Interconnect structure having a carbon-containing barrier layer | -- |
11062910 | Surface treatment of silicon or silicon germanium surfaces using organic radicals | MATTSON TECHNOLOGY, INC. |
11062911 | Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic | TESSERA, INC. |
11062912 | Atomic layer etch process using plasma in conjunction with a rapid thermal activation process | MATTSON TECHNOLOGY, INC. |
11062913 | Etching process with in-situ formation of protective layer | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11062914 | Removal of surface passivation | ASM IP HOLDING B.V. |
11062915 | Redistribution structures for semiconductor packages and methods of forming the same | -- |
11062916 | Method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11062917 | Packaging method, panel assembly, wafer package and chip package | PEP INNOVATION PTE. LTD. |
11062918 | Substrate processing apparatus and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPOTATION |
11062919 | Dike for semiconductor/LCD manufacturing and processing equipment | -- |
11062920 | Ion injector and lens system for ion beam milling | LAM RESEARCH CORPORATION |
11062921 | Systems and methods for aluminum-containing film removal | APPLIED MATERIALS, INC. |
11062922 | Substrate liquid processing apparatus | TOKYO ELECTRON LIMITED |
11062923 | Apparatus to control transfer parameters during transfer of semiconductor devices | ROHINNI, LLC |
11062924 | Semiconductor packaging apparatus and method of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11062925 | Semiconductor manufacturing apparatus and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11062926 | Wafer charges monitoring | -- |
11062927 | Device and method for contactlessly transferring at least partly ferromagnetic electronic components from a carrier to a substrate | MUEHLBAUER GMBH & CO. KG |
11062928 | Process optimization using design of experiments and response surface models | KLA CORPORATION |
11062929 | Device and method for treating substrates using a support roller having a porous material | SINGULUS TECHNOLOGIES AG |
11062930 | Substrate processing device and substrate conveying method | TOKYO ELECTRON LIMITED |
11062931 | Semiconductor apparatus with inner wafer carrier buffer and method | -- |
11062932 | Method of transferring micro devices | MIKRO MESA TECHNOLOGY CO., LTD. |
11062933 | Die placement and coupling apparatus | INTEL CORPORATION |
11062934 | Vacuum chuck for clamping workpieces, measuring devices and method for checking workpieces, in particular wafers | HELMUT FISCHER GMBH INSTITUT FüR ELEKTRONIK UND MESSTECHNIK |
11062935 | Low profile wafer manipulator | KLA CORPORATION |
11062936 | Transfer stamps with multiple separate pedestals | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11062937 | Dielectric isolation for nanosheet devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062938 | Semiconductor device and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11062939 | High bias deposition of high quality gapfill | APPLIED MATERIALS, INC. |
11062940 | Organometallic precursors, methods of forming a layer using the same and methods of manufacturing semiconductor devices using the same | SAMSUNG ELECTRONICS CO., LTD. |
11062941 | Contact conductive feature formation and structure | -- |
11062942 | Methods for controllable metal and barrier-liner recess | MICROMATERIALS LLC |
11062943 | Top via interconnects with wrap around liner | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062945 | Methods for reducing contact depth variation in semiconductor fabrication | -- |
11062946 | Self-aligned contact on a semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062947 | Inorganic dies with organic interconnect layers and related structures | INTEL CORPORATION |
11062948 | Wafer processing method | DISCO CORPORATION |
11062949 | Method of manufacturing power device with improved the utilization rate of wafer area | -- |
11062950 | Electronic devices and systems, and methods for making and using the same | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11062951 | Method of manufacturing of a field effect transistor having a junction aligned with spacers | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11062952 | Method of forming a semiconductor structure by sacrificial layers and spacer | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11062953 | Semiconductor device and method for fabricating the same | -- |
11062954 | Semiconductor device and method for fabricating the same | -- |
11062955 | Vertical transistors having uniform channel length | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062956 | Low resistance source-drain contacts using high temperature silicides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062957 | FinFET device with wrapped-around epitaxial structure and manufacturing method thereof | -- |
11062959 | Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062960 | Shared contact trench comprising dual silicide layers and dual epitaxial layers for source/drain layers of NFET and PFET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062961 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11062962 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11062963 | Structure and process of integrated circuit having latch-up suppression | -- |
11062964 | Method for manufacturing semiconductor device, and mounting apparatus | SHINKAWA LTD. |
11062965 | Flipped vertical field-effect-transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062966 | Defect detection structure of a semiconductor die, semiconductor device including the same and method of detecting defects in semiconductor die | SAMSUNG ELECTRONICS CO., LTD. |
11062967 | Display device | SAMSUNG DISPLAY CO., LTD. |
11062968 | Package structure and method for forming the same | -- |
11062969 | Wafer level chip scale package structure and manufacturing method thereof | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. |
11062971 | Package structure and method and equipment for forming the same | -- |
11062974 | Bonded body, power module substrate, method for manufacturing bonded body, and method for manufacturing power module substrate | MITSUBISHI MATERIALS CORPORATION |
11062975 | Package structures | -- |
11062976 | Functional stiffener that enables land grid array interconnections and power decoupling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062977 | Shield structure for backside through substrate vias (TSVs) | -- |
11062978 | Semiconductor package and method | -- |
11062979 | High-frequency device and manufacturing method thereof | -- |
11062980 | Integrated circuit packages with wettable flanks and methods of manufacturing the same | TEXAS INSTRUMENTS INCORPORATED |
11062983 | Substrate for mounting semiconductor element | OHKUCHI MATERIALS CO., LTD. |
11062984 | Methods for forming semiconductor devices | MICRON TECHNOLOGY, INC. |
11062985 | Wiring structure having an intermediate layer between an upper conductive structure and conductive structure | -- |
11062986 | Articles having vias with geometry attributes and methods for fabricating the same | CORNING INCORPORATED |
11062987 | Semiconductor device | -- |
11062988 | 3D IC decoupling capacitor structure and method for manufacturing the same | -- |
11062990 | Semiconductor package of using insulating frame | NEPES LAWEH CORPORATION |
11062993 | Contacts having a geometry to reduce resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11062994 | Semiconductor device package and method of manufacturing the same | -- |
11062995 | Interconnect fabricated with flowable copper | INTEL CORPORATION |
11062996 | Embedded component package structure and manufacturing method thereof | -- |
11062997 | Method for forming chip package structure | -- |
11062998 | Semiconductor package and manufacturing method thereof | -- |
11062999 | Semiconductor package and antenna module comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11063000 | Semiconductor package authentication feature | INFINEON TECHNOLOGIES AG |
11063001 | Semiconductor device and method of manufacturing thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11063002 | Methods related to shielded module having compression overmold | SKYWORKS SOLUTIONS, INC. |
11063003 | Semiconductor device with diced semiconductor chips and method for manufacturing the same | -- |
11063004 | Semiconductor device, control device, and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11063005 | Via rail solution for high power electromigration | -- |
11063006 | Semiconductor device structure with fine patterns forming varied height spacer and method for forming the same | -- |
11063007 | Semiconductor device and method of manufacture | -- |
11063012 | Semiconductor structure having buffer under bump pad and manufacturing method thereof | -- |
11063015 | Semiconductor device package and method of manufacturing the same | -- |
11063016 | Integrated fan-out package including voltage regulators and methods forming same | -- |
11063019 | Package structure, chip structure and method of fabricating the same | -- |
11063020 | Semiconductor device, manufacturing method for semiconductor device, and electronic device | SONY CORPORATION |
11063021 | Microelectronics package with vertically stacked dies | QORVO US, INC. |
11063022 | Package and manufacturing method of reconstructed wafer | -- |
11063023 | Semiconductor package | -- |
11063024 | Method to form a 3D semiconductor device and structure | MONLITHIC 3D INC. |
11063027 | Semiconductor die with improved thermal insulation between a power portion and a peripheral portion, method of manufacturing, and package housing the die | STMICROELECTRONICS S.R.L. |
11063032 | Semiconductor device layout | -- |
11063035 | Semiconductor integrated circuit device | SOCIONEXT INC. |
11063036 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11063038 | Through silicon via design for stacking integrated circuits | -- |
11063039 | Semiconductor device structure and method for forming the same | -- |
11063040 | Quantum dot devices | INTEL CORPORATION |
11063041 | Integrated circuit device including a power supply line and method of forming the same | -- |
11063042 | Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures | -- |
11063043 | Method for forming fin field effect transistor (FinFet) device structure | -- |
11063044 | Metal gate modulation to improve kink effect | -- |
11063045 | Semiconductor device and method of manufacturing the same | -- |
11063050 | Semiconductor device with air gaps and method for fabricating the same | -- |
11063052 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION SHANGHAI, CHINA |
11063053 | Integrated circuit and static random access memory thereof | -- |
11063054 | Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors | MICRON TECHNOLOGY, INC. |
11063055 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11063058 | Memory device with metal gate | -- |
11063059 | Semiconductor structures including dielectric materials having differing removal rates | MICRON TECHNOLOGY, INC. |
11063060 | Methods of manufacturing a vertical memory device | SAMSUNG ELECTRONICS CO., LTD. |
11063061 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11063063 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11063066 | C-axis alignment of an oxide film over an oxide semiconductor film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11063069 | Method for manufacturing display substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11063073 | Apparatus and methods for curved focal plane array | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11063078 | Anti-flare semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11063081 | Device over photodetector pixel sensor | -- |
11063111 | Semiconductor structure and manufacturing method for the same | -- |
11063112 | DRAM interconnect structure having ferroelectric capacitors exhibiting negative capacitance | STMICROELECTRONICS, INC. |
11063113 | Capacitor and method for fabricating the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
11063114 | III-N to rare earth transition in a semiconductor structure | IQE PLC |
11063115 | Semiconductor device and method of making thereof | GENERAL ELECTRIC COMPANY |
11063117 | Semiconductor device structure having carrier-trapping layers with different grain sizes | -- |
11063118 | TeraHertz capable integrated circuit | AVEROSES INCORPORATED |
11063119 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11063120 | Metal-graphene structures forming a lattice of interconnected segments | TEXAS INSTRUMENTS INCORPORATED |
11063123 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11063126 | Metal contact isolation for semiconductor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063128 | Conformal source and drain contacts for multi-gate field effect transistors | -- |
11063129 | Self-limiting fin spike removal | ELPIS TECHNOLOGIES INC. |
11063131 | Ferroelectric or anti-ferroelectric trench capacitor with spacers for sidewall strain engineering | INTEL CORPORATION |
11063132 | Semiconductor device layout structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11063133 | Fin cut and fin trim isolation for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11063134 | Vertical transistors with top spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063135 | Semiconductor device and method for fabricating the same | -- |
11063136 | Semiconductor device structures with composite spacers and fabrication methods thereof | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11063137 | Asymmetric spacer for low capacitance applications | INTEL CORPORATION |
11063141 | Insulated gate field effect bipolar transistor and manufacturing method thereof | -- |
11063142 | Semiconductor device including silicon carbide body and method of manufacturing | INFINEON TECHNOLOGIES AG |
11063147 | Forming bottom source and drain extension on vertical transport FET (VTFET) | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063148 | High voltage depletion mode MOS device with adjustable threshold voltage and manufacturing method thereof | -- |
11063149 | Semiconductor device and manufacturing method thereof | -- |
11063150 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11063151 | Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures | INTEL CORPORATION |
11063153 | Thin-film transistor structure and manufacturing method thereof, and display panel having the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11063155 | Display device including thin film transistor with active layer portions having different thicknesses | SAMSUNG DISPLAY CO., LTD. |
11063156 | Memory device and manufacturing method thereof | -- |
11063157 | Trench capacitor profile to decrease substrate warpage | -- |
11063175 | Display device, substrate for display device and method for repairing display device | SEOUL SEMICONDUCTOR CO., LTD. |
11063177 | Process for producing adjacent chips comprising LED wires and device obtained by the process | ALEDIA |
11063216 | Confined phase change memory with double air gap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11063218 | Method of fabricating semiconductor devices using a two-step gap-fill process | SAMSUNG ELECTRONICS CO., LTD. |
11063559 | High-implant channel semiconductor device and method for manufacturing the same | -- |
11064598 | Static eliminator and static eliminating method | SCREEN HOLDINGS CO., LTD. |
11064609 | Printable 3D electronic structure | X DISPLAY COMPANY TECHNOLOGY LIMITED |
11065639 | Coating treatment method, computer storage medium and coating treatment apparatus | TOKYO ELECTRON LIMITED |
11065650 | Nozzle cleaning device and nozzle drying method | FUJI CORPORATION |
11065654 | In situ vapor deposition polymerization to form polymers as precursors to viscoelastic fluids for particle removal from substrates | LAM RESEARCH CORPORATION |
11065709 | Metal analyzing plasma CNC cutting machine and associated methods | -- |
11065717 | Laser processing apparatus | DISCO CORPORATION |
11065722 | Laser processing apparatus and laser processing method | TOKYO SEIMITSU CO., LTD. |
11065734 | Film thickness measuring device and polishing device | EBARA CORPORATION |
11065735 | Manufacturing method of carrier for double-side polishing apparatus and method of double-side polishing wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11065805 | Warpage reduction device and warpage reduction method | EO TECHNICS CO., LTD. |
11066248 | Article transport device | DAIFUKU CO., LTD. |
11066284 | Article transport vehicle | DAIFUKU CO., LTD. |
11066571 | Pattern forming method, under coating agent, and laminate | OJI HOLDINGS CORPORATION |
11066575 | Chemical mechanical planarization for tungsten-containing substrates | VERSUM MATERIALS US, LLC |
11066577 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11066601 | Composition for etching, method for etching insulator and method for manufacturing semiconductor device, and novel compounds | SK INNOVATION CO., LTD. |
11066627 | Cleaning agent composition for semiconductor device substrate, method of cleaning semiconductor device substrate, method of manufacturing semiconductor device substrate, and semiconductor device substrate | MITSUBISHI CHEMICAL CORPORATION |
11066739 | Sputtering target, method for manufacturing sputtering target, and method for forming thin film | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11066744 | Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11066754 | Apparatus for electrochemically processing semiconductor substrates | SPTS TECHNOLOGIES LIMITED |
11066755 | Plating apparatus and plating method | EBARA CORPORATION |
11066756 | Crystal growth apparatus, method for manufacturing silicon carbide single crystal, silicon carbide single crystal substrate, and silicon carbide epitaxial substrate | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11066757 | Diamond substrate and freestanding diamond substrate | SHIN-ETSU CHEMICAL CO., LTD. |
11067466 | Pressure sensor devices and methods for manufacturing pressure sensor devices | INFINEON TECHNOLOGIES AG |
11067515 | Apparatus and method for inspecting a wafer process chamber | -- |
11067624 | Inspection system | TOKYO ELECTRON LIMITED |
11067889 | Compound, composition, and method for producing same, underlayer film forming material for lithography, composition for underlayer film formation for lithography, and purification method | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11067891 | Temperature controlling apparatus | -- |
11067893 | Compositions and processes for self-assembly of block copolymers | MERCK PATENT GMBH |
11067894 | Exposure method, exposure apparatus, and device manufacturing method | NIKON CORPORATION |
11067896 | Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11068635 | Method of designing a mask and method of manufacturing a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11068636 | Method for semiconductor package and semiconductor package design system | SAMSUNG ELECTRONICS CO., LTD. |
11069419 | Test line letter for embedded non-volatile memory technology | -- |
11069511 | System and methods using an inline surface engineering source | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11069512 | Film forming apparatus and gas injection member used therefor | TOKYO ELECTRON LIMITED |
11069514 | Remote capacitively coupled plasma source with improved ion blocker | APPLIED MATERIALS, INC. |
11069520 | Substrate processing method | TOKYO ELECTRON LIMITED |
11069521 | Subnanometer-level light-based substrate cleaning mechanism | PLANAR SEMICONDUCTOR, INC. |
11069522 | Si precursors for deposition of SiN at low temperatures | ASM IP HOLDING B.V. |
11069523 | Method of material deposition | FEI COMPANY |
11069524 | Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices | LUMILEDS LLC |
11069525 | Methods for using remote plasma chemical vapor deposition (RP-CVD) and sputtering deposition to grow layers in light emitting devices | LUMILEDS LLC |
11069526 | Using a self-assembly layer to facilitate selective formation of an etching stop layer | -- |
11069527 | Laser assisted SiC growth on silicon | BOARD OF TRUSTEES OF MICHIGAN STATE UNIVERSITY |
11069528 | Semiconductor device and method | -- |
11069529 | Semiconductor device with at least one lower-surface side lifetime control region | FUJI ELECTRIC CO., LTD. |
11069530 | Etching platinum-containing thin film using protective cap layer | TEXAS INSTRUMENTS INCORPORATED |
11069531 | Replacement gate methods that include treating spacers to widen gate | -- |
11069532 | Method for manufacturing nickel silicide | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11069533 | CMP system and method of use | -- |
11069534 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11069535 | Atomic layer etch of tungsten for enhanced tungsten deposition fill | LAM RESEARCH CORPORATION |
11069536 | Device manufacturing method | TOKYO ELECTRON LIMITED |
11069537 | Method for delidding a hermetically sealed circuit package | HAMILTON SUNDSTRAND CORPORATION |
11069538 | Semiconductor device and method of manufacturing semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11069539 | 3D packages and methods for forming the same | -- |
11069540 | Package on package and a method of fabricating the same | -- |
11069541 | Semiconductor device package for debonding substrate assembly from carrier substrate using light and method of manufacturing same | SAMSUNG ELECTRONICS CO., LTD. |
11069542 | Cleaning water supply device | KURITA WATER INDUSTRIES LTD. |
11069543 | Laminate processing method | DISCO CORPORATION |
11069544 | Rapid thermal processing method and apparatus for programming the pinned layer of spintronic devices | MULTIDIMENSION TECHNOLOGY CO., LTD. |
11069545 | Substrate processing apparatus, temperature control method, and temperature control program | TOKYO ELECTRON LIMITED |
11069546 | Substrate processing system | TOKYO ELECTRON LIMITED |
11069547 | In-situ temperature measurement for inside of process chamber | APPLIED MATERIALS, INC. |
11069548 | Substrate processing method and substrate processing system | TOKYO ELECTRON LIMITED |
11069549 | Overhead transport vehicle, overhead transport system, and control method for overhead transport vehicle | MURATA MACHINERY, LTD. |
11069550 | Load port | HIRATA CORPORATION |
11069551 | Method of dampening a force applied to an electrically-actuatable element | ROHINNI, LLC |
11069553 | Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity | LAM RESEARCH CORPORATION |
11069554 | Carbon nanotube electrostatic chuck | APPLIED MATERIALS, INC. |
11069555 | Die attach systems, and methods of attaching a die to a substrate | ASSEMBLEON B.V. |
11069556 | Micro component structure | -- |
11069557 | Method for producing thin wafer | SHIN-ETSU CHEMICAL CO., LTD. |
11069558 | Dummy fin structures and methods of forming same | -- |
11069559 | Semiconductor structure and method of forming same | NEXCHIP SEMICONDUCTOR CORPORATION |
11069560 | Method of transferring device layer to transfer substrate and highly thermal conductive substrate | SHIN-ETSU CHEMICAL CO., LTD. |
11069561 | Methods of forming electronic devices, and related electronic devices and electronic systems | MICRON TECHNOLOGY, INC. |
11069562 | Passivation layer for integrated circuit structure and forming the same | -- |
11069563 | Method for processing substrate and substrate processing apparatus | EBARA CORPORATION |
11069564 | Double metal patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069565 | Semiconductor interconnect structure and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION |
11069566 | Hybrid sidewall barrier facilitating low resistance interconnection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069567 | Modulating metal interconnect surface topography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069568 | Ultra-thin diffusion barriers | APPLIED MATERIALS, INC. |
11069569 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11069570 | Method for forming an interconnect structure | -- |
11069571 | High speed, high density, low power die interconnect system | GULA CONSULTING LIMITED LIABILITY COMPANY |
11069572 | Semiconductor device and forming method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069573 | Wafer level package structure and method of forming same | -- |
11069574 | Wafer processing method including applying a polyester sheet to a wafer | DISCO CORPORATION |
11069575 | Semiconductor device and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069576 | Method of forming multi-threshold voltage devices using dipole-high dielectric constant combinations and devices so formed | SAMSUNG ELECTRONICS CO., LTD. |
11069577 | Nanosheet transistors with different gate dielectrics and workfunction metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069578 | Method of manufacturing a semiconductor device | -- |
11069579 | Semiconductor device and method | -- |
11069580 | Method of manufacturing a semiconductor device including a plurality of channel patterns | SAMSUNG ELECTRONICS CO., LTD. |
11069581 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11069582 | Semiconductor manufacturing equipment and semiconductor manufacturing method | MITSUBISHI ELECTRIC CORPORATION |
11069583 | Apparatus and method for the minimization of undercut during a UBM etch process | VEECO INSTRUMENTS INC. |
11069584 | Inspection method for micro LED | POINT ENGINEERING CO., LTD. |
11069585 | Semiconductor substrate crack mitigation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11069586 | Chip-on-film package | -- |
11069588 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069590 | Wafer-level fan-out package with enhanced performance | QORVO US, INC. |
11069591 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11069594 | Methods of forming electronic assemblies with inverse opal structures using variable current density electroplating | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11069596 | Through silicon contact structure and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069597 | Semiconductor chips and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069598 | Memory arrays and methods used in forming a memory array and conductive through-array-vias (TAVs) | MICRON TECHNOLOGY, INC. |
11069600 | Semiconductor package with space efficient lead and die pad design | INFINEON TECHNOLOGIES AG |
11069601 | Leadless semiconductor package with wettable flanks | STMICROELECTRONICS, INC. |
11069604 | Semiconductor package and method of making the same | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN) LTD. GRAND |
11069605 | Wiring structure having low and high density stacked structures | -- |
11069606 | Fabrication process and structure of fine pitch traces for a solid state diffusion bond on flip chip interconnect | COMPASS TECHNOLOGY COMPANY LIMITED |
11069608 | Semiconductor structure and manufacturing method thereof | -- |
11069609 | Techniques for forming vias and other interconnects for integrated circuit structures | INTEL CORPORATION |
11069610 | Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems | MICRON TECHNOLOGY, INC. |
11069611 | Liner-free and partial liner-free contact/via structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069612 | Semiconductor devices having electrically and optically conductive vias, and associated systems and methods | MICRON TECHNOLOGY, INC. |
11069613 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11069614 | Semiconductor package structure | -- |
11069618 | Line structure and a method for producing the same | DAI NIPPON PRINTING CO., LTD. |
11069622 | Interposer-type component carrier and method of manufacturing the same | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11069623 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11069624 | Electrical devices and methods of manufacture | FARADAY SEMI, INC. |
11069625 | Method for forming package structure | -- |
11069630 | Structures and methods for reducing thermal expansion mismatch during integrated circuit packaging | -- |
11069639 | Semiconductor module, electronic component and method of manufacturing a semiconductor module | INFINEON TECHNOLOGIES AUSTRIA AG |
11069642 | Package structure and method of manufacturing the same | -- |
11069643 | Semiconductor device manufacturing method | FUJI ELECTRIC CO., LTD. |
11069650 | Bonding condition evaluation apparatus | SHINKAWA LTD. |
11069651 | Method of mounting die | SHINKAWA LTD. |
11069652 | Method of manufacturing semiconductor structure | -- |
11069653 | Methods and structures for packaging semiconductor dies | -- |
11069654 | Metal frame, dummy wafer, semiconductor device, electronic device, and method of manufacturing semiconductor device | SONY CORPORATION |
11069655 | Semiconductor device including two or more chips mounted over wiring substrate | MICRON TECHNOLOGY, INC. |
11069656 | Three-layer package-on-package structure and method forming same | -- |
11069657 | Chip package having die structures of different heights and method of forming same | -- |
11069658 | System on integrated chips and methods of forming same | -- |
11069664 | Micro-LED module and method for fabricating the same | LUMENS CO., LTD. |
11069665 | Trimmable banked capacitor | APPLE INC. |
11069667 | Wafer level proximity sensor | STMICROELECTRONICS PTE LTD |
11069671 | Semiconductor package and method | -- |
11069672 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11069673 | Semiconductor package and manufacturing method thereof | -- |
11069676 | Semiconductor device and method for fabricating the same | -- |
11069677 | Semiconductor device comprising metal-insulator-metal (MIM) capacitor | GLOBALFOUNDRIES INC. |
11069678 | Logic gate cell structure | QORVO US, INC. |
11069679 | Reducing gate resistance in stacked vertical transport field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069680 | FinFET-based integrated circuits with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069682 | Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods | STMICROELECTRONICS, INC. |
11069683 | Self restoring logic structures | ICS LLC |
11069684 | Stacked field effect transistors with reduced coupling effect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069685 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069686 | Techniques for enhancing vertical gate-all-around FET performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069691 | Memory cell array with large gate widths | GLOBALFOUNDRIES U.S. INC. |
11069693 | Method for improving control gate uniformity during manufacture of processors with embedded flash memory | -- |
11069694 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11069695 | Floating gate test structure for embedded memory device | -- |
11069698 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11069702 | Semiconductor device and manufacturing method thereof | TOSHIBA MEMORY CORPORATION |
11069703 | Three-dimensional device with bonded structures including a support die and methods of making the same | SANDISK TECHNOLOGIES LLC |
11069704 | 3D NOR memory having vertical gate structures | -- |
11069705 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069707 | Variable die size memory device and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11069711 | 3-dimensional nor memory array with very fine pitch: device and method | SUNRISE MEMORY CORPORATION |
11069712 | Three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11069713 | Semiconductor memory element, other elements, and their production methods | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11069714 | Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit | -- |
11069715 | Memory structure | -- |
11069722 | Active matrix substrate and method of manufacturing same | SHARP KABUSHIKI KAISHA |
11069723 | Method for manufacturing thin film transistor, thin film transistor, and display apparatus | CHENGDU CEC PANDA DISPLAY TECHNOLOGY CO., LTD. |
11069724 | Array substrate, manufacturing method thereof and display device using the same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069725 | Display substrate and method of preparing the same, and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11069734 | Image sensor device | INVENSAS CORPORATION |
11069738 | Infrared detector and infrared sensor including the same | SAMSUNG ELECTRONICS CO., LTD. |
11069745 | Memory device | TOSHIBA MEMORY CORPORATION |
11069751 | Display device | SAMSUNG ELECTRONICS CO., LTD. |
11069775 | Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETS | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069776 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11069778 | Silicon carbide components and methods for producing silicon carbide components | INFINEON TECHNOLOGIES AG |
11069779 | Silicon carbide semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11069780 | Coating liquid for forming oxide, method for producing oxide film, and method for producing field-effect transistor | RICOH COMPANY, LTD. |
11069781 | Crystalline semiconductor film, plate-like body and semiconductor device | FLOSFIA INC. |
11069782 | Semiconductor device comprising a gradually increasing field dielectric layer and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11069783 | Semiconductor device, semiconductor module, and packaged semiconductor device | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11069784 | Semiconductor device and method of manufacture | -- |
11069785 | Semiconductor device and fabrication method thereof | -- |
11069786 | Controlling execution of software by combining secure boot and trusted boot features | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11069789 | Varied silicon richness silicon nitride formation | MONTEREY RESEARCH, LLC |
11069791 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11069792 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11069793 | Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers | -- |
11069794 | Trench power transistor and method of producing the same | -- |
11069795 | Transistors with channel and sub-channel regions with distinct compositions and dimensions | INTEL CORPORATION |
11069796 | Manufacturing method of semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11069799 | Amorphous metal hot electron transistor | AMORPHYX, INCORPORATED |
11069801 | Semiconductor device, electronic apparatus, and method of manufacturing semiconductor device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11069803 | Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11069806 | Integrated circuit including a low-noise amplifying circuit with asymmetrical source and drain regions and a logic circuit with symmetrical source and drain regions | -- |
11069807 | Ferroelectric structure for semiconductor devices | -- |
11069810 | Semiconductor device having a shaped epitaxial region | -- |
11069811 | Semiconductor device structure and method for forming the same | -- |
11069812 | Fin field-effect transistor device and method of forming the same | -- |
11069813 | Localized heating in laser annealing process | -- |
11069815 | Radiation hardened thin-film transistors | AUBURN UNIVERSITY |
11069819 | Field-effect transistors with channel regions that include a two-dimensional material on a mandrel | GLOBALFOUNDRIES U.S. INC. |
11069820 | FinFET devices having active patterns and gate spacers on field insulating layers | SAMSUNG ELECTRONICS CO., LTD. |
11069821 | Semiconductor device and manufacturing method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11069822 | Transition metal chalcogenide van der waals films, methods of making same, and apparatuses and devices comprising same | CORNELL UNIVERSITY |
11069828 | Method for manufacturing photoelectric conversion device | KANEKA CORPORATION |
11069836 | Methods for growing light emitting devices under ultra-violet illumination | LUMILEDS LLC |
11069848 | Methods for fabrication, manufacture and production of an autonomous electrical power source | FACE INTERNATIONAL CORPORATION |
11069857 | Display device and method of inspecting the same | SAMSUNG DISPLAY CO., LTD. |
11070031 | Low voltage laser diodes on {20-21} gallium and nitrogen containing surfaces | KYOCERA SLD LASER, INC. |
11071191 | Extreme ultraviolet radiation source and cleaning method thereof | -- |
11071207 | Electronic module | IMBERATEK, LLC |
11071513 | Test key design to enable X-ray scatterometry measurement | -- |
11072049 | Polishing pad having arc-shaped configuration | APPLIED MATERIALS, INC. |
11072051 | Platen rotation method | -- |
11072493 | Transport system and transport method | MURATA MACHINERY, LTD. |
11072502 | Substrate tilt control in high speed rotary sorter | APPLIED MATERIALS, INC. |
11072622 | Synthesis and use of precursors for ALD of tellurium and selenium thin films | ASM INTERNATIONAL N.V. |
11072672 | Process for producing modified molded article, molded article, diaphragm, and diaphragm valve | DAIKIN INDUSTRIES, LTD. |
11072714 | Nanotube solution treated with molecular additive, nanotube film having enhanced adhesion property, and methods for forming the nanotube solution and the nanotube film | NANTERO, INC. |
11072726 | Low oxide trench dishing chemical mechanical polishing | VERSUM MATERIALS US, LLC |
11072767 | Treatment liquid, kit, and method for washing substrate | FUJIFILM CORPORATION |
11072856 | Vapor phase growth method | NUFLARE TECHNOLOGY, INC. |
11072858 | Pulsing mixture of precursor and supercritical fluid to treat substrate surface | NOVA ENGINEERING FILMS, INC. |
11072859 | Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11073215 | Gas supply system | FUJIKIN INCORPORATED |
11073309 | Temperature control device | SHINWA CONTROLS CO., LTD. |
11073333 | Substrate treating apparatus and exhaust method thereof | SCREEN HOLDINGS CO., LTD. |
11073487 | Methods and systems for characterization of an x-ray beam with high spatial resolution | KLA-TENCOR CORPORATION |
11073538 | Electrical testing apparatus with lateral movement of a probe support substrate | INTEL CORPORATION |
11073575 | Magnetoresistance effect element, magnetic sensor and magnetic memory | TDK CORPORATION |
11073761 | Semiconductor resist composition, and method of forming patterns using the composition | SAMSUNG SDI CO., LTD. |
11074387 | Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075055 | Automated multi-grid handling apparatus | HARVARD |
11075058 | Spatially variable wafer bias power system | EAGLE HARBOR TECHNOLOGIES, INC. |
11075062 | Vacuum processing apparatus | TOKYO ELECTRON LIMITED |
11075070 | Monocrystalline semiconductor wafer and method for producing a semiconductor wafer | SILTRONIC AG |
11075071 | Method for processing wafer | TOKYO SEIMITSU CO., LTD. |
11075072 | Wafer scale ultrasonic sensing device and manufacturing method thereof | -- |
11075073 | Cleaning chemical composition for the removal of an amorphous passivation layer at the surface of crystalline materials | TECHNIC FRANCE |
11075074 | Method for depositing a silicon nitride film and film deposition apparatus | TOKYO ELECTRON LIMITED |
11075075 | Semiconductor device including metal oxide with multiple regions | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11075076 | Method for manufacturing a semiconductor device and film deposition apparatus | TOKYO ELECTRON LIMITED |
11075077 | Nitride semiconductor template and nitride semiconductor device | SCIOCS COMPANY LIMITED |
11075078 | Method for making a semiconductor device including a superlattice within a recessed etch | ATOMERA INCORPORATED |
11075079 | Directional deposition for semiconductor fabrication | -- |
11075081 | Semiconductor device with multiple threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075082 | Semiconductor device and manufacturing method thereof | -- |
11075083 | Si-passivated GE gate stack | IMEC VZW |
11075084 | Chemistries for etching multi-stacked layers | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11075085 | Wafer polishing method | SUMCO CORPORATION |
11075086 | Method for etching deep, high-aspect ratio features into silicon carbide and gallium nitride | CORPORATION FOR NATIONAL RESEARCH INITIATIVES |
11075087 | Focus ring for plasma etcher | -- |
11075088 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075089 | Method of plasma etching and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11075090 | Semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075091 | Method for manufacturing semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11075092 | Multi-layer substrate | MURATA MANUFACTURING CO., LTD. |
11075093 | Assembly of a carrier and a plurality of electrical circuits fixed thereto, and method of making the same | CARDLAB APS |
11075094 | Substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11075095 | Substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11075096 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11075097 | 3D IC bump height metrology APC | -- |
11075098 | Pod and method for containing a reticle using the same | -- |
11075099 | Substrate storage container | SHIN-ETSU POLYMER CO., LTD. |
11075100 | Container for storing wafer | SEONGNAM |
11075101 | Indexer apparatus, substrate treating apparatus, method for controlling indexer apparatus, and method for controlling substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11075102 | Positioning device | SUSS MICROTEC LITHOGRAPHY GMBH |
11075103 | Backside wafer alignment methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075104 | Semiconductor chuck and method of making | -- |
11075105 | In-situ apparatus for semiconductor process module | APPLIED MATERIALS, INC. |
11075106 | Transfer device | TOKYO ELECTRON LIMITED |
11075107 | Semiconductor structure and manufacturing method thereof | -- |
11075108 | Mechanism for FinFET well doping | -- |
11075109 | Radio frequency silicon on insulator structure with superior performance, stability, and manufacturability | -- |
11075110 | Transistor trench with field plate structure | NXP USA, INC. |
11075111 | Vertical semiconductor device and method for fabricating the same | SK HYNIX INC. |
11075112 | Method of forming interconnection structure | -- |
11075113 | Metal capping layer and methods thereof | -- |
11075114 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11075115 | Tungsten feature fill | NOVELLUS SYSTEMS, INC. |
11075116 | Integrated antenna on interposer substrate | -- |
11075117 | Die singulation and stacked device structures | XILINX, INC. |
11075118 | Semiconductor die singulation methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075119 | Vertically stacked transistors in a pin | INTEL CORPORATION |
11075120 | FinFET device and method | -- |
11075121 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11075122 | Semiconductor device and manufacturing method thereof | KIOXIA CORPORATION |
11075123 | Method for forming isolation structure having improved gap-fill capability | -- |
11075124 | Semiconductor device with profiled work-function metal gate electrode and method of making | -- |
11075125 | Semiconductor device and manufacturing method thereof | -- |
11075126 | Misregistration measurements using combined optical and electron beam technology | KLA-TENCOR CORPORATION |
11075127 | Suppressing interfacial reactions by varying the wafer temperature throughout deposition | LAM RESEARCH CORPORATION |
11075128 | Modules incorporating encapsulation layers | UTICA LEASECO, LLC |
11075129 | Substrate processing carrier | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075130 | Package substrate having polymer-derived ceramic core | INTEL CORPORATION |
11075131 | Semiconductor package and method of forming the same | -- |
11075132 | Integrated fan-out package, package-on-package structure, and manufacturing method thereof | -- |
11075133 | Underfill structure for semiconductor packages and methods of forming the same | -- |
11075134 | Semiconductor device with a portion including silicon and nitrogen and method of manufacturing | INFINEON TECHNOLOGIES AG |
11075135 | Semiconductor structure and method of forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11075136 | Heat transfer structures and methods for IC packages | -- |
11075137 | High power module package structures | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075139 | Heat radiation structure, electronic device and manufacturing method of heat radiation structure | FUJITSU LIMITED |
11075141 | Module base with integrated thermal spreader and heat sink for thermal and structural management of high-performance integrated circuits or other devices | RAYTHEON COMPANY |
11075142 | Cooling apparatus for power semiconductor and a method of manufacturing the same | HYUNDAI MOTOR COMPANY |
11075145 | Semiconductor device including through die via and manufacturing method thereof | -- |
11075146 | Microfeature workpieces having alloyed conductive structures, and associated methods | MICRON TECHNOLOGY, INC. |
11075147 | Stacked die semiconductor package | TEXAS INSTRUMENTS INCORPORATED |
11075150 | Semiconductor package and method of manufacturing the same | -- |
11075151 | Fan-out package with controllable standoff | -- |
11075153 | Electronic component-incorporating substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11075154 | Semiconductor device and method of manufacturing semiconductor device | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11075155 | Package structure and manufacturing method thereof | -- |
11075156 | Substrate having electronic component embedded therein | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11075157 | IC having trench-based metal-insulator-metal capacitor | TEXAS INSTRUMENTS INCORPORATED |
11075158 | MIM structure | -- |
11075159 | Integrated fan-out packages and methods of forming the same | -- |
11075160 | Semiconductor device and method for fabricating thereof | SAMSUNG ELECTRONICS CO., LTD. |
11075161 | Large via buffer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075162 | Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics | -- |
11075164 | Semiconductor device including a conductive feature over an active region | -- |
11075165 | Methods and apparatus for forming dual metal interconnects | APPLIED MATERIALS, INC. |
11075166 | Microelectronic structures having multiple microelectronic devices connected with a microelectronic bridge embedded in a microelectronic substrate | INTEL CORPORATION |
11075167 | Pillared cavity down MIS-SIP | DIALOG SEMICONDUCTOR (UK) LIMITED |
11075168 | InFO-POP structures with TIVs having cavities | -- |
11075170 | Semiconductor package with EMI shield and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11075171 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075172 | Process for manufacturing a strained semiconductor device and corresponding strained semiconductor device | STMICROELECTRONICS S.R.L. |
11075173 | Semiconductor device and method of forming same | -- |
11075176 | Semiconductor device and method | -- |
11075177 | Integrated circuit comprising a substrate equipped with a trap-rich region, and fabricating process | STMICROELECTRONICS (CROLLES 2) SAS |
11075179 | Semiconductor device and method of forming the same | -- |
11075180 | Semiconductor device and method of manufacturing the semiconductor device | AOI ELECTRONICS CO., LTD. |
11075181 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11075182 | Semiconductor package and method of forming the same | -- |
11075185 | Semiconductor package with multi-level conductive clip for top side cooling | INFINEON TECHNOLOGIES AG |
11075187 | Semiconductor device and method of forming insulating layers around semiconductor die | SEMTECH CORPORATION |
11075188 | Package structure and assembly structure | -- |
11075193 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11075194 | IC with test structures and E-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11075195 | Integrated hybrid standard cell structure with gate-all-around device | -- |
11075196 | Integrated resistor for semiconductor device | POWER INTEGRATIONS, INC. |
11075197 | Resistor with doped regions and semiconductor devices having the same | SAMSUNG ELECTRONICS CO., LTD. |
11075198 | Stacked transistor architecture having diverse fin geometry | INTEL CORPORATION |
11075199 | Method of forming semiconductor structure | -- |
11075200 | Integrated device with vertical field-effect transistors and hybrid channels | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075201 | Tuning tensile strain on FinFET | -- |
11075203 | Semiconductor structure | -- |
11075206 | SRAM source-drain structure | QUALCOMM INCORPORATED |
11075210 | Method for fabricating a circular printed memory device with rotational detection | XEROX CORPORATION |
11075211 | Semiconductor device with nonvolatile memory | ROHM CO., LTD. |
11075212 | Semiconductor device and method of manufacturing | -- |
11075214 | NOR memory cell with vertical floating gate | GREENLIANT IP, LLC |
11075218 | Method of making a three-dimensional memory device using silicon nitride etching end point detection | SANDISK TECHNOLOGIES LLC |
11075219 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11075220 | Semiconductor device | KIOXIA CORPORATION |
11075223 | Thin film transistor array panel with integrated gate driver including noise removal unit | SAMSUNG DISPLAY CO., LTD. |
11075233 | Semiconductor device and fabricating method of the same | SAMSUNG ELECTRONICS CO., LTD. |
11075260 | Substrate comprising recessed interconnects and a surface mounted passive component | QUALCOMM INCORPORATED |
11075261 | Structure for use in a metal-insulator-metal capacitor | IMEC VZW |
11075263 | Semiconductor device, and method for manufacturing semiconductor device | ROHM CO, , LTD. |
11075264 | Super junction power semiconductor devices formed via ion implantation channeling techniques and related methods | CREE, INC. |
11075265 | Trigate device with full silicided epi-less source/drain for high density access transistor applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075266 | Vertically stacked fin semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075267 | Semiconductor device with low random telegraph signal noise | -- |
11075268 | Transistors with separately-formed source and drain | GLOBALFOUNDRIES U.S. INC. |
11075269 | Semiconductor device and manufacturing method thereof | -- |
11075270 | Semiconductor structure and method for forming the same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11075273 | Nanosheet electrostatic discharge structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075274 | Conductive line construction, memory circuitry, and method of forming a conductive line construction | MICRON TECHNOLOGY, INC. |
11075275 | Metal gate fill for short-channel and long-channel semiconductor devices | -- |
11075276 | Methods and apparatus for n-type metal oxide semiconductor (NMOS) metal gate materials using atomic layer deposition (ALD) processes with metal based precursors | APPLIED MATERIALS, INC. |
11075277 | Manufacture of self-aligned power devices | GENESIC SEMICONDUCTOR INC. |
11075279 | Metal gate and contact plug design and method forming same | -- |
11075280 | Self-aligned gate and junction for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075281 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075282 | Semiconductor structure and fabrication method thereof | -- |
11075283 | Dielectric constant reduction of gate spacer | -- |
11075284 | Semiconductor structure and forming method thereof | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11075285 | Insulated gate power semiconductor device and method for manufacturing such a device | ABB POWER GRIDS SWITZERLAND AG |
11075287 | Semiconductor structure and forming method thereof | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11075288 | Thin film transistor, manufacturing method therefor, array substrate and display panel | BOE TECHNOLOGY GROUP CO., LTD. |
11075289 | Heterojunction bipolar transistor including ballast resistor and semiconductor device | MURATA MANUFACTURING CO., LTD. |
11075291 | Isolation structure for IGBT devices having an integrated diode | INFINEON TECHNOLOGIES AUSTRIA AG |
11075292 | Insulated gate bipolar transistor, and manufacturing method therefor | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11075293 | Qubit-detector die assemblies | INTEL CORPORATION |
11075294 | Protective insulator for HFET devices | POWER INTEGRATIONS, INC. |
11075296 | Trench gate MOSFET and method of manufacturing the same | -- |
11075299 | Transistor gate having tapered segments positioned above the fin channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075301 | Nanosheet with buried gate contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11075304 | Thin-film transistor and fabrication method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11075306 | Filled through silicon vias for semiconductor packages and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11075313 | Optoelectronic devices manufactured using different growth substrates | UTICA LEASECO, LLC |
11075316 | Method of bifacial cell fabrication | SOLAROUND LTD. |
11075317 | Smoothed doped layer for solar cell | IMEC VZW |
11075320 | Method of manufacturing nitride semiconductor light-emitting element | NICHIA CORPORATION |
11075324 | Method of producing an outcoupling element for an optoelectronic component and outcoupling element | OSRAM OLED GMBH |
11075335 | Techniques for MRAM MTJ top electrode connection | -- |
11075439 | Electronic device and manufacturing method thereof | -- |
11075501 | Process for producing a component comprising III-V materials and contacts compatible with silicon process flows | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11075573 | Power minimizing controller for a stage assembly | NIKON RESEARCH CORPORATION OF AMERICA |
11075619 | Contactless readable programmable transponder to monitor chip join | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11076518 | Component supply device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11077410 | Gas injector with baffle | APPLIED MATERIALS, INC. |
11077466 | End effector with slides for transferring trays | BROOKS AUTOMATION, INC. |
11077469 | Method for sorting silicon wafers according to their bulk lifetime | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11077525 | Method of processing a silicon carbide containing crystalline substrate, silicon carbide chip, and processing chamber | INFINEON TECHNOLOGIES AG |
11077535 | Process system having locking pin and locking pin | SAMSUNG ELECTRONICS CO., LTD. |
11077536 | Slurry distribution device for chemical mechanical polishing | APPLIED MATERIALS, INC. |
11077654 | Conductive diamond application system | GLOBAL CIRCUIT INNOVATIONS INCORPORATED |
11078025 | Lightweight roller | CHEMCUT HOLDINGS LLC |
11078071 | Haptic actuators fabricated by roll-to-roll processing | ENCITE LLC |
11078072 | Microelectromechanical device, method for manufacturing a microelectromechanical device, and method for manufacturing a system on chip using a CMOS process | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11078082 | Method of fabricating graphene structure having nanobubbles | SAMSUNG ELECTRONICS CO., LTD. |
11078112 | Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same | CORNING INCORPORATED |
11078120 | Oxide sintered body, sputtering target and oxide semiconductor film | IDEMITSU KOSAN CO., LTD. |
11078318 | Block copolymer | LG CHEM, LTD. |
11078337 | High-χ block copolymers for directed self-assembly | BREWER SCIENCE, INC. |
11078380 | Hard abrasive particle-free polishing of hard materials | ENTEGRIS, INC. |
11078417 | Low oxide trench dishing chemical mechanical polishing | VERSUM MATERIALS US, LLC |
11078591 | Process for optimizing cobalt electrofill using sacrificial oxidants | LAM RESEARCH CORPORATION |
11078597 | Method for making epitaxial structure | TSINGHUA UNIVERSITY |
11079337 | Secure wafer inspection and identification | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11079540 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11079682 | Methods for extreme ultraviolet (EUV) resist patterning development | TOKYO ELECTRON LIMITED |
11079685 | Method of manufacturing photo masks | -- |
11079691 | Coating and developing apparatus and coating and developing method | TOKYO ELECTRON LIMITED |
11080453 | Integrated circuit fin layout method, system, and structure | -- |
11080459 | Computational wafer inspection | ASML NETHERLANDS B.V. |
11081203 | Leakage source detection by scanning access lines | MICRON TECHNOLOGY, INC. |
11081316 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
11081318 | Geometrically selective deposition of dielectric films utilizing low frequency bias | APPLIED MATERIALS, INC. |
11081320 | Plasma processing apparatus, plasma processing method, and ECR height monitor | HITACHI HIGH-TECH CORPORATION |
11081322 | Film forming apparatus, cleaning method for film forming apparatus and recording medium | TOKYO ELECTRON LIMITED |
11081326 | Sputtering target and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081334 | Particle prevention in wafer edge trimming | -- |
11081335 | Methods for forming electronic devices from nanomaterials | MILARA INCORPORATED |
11081336 | Method of making graphene and graphene devices | VAON, LLC |
11081337 | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials | VERSUM MATERIALS U.S., LLC |
11081338 | Method of forming oxide film including two non-oxygen elements, method of manufacturing semiconductor device, method of forming dielectric film, and semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11081339 | Single-crystal rare earth oxide grown on III-V compound | -- |
11081340 | Argon addition to remote plasma oxidation | APPLIED MATERIALS, INC. |
11081341 | Apparatus for fabricating a semiconductor device with target sputtering and target sputtering method for fabricating the semiconductor device | -- |
11081342 | Selective deposition using hydrophobic precursors | ASM IP HOLDING B.V. |
11081343 | Sub-stoichiometric metal-oxide thin films | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081344 | Method for manufacturing semiconductor substrate | FUJIFILM BUSINESS INNOVATION CORP. |
11081345 | Method of post-deposition treatment for silicon oxide film | ASM IP HOLDING B.V. |
11081346 | Semiconductor structure having a group iii-v semiconductor layer comprising a hexagonal mesh crystalline structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081347 | Method for manufacturing silicon-carbide semiconductor element | KWANSEI GAKUIN EDUCATIONAL FOUNDATION |
11081348 | Selective deposition of silicon using deposition-treat-etch process | APPLIED MATERIALS, INC. |
11081349 | Method of forming film on substrate and method of manufacturing liquid ejection head | CANON KABUSHIKI KAISHA |
11081350 | Semiconductor device and method of manufacture | -- |
11081351 | Method of processing substrate, device manufacturing method, and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11081352 | Method (and related apparatus) that reduces cycle time for forming large field integrated circuits | -- |
11081353 | Semiconductor device and manufacturing method thereof | -- |
11081354 | Fin patterning methods for increased process margins | -- |
11081355 | Semiconductor device and method of manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11081356 | Method for metal gate cut and structure thereof | -- |
11081357 | Semiconductor device and method for fabricating the same including re-growth process to form non-uniform gate dielectric layer | SK HYNIX INC. |
11081358 | Silicide film nucleation | APPLIED MATERIALS, INC. |
11081359 | Methods for polishing semiconductor substrates that adjust for pad-to-pad variance | -- |
11081360 | Method for processing workpiece | TOKYO ELECTRON LIMITED |
11081361 | Plasma etching method | AJOU UNIVERSITY INDUSTRY-ACADEMIC COOPERATION FOUNDATION |
11081362 | Method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11081363 | Guard ring structure of semiconductor arrangement | -- |
11081364 | Reduction of crystal growth resulting from annealing a conductive material | MICRON TECHNOLOGY, INC. |
11081365 | Treatment to interface between metal film and BARC or photoresist | -- |
11081366 | MCM package isolation through leadframe design and package saw process | TEXAS INSTRUMENTS INCORPORATED |
11081367 | Support and method for producing semiconductor device-mounting substrate using the same | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11081368 | Method of dicing wiring substrate, and packaging substrate | TOPPAN PRINTING CO., LTD. |
11081369 | Package structure and manufacturing method thereof | -- |
11081370 | Methods of manufacturing an encapsulated semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11081371 | Chip package process | VIA ALLIANCE SEMICONDUCTOR CO., LTD. |
11081372 | Package system for integrated circuits | -- |
11081373 | Substrate cleaning apparatus and substrate cleaning method | EBARA CORPORATION |
11081374 | Semiconductor package molding device and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11081375 | Apparatus, system, and method of providing a ramped interconnect for semiconductor fabrication | JABIL INC. |
11081376 | Substrate processing apparatus, transfer module, and coupling module | SCREEN HOLDINGS CO., LTD. |
11081377 | Substrate processing system | TOKYO ELECTRON LIMITED |
11081379 | Load port operation in electronic device manufacturing apparatus, systems, and methods | APPLIED MATERIALS, INC. |
11081380 | Chip bonding device | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD |
11081381 | Ceramic structure | NGK SPARK PLUG CO., LTD. |
11081382 | Method for processing a substrate assembly and wafer composite structure | INFINEON TECHNOLOGIES AG |
11081383 | Substrate table with vacuum channels grid | -- |
11081384 | Method for stabilizing a semiconductor arrangement | INFINEON TECHNOLOGIES AG |
11081386 | High resistivity SOI wafers and a method of manufacturing thereof | -- |
11081387 | Creating an aligned via and metal line in an integrated circuit including forming an oversized via mask | MARVELL ASIA PTE, LTD. |
11081388 | Forming barrierless contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081389 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11081390 | Multi-pass plating process with intermediate rinse and dry | TEXAS INSTRUMENTS INCORPORATED |
11081391 | Wafer level dicing method and semiconductor device | -- |
11081392 | Dicing method for stacked semiconductor devices | -- |
11081393 | Method for splitting semiconductor wafers | INFINEON TECHNOLOGIES AG |
11081394 | Method of making a FinFET device | -- |
11081395 | Fin field effect transistor having air gap and method for manufacturing the same | -- |
11081396 | Semiconductor device and method | -- |
11081397 | Forming a protective layer to prevent formation of leakage paths | -- |
11081398 | Method and structure to provide integrated long channel vertical FinFet device | GLOBALEOUNDRIES U.S. INC. |
11081399 | Method of producing microelectronic components | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081400 | Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081401 | Semiconductor device and method for manufacturing the same | -- |
11081402 | Replacement gate process for semiconductor devices | -- |
11081403 | Methods of forming contact features in field-effect transistors | -- |
11081404 | Source/drain for gate-all-around devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081405 | Method for measurement of semiconductor device fabrication tool implement | -- |
11081406 | Via integrity and board level reliability testing | TEXAS INSTRUMENTS INCORPORATED |
11081407 | Methods for assessing semiconductor structures | -- |
11081408 | Methods for wafer warpage control | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11081409 | Heat treatment apparatus of light irradiation type and heat treatment method | SCREEN HOLDINGS CO., LTD. |
11081410 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11081411 | Semiconductor structure | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11081413 | Semiconductor package with inner and outer cavities | -- |
11081414 | Power semiconductor module arrangement | INFINEON TECHNOLOGIES AG |
11081415 | Method for manufacturing electronic package | -- |
11081417 | Manufacturing a package using plateable encapsulant | INFINEON TECHNOLOGIES AG |
11081419 | Semiconductor package and a method of manufacturing the same | MAGNACHIP SEMICONDUCTOR, LTD. |
11081424 | Micro-fluidic channels having various critical dimensions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081426 | 3D IC power grid | -- |
11081427 | Semiconductor device with through silicon via structure | -- |
11081429 | Finger pad leadframe | TEXAS INSTRUMENTS INCORPORATED |
11081430 | Multi-die-package and method | INFINEON TECHNOLOGIES AUSTRIA AG |
11081434 | Package substrates with magnetic build-up layers | INTEL CORPORATION |
11081435 | Package substrate and flip-chip package circuit including the same | -- |
11081437 | Imaging element mounting board, producing method of imaging element mounting board, and mounting board assembly | NITTO DENKO CORPORATION |
11081438 | Method of manufacturing semiconductor device | RENESAS ELECTRONICS CORPORATION |
11081440 | Interposer and semiconductor package including the same | SAMSUNG ELECTRONICS CO., LTD. |
11081445 | Semiconductor device comprising air gaps having different configurations | -- |
11081447 | Graphene-assisted low-resistance interconnect structures and methods of formation thereof | -- |
11081448 | Embedded die microelectronic device with molded component | INTEL CORPORATION |
11081450 | Radiation shield around a component on a substrate | INTEL CORPORATION |
11081452 | Field effect transistor and semiconductor device | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11081454 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081455 | Semiconductor device with bond pad extensions formed on molded appendage | INFINEON TECHNOLOGIES AUSTRIA AG |
11081456 | Textured bond pads | TEXAS INSTRUMENTS INCORPORATED |
11081457 | Semiconductor package and methods of manufacturing a semiconductor package | INFINEON TECHNOLOGIES AUSTRIA AG |
11081458 | Methods and apparatuses for reflowing conductive elements of semiconductor devices | MICRON TECHNOLOGY, INC. |
11081461 | Packaging process and packaging structure | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
11081463 | Bonding method with electron-stimulated desorption | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081466 | Method for joining a micorelectronic chip to a wire element | PRIMO1D |
11081467 | Apparatuses and methods for arranging through-silicon vias and pads in a semiconductor device | MICRON TECHNOLOGY, INC. |
11081469 | Three-dimensional integrated circuit test and improved thermal dissipation | ARM LIMITED |
11081473 | Semiconductor device package and method of manufacturing the same | -- |
11081475 | Integrated circuit structure and method for reducing polymer layer delamination | -- |
11081476 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081477 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11081478 | Interconnect structure having a fluorocarbon layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11081480 | Semiconductor structure, capacitor structure thereof and manufacturing method of the same | -- |
11081482 | Fabrication of vertical fin field effect transistors having top air spacers and a self aligned top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081483 | CMOS circuit with a group III-nitride transistor and method of providing same | INTEL CORPORATION |
11081484 | IC unit and method of manufacturing the same, and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11081486 | Integrated circuit having memory cell array including barriers, and method of manufacturing same | OVONYX MEMORY TECHNOLOGY, LLC |
11081491 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081492 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11081494 | Semiconductor memory | TOSHIBA MEMORY CORPORATION |
11081497 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081498 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11081500 | Semiconductor structure and method of forming the same | -- |
11081501 | Thin film transistor and method of fabricating the same, array substrate and method of fabricating the same, display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11081502 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11081517 | Active matrix substrate, x-ray imaging panel with the same, and method of manufacturing the same | SHARP KABUSHIKI KAISHA |
11081518 | Semiconductor packaging method and semiconductor device based on molding process | NINGBO SUNNY OPOTECH CO., LTD. |
11081521 | Process for manufacturing a plurality of crystalline semiconductor islands having a variety of lattice parameters | SOITEC |
11081542 | Buried MIM capacitor structure with landing pads | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081544 | Method of manufacturing a semiconductor device comprising first and second field stop zone portions | INFINEON TECHNOLOGIES AG |
11081545 | Semiconductor device | ROHM CO., LTD. |
11081546 | Isolation structure for stacked vertical transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081547 | Method for making superimposed transistors | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11081549 | Semiconductor devices and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11081551 | Method for producing a graphene-based sensor | INFINEON TECHNOLOGIES AG |
11081553 | Method of forming split gate memory cells | SILICON STORAGE TECHNOLOGY, INC. |
11081554 | Insulated gate semiconductor device having trench termination structure and method | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11081557 | Memory and method for forming the same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11081558 | LDMOS with high-k drain STI dielectric | TEXAS INSTRUMENTS INCORPORATED |
11081561 | Field-effect transistors with vertically-serpentine gates | GLOBALFOUNDRIES U.S. INC. |
11081562 | Semiconductor device with a programmable contact and method for fabricating the same | -- |
11081563 | Formation of silicide contacts in semiconductor devices | -- |
11081567 | Replacement-channel fabrication of III-V nanosheet devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081568 | Protective bilayer inner spacer for nanosheet devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081571 | Structure and formation method of semiconductor device structure with a dummy fin structure | -- |
11081572 | Integrated circuit heat dissipation using nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081573 | Semiconductor element | MURATA MANUFACTURING CO., LTD. |
11081576 | Insulated-gate semiconductor device and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
11081577 | Electronic device including two-dimensional electron gas and method of fabricating the same | INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY ERICA CAMPUS |
11081578 | III-V depletion mode semiconductor device | CAMBRIDGE GAN DEVICES LIMITED |
11081581 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11081582 | High voltage (HV) metal oxide semiconductor field effect transistor (MOSFET) in semiconductor on insulator (SOI) technology | QUALCOMM INCORPORATED |
11081583 | FinFET with dielectric isolation after gate module for improved source and drain region epitaxial growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11081584 | Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices | -- |
11081585 | Via structure with low resistivity and method for forming the same | -- |
11081590 | Metal oxide semiconductor field effect transistor with crystalline oxide layer on a III-V material | SAMSUNG ELECTRONICS CO., LTD. |
11081591 | Semiconductor device and display unit | JOLED INC. |
11081592 | Semiconductor device and manufacturing method thereof | -- |
11081593 | Integration of graphene and boron nitride hetero-structure device | TEXAS INSTRUMENTS INCORPORATED |
11081596 | Semiconductor device and manufacturing device of the same | RENESAS ELECTRONICS CORPORATION |
11081597 | Lateral schottky diode with high breakdown voltage capability | CHENGDU MONOLITHIC POWER SYSTEMS CO., LTD. |
11081609 | Solar cell structure and composition and method for forming the same | THE BOEING COMPANY |
11081618 | Buried activated p-(Al,In)GaN layers | GALLIUM ENTERPRISES PTY LTD |
11081619 | Light-emitting element and method of manufacturing same | NICHIA CORPORATION |
11081639 | Piezoelectric element manufacturing method | KONICA MINOLTA, INC. |
11081768 | Fabricating an RF filter on a semiconductor package using selective seeding | INTEL CORPORATION |
11081770 | Low temperature co-fireable dielectric materials | SKYWORKS SOLUTIONS, INC. |
11081783 | Integrated antenna using through silicon vias | MICRON TECHNOLOGY, INC. |
11082028 | 3D-printed protective shell structures with support columns for stress sensitive circuits | TEXAS INSTRUMENTS INCORPORATED |
11084069 | Chuck cleaner and cleaning method | KIOXIA CORPORATION |
11084072 | Substrate processing apparatus, substrate processing method and recording medium | TOKYO ELECTRON LIMITED |
11084505 | Overhead conveyance vehicle | MURATA MACHINERY, LTD. |
11084694 | Jacking tool and semiconductor process apparatus having the same | SAMSUNG ELECTRONICS CO., LTD. |
11084941 | Underfill material, underfill film, and method for manufacturing semiconductor device using same | DEXERIALS CORPORATION |
11084981 | Silicon etchant with high Si/SiO2 etching selectivity and application thereof | -- |
11085011 | Post CMP cleaning compositions for ceria particles | ENTEGRIS, INC. |
11085112 | Susceptor with ring to limit backside deposition | ASM IP HOLDING B.V. |
11085113 | Film forming method and recording medium | TOKYO ELECTRON LIMITED |
11085114 | Electrostatic coating of metal thin layers with adjustable film properties | ARIZONA BOARD OF REGENTS ON BEHALF OF THE UNIVERSITY OF ARIZONA |
11085129 | Device to increase deposition uniformity in spatial ALD processing chamber | APPLIED MATERIALS, INC. |
11085884 | Defect inspection method and apparatus using micro lens matrix | SHANGHAI |
11086217 | Patterned stamp manufacturing method, patterned stamp and imprinting method | KONINKLIJKE PHILIPS N.V. |
11086221 | Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces | -- |
11086222 | Method of manufacturing semiconductor structure | -- |
11086224 | Fabrication system of semiconductor device and method of fabricating a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11086233 | Protective coating for electrostatic chucks | LAM RESEARCH CORPORATION |
11086238 | System, a lithographic apparatus, and a method for reducing oxidation or removing oxide on a substrate support | ASML NETHERLANDS B.V. |
11086286 | Substrate processing system, substrate processing method, and control program | TOKYO ELECTRON LIMITED |
11087927 | Substrates employing surface-area amplification, for use in fabricating capacitive elements and other devices | MURATA MANUFACTURING CO., LTD. |
11087956 | Detection systems in semiconductor metrology tools | -- |
11087959 | Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) | NANO-MASTER, INC. |
11087962 | Real-time control of temperature in a plasma chamber | LAM RESEARCH CORPORATION |
11087970 | Bonded wafer, a method of manufacturing the same, and a method of forming through hole | CANON KABUSHIKI KAISHA |
11087971 | Method for manufacturing semiconductor device and manufacturing method of the same | -- |
11087972 | Cleaning device and method for driving cleaning device | SAMSUNG ELECTRONICS CO., LTD. |
11087973 | Method of selective deposition for BEOL dielectric etch | TOKYO ELECTRON LIMITED |
11087974 | Preparation of compound semiconductor substrate for epitaxial growth via non-destructive epitaxial lift-off | THE REGENTS OF THE UNIVERSITY OF MICHIGAN |
11087975 | Method for fabrication of orientation-patterned templates on common substrates | UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE AIR FORCE |
11087976 | Kesterite material of CZTS, CZTSe or CZTSSe type | HALDOR TOPSØE A/S |
11087977 | P-type oxide semiconductor and method for manufacturing same | FLOSFIA INC |
11087978 | Oxide semiconductor layer and preparation method thereof, device, substrate and means | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087979 | Cleaning method | APPLIED MATERIALS, INC. |
11087980 | Laser crystallization device | SAMSUNG DISPLAY CO., LTD. |
11087981 | Poly-silicon layer and method of manufacturing the same, methods of manufacturing thin film transistor and array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11087982 | Method and system for fabricating a semiconductor device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087983 | Thermal treatment apparatus, thermal treatment method, and non-transitory computer storage medium | TOKYO ELECTRON LIMITED |
11087984 | Selective deposition by laser heating for forming a semiconductor structure | -- |
11087985 | Manufacturing method of TFT array substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11087986 | Semiconductor device manufacturing method and semiconductor device | FUJI ELECTRIC CO., LTD. |
11087987 | Semiconductor device and method | -- |
11087988 | Semiconductor device structure with silicide and method for forming the same | -- |
11087989 | Cryogenic atomic layer etch with noble gases | APPLIED MATERIALS, INC. |
11087990 | Semiconductor device with a stacked structure and a capping insulation layer | SAMSUNG ELECTRONICS CO., LTD. |
11087991 | Integrated structures, capacitors and methods of forming capacitors | MICRON TECHNOLOGY, INC. |
11087992 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11087993 | Double replacement metal line patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11087994 | Via connection to a partially filled trench | -- |
11087995 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11087996 | Dry cleaning apparatus and dry cleaning method | SAMSUNG ELECTRONICS CO., LTD. |
11087997 | Substrate processing apparatus for processing substrates | ASM IP HOLDING B.V. |
11087998 | Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods | APPLIED MATERIALS, INC. |
11087999 | Buffer chamber unit for wafer processing equipment | SNW COMPANY LIMITED |
11088000 | Wafer based corrosion and time dependent chemical effects | APPLIED MATERIALS, INC. |
11088001 | Substrate transfer method and substrate transfer module | TOKYO ELECTRON LIMITED |
11088002 | Substrate rack and a substrate processing system and method | ASM IP HOLDING B.V. |
11088003 | Apparatus for fabricating a semiconductor device and method for fabricating semiconductor device | -- |
11088004 | Automatic wafer centering method and apparatus | BROOKS AUTOMATION, INC. |
11088005 | Electrostatic chuck having thermally isolated zones with minimal crosstalk | APPLIED MATERIALS, INC. |
11088006 | Electrostatic chuck | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11088007 | Component tethers with spacers | X-CELEPRINT LIMITED |
11088008 | Wafer processing method | DISCO CORPORATION |
11088009 | Support table, support table assembly, processing arrangement, and methods thereof | INFINEON TECHNOLOGIES AG |
11088010 | Temporary bonding method with thermoplastic adhesive incorporating a rigid ring | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11088011 | Elastic membrane, substrate holding device, and polishing apparatus | EBARA CORPORATION |
11088012 | Wafer susceptor apparatus with thermal insulation and method for manufacturing the same | PIOTECH INC. |
11088013 | Supplementary tool for chip transfer device with removal tool and turning tool | ASM ASSEMBLY SYSTEMS GMBH & CO. KG |
11088014 | Semiconductor device, method, and multi-wafer deposition apparatus | -- |
11088015 | Apparatus for adjusting a pedestal assembly for a reactor | ASM IP HOLDING B.V. |
11088016 | Method for locating devices | SOITEC |
11088017 | Stair step structures including insulative materials, and related devices | MICRON TECHNOLOGY, INC. |
11088018 | Method of forming contacts in a semiconductor device | -- |
11088019 | Method to create air gaps | LAM RESEARCH CORPORATION |
11088020 | Structure and formation method of interconnection structure of semiconductor device | -- |
11088021 | Interconnect structure and method of forming the same | -- |
11088022 | Different isolation liners for different type FinFETs and associated isolation feature fabrication | -- |
11088023 | Method of forming a semiconductor structure | -- |
11088024 | Forming a thin film resistor (TFR) in an integrated circuit device | MICROCHIP TECHNOLOGY INCORPORATED |
11088025 | Contact structure for semiconductor device | -- |
11088026 | Wimpy device by selective laser annealing | ELPIS TECHNOLOGIES INC. |
11088027 | Transistor structure | -- |
11088028 | Fin field-effect transistor device and method of forming the same | -- |
11088029 | Gate stack treatment | -- |
11088030 | Semiconductor device and a method for fabricating the same | -- |
11088031 | Semiconductor and method of fabricating the same | KEY FOUNDRY CO., LTD. |
11088032 | Electronic device based on two-dimensional semiconductor and method for manufacturing electronic device | HUAWEI TECHNOLOGIES CO., LTD. |
11088033 | Low resistance source-drain contacts using high temperature silicides | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088034 | Gate structures for semiconductor devices | -- |
11088035 | Fabrication of thin-film encapsulation layer for light emitting device | KATEEVA, INC. |
11088036 | Atom probe tomography specimen preparation | -- |
11088037 | Semiconductor device having probe pads and seal ring | -- |
11088038 | Semiconductor package including test pad | SAMSUNG ELECTRONICS CO., LTD. |
11088039 | Data management and mining to correlate wafer alignment, design, defect, process, tool, and metrology data | APPLIED MATERIALS, INC. |
11088040 | Cell-like floating-gate test structure | -- |
11088041 | Semiconductor packages with shortened talking path | -- |
11088042 | Semiconductor device and production method therefor | HITACHI METALS, LTD. |
11088044 | Compound semiconductor device and fabrication method therefor, and amplifier | FUJITSU LIMITED |
11088047 | Ceramic package opening, heat sink, vias coupled to conductive pad | TEXAS INSTRUMENTS INCORPORATED |
11088048 | Semiconductor structure | -- |
11088050 | 3D semiconductor device with isolation layers | MONOLITHIC 3D INC. |
11088052 | Integrated circuit package electronic device including pillar contacts and electrical terminations | TEXAS INSTRUMENTS INCORPORATED |
11088053 | Encapsulation structure with high density, multiple sided and exposed leads and method for manufacturing the same | GUANGDONG CHIPPACKING TECHNOLOGY CO., LTD. |
11088054 | Lead frame and method for manufacturing the same | -- |
11088055 | Package with dies mounted on opposing surfaces of a leadframe | TEXAS INSTRUMENTS INCORPORATED |
11088056 | Leadframe and leadframe package | -- |
11088057 | Semiconductor package structure and method for manufacturing the same | -- |
11088058 | Method for forming semiconductor package using carbon nano material in molding compound | -- |
11088059 | Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same | -- |
11088060 | Package module including a plurality of electronic components and semiconductor chip(s) embedded in a single package | SAMSUNG ELECTRONICS CO., LTD. |
11088061 | Substrate, semiconductor device package and method of manufacturing the same | -- |
11088062 | Method to enable 30 microns pitch EMIB or below | INTEL CORPORATION |
11088063 | Structures with deformable conductors | LIQUID WIRE INC. |
11088064 | Fine pitch copper pillar package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11088068 | Semiconductor packages and methods of manufacturing the same | -- |
11088070 | Method of forming a multi-level interconnect structure in a semiconductor device | IMEC VZW |
11088071 | Tank circuit structure and method of making the same | -- |
11088072 | Semiconductor device including a fuse and a transistor coupled to the fuse | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11088074 | Semiconductor device and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
11088075 | Layout structures with multiple fingers of multiple lengths | GLOBALFOUNDRIES U.S. INC. |
11088076 | Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners | SANDISK TECHNOLOGIES LLC |
11088077 | Layer structure including diffusion barrier layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088078 | Semiconductor device and method for manufacturing the same | -- |
11088079 | Package structure having line connected via portions | -- |
11088081 | Semiconductor package having a connection structure with tapering connection via layers | SAMSUNG ELECTRONICS CO., LTD. |
11088082 | Semiconductor device with partial EMI shielding and method of making the same | STATS CHIPPAC PTE. LTD. |
11088085 | Layout to reduce noise in semiconductor devices | -- |
11088086 | Chip package structure and method for forming the same | -- |
11088087 | Micro module with a support structure | STMICROELECTRONICS, INC. |
11088088 | Microelectronic devices with polysilicon fill material between opposing staircase structures, and related devices, systems, and methods | MICRON TECHNOLOGY, INC. |
11088089 | Package substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11088090 | Package comprising a substrate that includes a stress buffer layer | QUALCOMM INCORPORATED |
11088092 | Via rail solution for high power electromigration | -- |
11088094 | Air channel formation in packaging process | -- |
11088095 | Package structure | -- |
11088098 | Integrated structures with antenna elements and IC chips employing edge contact connections | VIASAT, INC. |
11088100 | Semiconductor package and manufacturing method thereof | -- |
11088101 | Semiconductor package structure and method of manufacturing the same | -- |
11088102 | Bonded structures for package and substrate | -- |
11088107 | Vaccum deposition system and method thereof | INTLVAC INC. |
11088108 | Chip package structure including ring-like structure and method for forming the same | -- |
11088109 | Packages with multi-thermal interface materials and methods of fabricating the same | -- |
11088111 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11088114 | High density pillar interconnect conversion with stack to substrate connection | MICRON TECHNOLOGY, INC. |
11088116 | Bonded assembly containing horizontal and vertical bonding interfaces and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11088120 | Panel for display by micro LED and method for making same | -- |
11088122 | Method and device for manufacturing flexible light emission device | SAKAI DISPLAY PRODUCTS CORPORATION |
11088124 | Package and manufacturing method thereof | -- |
11088125 | IPD modules with flexible connection scheme in packaging | -- |
11088131 | Semiconductor device that uses bonding layer to join semiconductor substrates together | -- |
11088136 | Semiconductor device and manufacturing method thereof | -- |
11088137 | Method for enlarging tip portion of a fin-shaped structure | -- |
11088138 | Semiconductor device for testing characteristics of transistors and method for testing semiconductor device | THE INDUSTRY & ACADEMIC COOPERATION IN CHUNGNAM NATIONAL UNIVERSITY (IAC) |
11088139 | Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088144 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11088145 | Semiconductor device including insulating element | -- |
11088147 | Apparatus with doped surfaces, and related methods with in situ doping | MICRON TECHNOLOGY, INC. |
11088148 | Semiconductor memory devices including separate upper and lower bit line spacers | SAMSUNG ELECTRONICS CO., LTD. |
11088149 | Static random-access memory and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11088150 | Semiconductor device and manufacturing method thereof | -- |
11088152 | Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same | SANDISK TECHNOLOGIES LLC |
11088155 | Method for fabricating split-gate non-volatile memory | NEXCHIP SEMICONDUCTOR CO., LTD |
11088158 | SONOS memory and method for manufacturing the same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11088159 | Inter-digitated capacitor in flash technology | -- |
11088162 | Semiconductor memory device and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
11088164 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11088165 | Integrated assemblies, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11088166 | 3D NAND memory device and method of forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11088167 | Transistor, three dimensional memory device including such transistor and method of fabricating such memory device | -- |
11088168 | Semiconductor devices and methods of fabrication | MICRON TECHNOLOGY, INC. |
11088169 | Integrated assemblies having thicker semiconductor material along one region of a conductive structure than along another region, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11088173 | Method for making displays | -- |
11088175 | Display panel, method for driving the same, and display device | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11088183 | Manufacturing method of low temperature poly-silicon (LTPS) thin film transistor (TFT) substrate and the LTPS TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11088195 | Solid-state image pickup element, method of manufacturing solid-state image pickup element, and electronic apparatus | SONY CORPORATION |
11088202 | Method of forming memory cell | -- |
11088222 | Display device comprising a thin glass material layer | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088239 | Cap structure for trench capacitors | -- |
11088242 | Crystal, crystalline oxide semiconductor, semiconductor film containing crystalline oxide semiconductor, semiconductor device including crystal and/or semiconductor film and system including semiconductor device | FLOSFIA INC. |
11088244 | Devices having substrates with selective airgap regions | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11088245 | Integrated circuit device with source/drain barrier | -- |
11088246 | Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor | -- |
11088247 | Method of fabrication of a semiconductor device including one or more nanostructures | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11088248 | LDD-free semiconductor structure and manufacturing method of the same | -- |
11088249 | Semiconductor device with implant and method of manufacturing same | -- |
11088250 | Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same | -- |
11088252 | Three-dimensional memory device with a silicon carbon nitride interfacial layer in a charge storage layer and methods of making the same | SANDISK TECHNOLOGIES LLC |
11088255 | Semiconductor devices | -- |
11088256 | Semiconductor devices | -- |
11088257 | Semiconductor device and method of manufacturing the same | -- |
11088258 | Method of forming multiple-Vt FETs for CMOS circuit applications | SAMSUNG ELECTRONICS CO., LTD. |
11088259 | Method of manufacturing an electronic component including multiple quantum dots | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11088261 | Trench contact structures for advanced integrated circuit structure fabrication | INTEL CORPORATION |
11088262 | Radical etching in gate formation | -- |
11088265 | Semiconductor structure having a repaired dielectric layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11088268 | Methods and devices for fabricating and assembling printable semiconductor elements | THE BOARD OF TRUSTEES OF THE UNIVERSITY OF ILLINOIS |
11088270 | Microwave transistor with a patterned gate structure and manufacturing method thereof | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. . |
11088271 | High electron mobility transistor and method for fabricating the same | -- |
11088272 | Semiconductor device | ROHM CO., LTD. |
11088278 | Precise junction placement in vertical semiconductor devices using etch stop layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088279 | Channel strain formation in vertical transport FETS with dummy stressor materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088280 | Transistor and method of forming same | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088281 | Semiconductor arrangement and method of manufacture | -- |
11088282 | TFT substrate, scanned antenna having TFT substrate, and method for manufacturing TFT substrate | SHARP KABUSHIKI KAISHA |
11088286 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11088298 | Light-emitting device | -- |
11088309 | Thermoelectric conversion element and thermoelectric conversion module | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11088310 | Through-silicon-via fabrication in planar quantum devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11088324 | Controlled deposition of materials using a differential pressure regime | UNIVERSAL DISPLAY CORPORATION |
11088328 | Method of analyzing organic semiconductor element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11089657 | Light-irradiation heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
11089673 | Wall for isolation enhancement | RAYTHEON COMPANY |
11089685 | Stackable via package and method | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11090691 | Cleaning method for cleaning frame unit | DISCO CORPORATION |
11090692 | Cleaning liquid supplying system, substrate processing apparatus and substrate processing system | EBARA CORPORATION |
11090693 | Device for applying to a substrate a liquid medium that has been charged with UV radiation | SUSS MICRO TEC PHOTOMASK EQUIPMENT GMBH & CO KG |
11090696 | Apparatus and method of removing photoresist layer | -- |
11090766 | Laser processing apparatus | DISCO CORPORATION |
11090779 | Method and tool to improve efficiency and effectiveness of waterjet de-burr process | TEXAS INSTRUMENTS INCORPORATED |
11090903 | Superhydrophobic and superoleophobic nanosurfaces | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11090921 | Peeling method of resin sheet | DISCO CORPORATION |
11091397 | Low temperature method for hermetically joining non-diffusing ceramic materials in multi-layer plate devices | WATLOW ELECTRIC MANUFACTURING COMPANY |
11091398 | Method for manufacturing large ceramic co-fired articles | MORGAN ADVANCED CERAMICS, INC. |
11091649 | 2,2,4,4-tetrasilylpentasilane and its compositions, methods and uses | JIANGSU NATA OPTO-ELECTRONIC MATERIALS CO. LTD. |
11091663 | Method for producing dispersion liquid containing silver nanoparticles, and dispersion liquid containing silver nanoparticles | DAICEL CORPORATION |
11091694 | Etching composition, method for forming pattern and method for manufacturing a display device using the same | SAMSUNG DISPLAY CO., LTD. |
11091696 | Etching composition and method for manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11091726 | Composition for removing photoresist residue and/or polymer residue | KANTO KAGAKU KABUSHIKI KAISHA |
11091727 | Post etch residue cleaning compositions and methods of using the same | VERSUM MATERIALS US, LLC |
11091835 | Side inject nozzle design for processing chamber | APPLIED MATERIALS, INC. |
11091836 | Graphene structure forming method and graphene structure forming apparatus | TOKYO ELECTRON LIMITED |
11091837 | Fluid control system and product manufacturing method using fluid control system | FUJIKIN INCORPORATED |
11092546 | Spectrometer utilizing surface plasmon | SAMSUNG ELECTRONICS CO., LTD. |
11092551 | Staircase surface-enhanced raman scattering substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092555 | EUV vessel inspection method and related system | -- |
11092763 | Coaxial wire and optical fiber trace via hybrid structures and methods to manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11092889 | Semiconductor resist composition, and method of forming patterns using the composition | SAMSUNG SDI CO., LTD. |
11092890 | Semiconductor resist composition, and method of forming patterns using the composition | SAMSUNG SDI CO., LTD. |
11093825 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11093840 | Metrology and process control for semiconductor manufacturing | NOVA MEASURING INSTRUMENTS LTD. |
11094358 | Semiconductor chip manufacturing process for integrating logic circuitry, embedded DRAM and embedded non-volatile ferroelectric random access memory (FERAM) on a same semiconductor die | INTEL CORPORATION |
11094508 | Film stress control for plasma enhanced chemical vapor deposition | APPLIED MATERIALS, INC. |
11094509 | Plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
11094512 | Plasma processing apparatus and plasma processing method | HITACHI HIGH-TECH CORPORATION |
11094515 | Sputtering apparatus and sputtering method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11094523 | Processing method for wafer | DISCO CORPORATION |
11094524 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11094525 | Method for cleaning semiconductor wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11094526 | Liquid composition for imparting alcohol-repellency to semiconductor substrate material, and method for treating surface of semiconductor substrate using said liquid composition | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11094527 | Wet clean solutions to prevent pattern collapse | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094528 | Surface treatment of substrates using passivation layers | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11094529 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11094530 | In-situ curing of color conversion layer | APPLIED MATERIALS, INC. |
11094531 | Semiconductor structure and method for forming the same | -- |
11094532 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11094533 | Doped and undoped vanadium oxides for low-k spacer applications | APPLIED MATERIALS, INC. |
11094534 | Surface oxidation method for wafer | SHANGHAI SIMGUI TECHNOLOGY CO., LTD. |
11094535 | Selective passivation and selective deposition | ASM IP HOLDING B.V. |
11094536 | Method of manufacturing semiconductor elements | NICHIA CORPORATION |
11094537 | Group III nitride composite substrate and method for manufacturing the same, and method for manufacturing group III nitride semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11094538 | Method of forming graphene | SAMSUNG ELECTRONICS CO., LTD. |
11094539 | Method for manufacturing nitride semiconductor substrate and nitride semiconductor substrate | SCIOCS COMPANY LIMITED |
11094540 | Manufacturing method of a pair of different crystallized metal oxide layers | -- |
11094541 | Anti-reflective coating materials | -- |
11094542 | Selective deposition of etch-stop layer for enhanced patterning | LAM RESEARCH CORPORATION |
11094543 | Defect correction on metal resists | TOKYO ELECTRON LIMITED |
11094544 | Methods of forming self-aligned vias | APPLIED MATERIALS, INC. |
11094545 | Self-aligned insulated film for high-K metal gate device | -- |
11094546 | Method for selectively depositing a metallic film on a substrate | ASM IP HOLDING B.V. |
11094547 | Method for producing wiring structure | HAMAMATSU PHOTONICS K.K. |
11094548 | Apparatus for cleaning substrate and substrate cleaning method | EBARA CORPORATION |
11094549 | Indium phosphide wafer having pits on the back side, method and etching solution for manufacturing the same | BEIJING TONGMEI XTAL TECHNOLOGY CO., LTD. |
11094550 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
11094551 | Plasma processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11094552 | Method for etching recessed structures | MURATA MANUFACTURING CO., LTD. |
11094553 | Semiconductor device and manufacturing method | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11094554 | Polishing process for forming semiconductor device structure | -- |
11094555 | CMP slurry and CMP method | -- |
11094556 | Method of manufacturing semiconductor devices using directional process | -- |
11094557 | Silicon wafer | SUMCO CORPORATION |
11094558 | Doped metal-chalcogenide thin film and method of manufacturing the same | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11094559 | Method of fastening a semiconductor chip on a lead frame, and electronic component | OSRAM OLED GMBH |
11094560 | Encapsulated semiconductor package | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11094561 | Semiconductor package structure | -- |
11094562 | Semiconductor device and method of manufacture | NEXPERIA B.V. |
11094563 | Fluid control system | ICHOR SYSTEMS, INC. |
11094564 | Processing liquid supplying apparatus, substrate processing apparatus and processing liquid supplying method | SCREEN HOLDINGS CO., LTD. |
11094565 | Substrate treating method, substrate treating liquid and substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11094566 | Substrate heating apparatus including heater under substrate support and substrate processing apparatus using the same | TOKYO ELECTRON LIMITED |
11094567 | Mounting apparatus and method for manufacturing semiconductor device | SHINKAWA LTD. |
11094568 | Processing apparatus, abnormality detection method, and storage medium | TOKYO ELECTRON LIMITED |
11094569 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11094570 | Load port having movable member that abuts a pin | HIRATA CORPORATION |
11094571 | Apparatus to increase transferspeed of semiconductor devices with micro-adjustment | ROHINNI, LLC |
11094572 | Substrate processing apparatus and recording medium | KOKUSAI ELECTRIC CORPORATION |
11094573 | Method and apparatus for thin wafer carrier | APPLIED MATERIALS, INC. |
11094574 | Substrate supporting device and plasma processing apparatus | TOSHIBA MEMORY CORPORATION |
11094575 | Simultaneous bonding approach for high quality wafer stacking applications | -- |
11094576 | Methods for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11094577 | Apparatus and methods for wafer chucking on a susceptor for ALD | APPLIED MATERIALS, INC. |
11094578 | Semiconductor structure and method for manufacturing the same | -- |
11094579 | Method of forming shallow trench isolation structure | -- |
11094580 | Structure and method to fabricate fully aligned via with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094581 | IC structure with air gaps and protective layer and method for manufacturing the same | THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11094582 | Selective deposition method to form air gaps | ASM IP HOLDING B.V. |
11094583 | Method of forming a device having a doping layer and device formed | -- |
11094584 | Method of forming semiconductor device including polysilicon structures | -- |
11094585 | Methods of forming a conductive contact structure to a top electrode of an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11094586 | Semiconductor device including interconnections having different structures and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11094587 | Use of noble metals in the formation of conductive connectors | INTEL CORPORATION |
11094588 | Interconnection structure of selective deposition process | APPLIED MATERIALS, INC. |
11094589 | Multicolor self-aligned contact selective etch | APPLIED MATERIALS, INC. |
11094590 | Structurally stable self-aligned subtractive vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094591 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094592 | Semiconductor devices and systems comprising memory cells and a source | MICRON TECHNOLOGY, INC. |
11094593 | Semiconductor device including contact structure | SAMSUNG ELECTRONICS CO., LTD. |
11094594 | Semiconductor structure with buried power rail, integrated circuit and method for manufacturing the semiconductor structure | -- |
11094595 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11094596 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11094597 | Structure and formation method of semiconductor device with fin structures | -- |
11094598 | Multiple threshold voltage devices | GLOBALFOUNDRIES U.S. INC. |
11094599 | Semiconductor structure and manufacturing method thereof | -- |
11094600 | Method of predicting warpage of silicon wafer and method of producing silicon wafer | SUMCO CORPORATION |
11094601 | Semiconductor element and method for producing the same | ROHM CO., LTD. |
11094603 | Power semiconductor device, rotating electric machine including same, and method of manufacturing power semiconductor device | DENSO CORPORATION |
11094604 | System and method to enhance solder joint reliability | WESTERN DIGITAL TECHNOLOGIES, INC. |
11094612 | Semiconductor devices including through-silicon-vias and methods of manufacturing the same and semiconductor packages including the semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11094613 | Semiconductor structure and manufacturing method thereof | -- |
11094616 | Multi-pitch leads | TEXAS INSTRUMENTS INCORPORATED |
11094617 | Semiconductor package including low side field-effect transistors and high side field-effect transistors and method of making the same | ALPHA AND OMEGA SEMICONDUCTOR (CAYMAN), LTD. |
11094619 | Package with component connected with carrier via spacer particles | INFINEON TECHNOLOGIES AG |
11094620 | Integrated capacitor with extended head bump bond pillar | TEXAS INSTRUMENTS INCORPORATED |
11094622 | Packaged semiconductor devices and methods of packaging thereof | -- |
11094624 | Semiconductor device having capacitor | SAMSUNG ELECTRONICS CO., LTD. |
11094625 | Semiconductor package with improved interposer structure | -- |
11094626 | Methods of forming interconnect structures in semiconductor fabrication | -- |
11094627 | Methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11094628 | Techniques for making integrated inductors and related semiconductor devices, electronic systems, and methods | MICROCHIP TECHNOLOGY INCORPORATED |
11094629 | 3D power device and system | IMEC VZW |
11094630 | Formation of semiconductor devices including electrically programmable fuses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094631 | Graphene layer for reduced contact resistance | -- |
11094632 | Semiconductor device with air gap and method for preparing the same | -- |
11094634 | Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof | -- |
11094635 | Package structure and method for forming the same | -- |
11094636 | Semiconductor package and method of manufacturing the semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094637 | Multi-chip package structures having embedded chip interconnect bridges and fan-out redistribution layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094639 | Semiconductor package | -- |
11094640 | Package module | SAMSUNG ELECTRONICS CO., LTD. |
11094641 | Fan-out package having a main die and a dummy die | -- |
11094642 | Package structure | -- |
11094643 | Determining overlay of features of a memory array | MICRON TECHNOLOGY, INC. |
11094644 | Integrated circuit with scribe lane patterns for defect reduction | TEXAS INSTRUMENTS INCORPORATED |
11094645 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11094646 | Methods of manufacturing an integrated circuit having stress tuning layer | -- |
11094647 | Methods and apparatus to eliminate wafer bow for CVD and patterning HVM systems | APPLIED MATERIALS, INC. |
11094649 | Semiconductor package structure and method for manufacturing the same | -- |
11094650 | Semiconductor arrangement and method of making | -- |
11094652 | Configurable radio transceiver and method thereof | -- |
11094654 | Package structure and method of manufacturing the same | -- |
11094655 | Semiconductor structure and method for forming the same | -- |
11094660 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11094661 | Bonded structure and method of manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11094662 | Semiconductor assembly and method of manufacturing the same | -- |
11094664 | Semiconductor device and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094667 | Bonding apparatus, bonding system, bonding method, and recording medium | TOKYO ELECTRON LIMITED |
11094668 | Solderless interconnect for semiconductor device assembly | MICRON TECHNOLOGY, INC. |
11094669 | Wafer level molded PPGA (pad post grid array) for low cost package | DIALOG SEMICONDUCTOR B.V. |
11094671 | Package with thinned substrate | -- |
11094680 | Packages and methods of forming packages | -- |
11094682 | Package structure and method of fabricating the same | -- |
11094684 | Edge cut debond using a temporary filler material with no adhesive properties and edge cut debond using an engineered carrier to enable topography | MICRON TECHNOLOGY, INC. |
11094688 | Isolation architecture | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11094692 | Semiconductor structure having active regions with different dopant concentrations | -- |
11094694 | Buried channel semiconductor device and method for manufacturing the same | -- |
11094695 | Integrated circuit device and method of forming the same | -- |
11094700 | Well strap structures and methods of forming the same | -- |
11094704 | Method of forming a three-dimensional memory device and a driver circuit on opposite sides of a substrate | SANDISK TECHNOLOGIES LLC |
11094706 | NAND unit cells | MICRON TECHNOLOGY, INC. |
11094707 | NAND unit cells | MICRON TECHNOLOGY, INC. |
11094708 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11094709 | Method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11094714 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11094715 | Three-dimensional memory device including different height memory stack structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11094716 | Source contact and channel interface to reduce body charging from band-to-band tunneling | INTEL CORPORATION |
11094727 | Camera module, molding photosensitive assembly thereof, manufacturing method thereof and electronic device | NINGBO SUNNY OPOTECH CO., LTD. |
11094729 | Semiconductor device and method of manufacturing the same, and electronic apparatus | SONY CORPORATION |
11094730 | Solid-state imaging device having through electrode provided therein and electronic apparatus incorporating the solid-state imaging device | SONY CORPORATION |
11094776 | Structure and formation method of semiconductor device with magnetic element covered by polymer material | -- |
11094778 | Capacitor with high work function interface layer | SK HYNIX INC. |
11094779 | Semiconductor device having an edge termination region comprising a first edge termination region of a second conductivity type adjacent to a second edge termination region of a first conductivity type | INFINEON TECHNOLOGIES AG |
11094780 | Lateral superjunction transistor device and method for producing thereof | INFINEON TECHNOLOGIES DRESDEN GMBH & CO. KG |
11094785 | Deuterium-based passivation of non-planar transistor interfaces | INTEL CORPORATION |
11094787 | Method of manufacturing semiconductor device and semiconductor device | FUJI ELECTRIC CO., LTD. |
11094788 | Semiconductor device and manufacturing method thereof | -- |
11094789 | Thin film transistor and method for manufacturing the same, array substrate, and display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11094792 | Manufacturing method of split gate structure and split gate structure | -- |
11094794 | Air spacer structures | GLOBALFOUNDRIES U.S. INC. |
11094795 | Semiconductor device and method for manufacturing the same | -- |
11094796 | Transistor spacer structures | -- |
11094798 | Vertical FET with symmetric junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094799 | Thin film transistor and manufacturing method thereof, array substrate and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11094801 | Oxide isolated fin-type field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094803 | Nanosheet device with tall suspension and tight contacted gate poly-pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094804 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11094805 | Lateral heterojunction bipolar transistors with asymmetric junctions | GLOBALFOUNDRIES U.S. INC. |
11094806 | Fabricating transistors with implanting dopants at first and second dosages in the collector region to form the base region | TEXAS INSTRUMENTS INCORPORATED |
11094808 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11094810 | Semiconductor device and manufacturing method of semiconductor device | FUJI ELECTRIC CO., LTD. |
11094811 | Semiconductor device and manufacturing method thereof | -- |
11094814 | Semiconductor power device | -- |
11094816 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11094817 | Drain extended NMOS transistor | TEXAS INSTRUMENTS INCORPORATED |
11094819 | Stacked vertical tunnel FET devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094820 | Mobile ferroelectric single domain wall implementation of a symmetric resistive processing unit | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094821 | Transistor structure and method with strain effect | -- |
11094822 | Source/drain regions for transistor devices and methods of forming same | GLOBALFOUNDRIES U.S. INC. |
11094823 | Stress induction in 3D device channel using elastic relaxation of high stress material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11094824 | Forming a sacrificial liner for dual channel devices | TESSERA, INC. |
11094825 | FinFET device with fins of non-uniform width | -- |
11094826 | FinFET device and method of forming same | -- |
11094827 | Semiconductor devices with uniform gate height and method of forming same | GLOBALFOUNDRIES U.S. INC. |
11094828 | Geometry for threshold voltage tuning on semiconductor device | -- |
11094831 | Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device | INTEL CORPORATION |
11094833 | Semiconductor device including memory using hafnium and a method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11094834 | Junction field effect transistor (JFET) structure and methods to form same | GLOBALFOUNDRIES U.S. INC. |
11094835 | Silicon carbide substrate, method for manufacturing silicon carbide substrate, and method for manufacturing silicon carbide semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11094838 | Texturization method of silicon wafers, product obtained therefrom and preparation method of solar cells | CSI CELLS CO., LTD. |
11094858 | Tape, encapsulating process and optical device | -- |
11094894 | Method for manufacturing a display motherboard | BOE TECHNOLOGY GROUP CO., LTD. |
11095096 | Method for a GaN vertical microcavity surface emitting laser (VCSEL) | YALE UNIVERSITY |
11095251 | Performance calculation system, performance calculation method, and electronic device | -- |
11096269 | Printed circuit board assembly | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11096287 | Method of manufacturing packaged board | DISCO CORPORATION |
11096319 | Method of manufacturing electronic device using large-scale transferring method | EWHA UNIVERSITY-INDUSTRY COLLABORATION FOUNDATION |
11097306 | Support for bonding a workpiece and method thereof | MICRO MATERIALS INC. |
11097320 | FOUP cleaning device and FOUP cleaning method | STI CO., LTD. |
11097426 | Carrier system, exposure apparatus, carrier method, exposure method, device manufacturing method, and suction device | NIKON CORPORATION |
11097907 | Substrate transfer device and substrate transfer method | TOKYO ELECTRON LIMITED |
11097913 | Transport roller | ATOTECH DEUTSCHLAND GMBH |
11097942 | Through silicon via (TSV) formation in integrated circuits | ANALOG DEVICES, INC. |
11097943 | Method for fabricating a membrane device including membrane having wrinkles formed along trenches | KOREA INSTITUTE OF SCIENCE AND TECHNOLOGY |
11098170 | Film and method for its production | AGC INC. |
11098397 | Yttrium fluoride spray material, yttrium oxyfluoride-deposited article, and making methods | SHIN-ETSU CHEMICAL CO., LTD. |
11098398 | Yttrium fluoride spray material, yttrium oxyfluoride-deposited article, and making methods | SHIN-ETSU CHEMICAL CO., LTD. |
11098402 | Storage and delivery of antimony-containing materials to an ion implanter | PRAXAIR TECHNOLOGY, INC. |
11098404 | Multi-station chamber lid with precise temperature and flow control | APPLIED MATERIALS, INC. |
11098406 | Substrate support unit and deposition apparatus including the same | SAMSUNG ELECTRONICS CO., LTD. |
11098414 | Plating system, a plating system control method, and a storage medium containing a program for causing a computer to execute the plating system control method | EBARA CORPORATION |
11098759 | Support table for a lithographic apparatus, method of loading a substrate, lithographic apparatus and device manufacturing method | ASML NETHERLANDS B.V. |
11099036 | 360 degree position sensor | INTEGRATED DEVICE TECHNOLOGY, INC. |
11099139 | Photolithography method and photolithography system | -- |
11099142 | X-ray based measurements in patterned structure | NOVA MEASURING INSTRUMENTS LTD. |
11099152 | Backside CMOS compatible BioFET with no plasma induced damage | -- |
11099230 | Electromigration test structures for void localization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11099441 | Wire substrate and display device including the same | SAMSUNG DISPLAY CO., LTD. |
11099478 | Photomask having recessed region | -- |
11099486 | Generating predicted data for control or monitoring of a production process | ASML NETHERLANDS B.V. |
11099490 | Inspection substrate and an inspection method | ASML NETHERLANDS B.V. |
11099546 | Scheduler, substrate processing apparatus, and substrate conveyance method | EBARA CORPORATION |
11100628 | Thickness measurement of substrate using color metrology | APPLIED MATERIALS, INC. |
11100857 | Display device and electronic apparatus | SONY CORPORATION |
11100971 | Ferroelectric domain regulated optical readout mode memory and preparing method thereof | SHANGHAI INSTITUTE OF TECHNICAL PHYSICS OF THE CHINESE ACADEMY OF SCIENCES |
11101072 | Capacitor with limited substrate capacitance | MURATA MANUFACTURING CO., LTD. |
11101107 | Ceramic layer for electrostatic chuck including embedded faraday cage for RF delivery and associated methods | LAM RESEARCH CORPORATION |
11101108 | Nanosecond pulser ADC system | EAGLE HARBOR TECHNOLOGIES INC. |
11101110 | Impedance matching network and method | RENO TECHNOLOGIES, INC. |
11101111 | Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11101112 | Plasma processing device and plasma processing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11101113 | Ion-ion plasma atomic layer etch process | APPLIED MATERIALS, INC. |
11101114 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11101115 | Ring removal from processing chamber | APPLIED MATERIALS, INC. |
11101128 | Methods for gapfill in substrates | APPLIED MATERIALS, INC. |
11101129 | Ultrathin atomic layer deposition film accuracy thickness control | LAM RESEARCH CORPORATION |
11101130 | Method of filling grooves and holes in a substrate | RAYTHEON COMPANY |
11101131 | Film forming method and film forming apparatus | TOKYO ELECTRON LIMITED |
11101132 | Method and device for bonding of substrates | EV GROUP E. THALLNER GMBH |
11101133 | Semiconductor device and manufacturing method thereof | MITSUBISHI ELECTRIC CORPORATION |
11101134 | Method and apparatus to eliminate contaminant particles from an accelerated neutral atom beam and thereby protect a beam target | EXOGENESIS CORPORATION |
11101135 | Semiconductor device and method of manufacture | -- |
11101136 | Process window widening using coated parts in plasma etch processes | APPLIED MATERIALS, INC. |
11101137 | Method of making reverse conducting insulated gate bipolar transistor | ALPHA AND OMEGA SEMICONDUCTOR INTERNATIONAL LP |
11101138 | Etching method | TOKYO ELECTRON LIMITED |
11101139 | Etched nickel plated substrate and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11101140 | Semiconductor device and method of manufacture | -- |
11101141 | Method for reducing defects of electronic components by a supercritical fluid | -- |
11101142 | Pre-heat processes for millisecond anneal system | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGV CO., LTD. |
11101143 | Semiconductor device and manufacturing method thereof | -- |
11101144 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11101145 | Semiconductor device with dummy micro bumps between stacking dies to improve flowability of underfill material | -- |
11101146 | Substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11101147 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11101148 | Semiconductor processing apparatus and method | WUXI HUAYING MICROELECTRONICS TECHNOLOGY CO., LTD |
11101149 | Semiconductor fabrication with electrochemical apparatus | -- |
11101150 | Wafer grinding apparatus and wafer grinding method | MITSUBISHI ELECTRIC CORPORATION |
11101151 | Package substrate processing method | DISCO CORPORATION |
11101152 | Phase mixture temperature controlled hot plate | TOKYO ELECTRON LIMITED |
11101153 | Parameter-stable misregistration measurement amelioration in semiconductor devices | KLA CORPORATION |
11101154 | Method of processing target substrate | TOKYO ELECTRON LIMITED |
11101155 | Board storing container | MIRAIAL CO., LTD. |
11101156 | Substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11101157 | Substrate processing system | -- |
11101158 | Wafer-scale membrane release laminates, devices and processes | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11101159 | Pickup head with photocurable polymers for assembling light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11101160 | Device packaging using a recyclable carrier substrate | SKYWORKS SOLUTIONS, INC. |
11101161 | Substrate holding member | NGK SPARK PLUG CO., LTD. |
11101162 | Chuck table, cutting apparatus, and method correcting chuck table | DISCO CORPORATION |
11101163 | Systems and methods for automated robotic arm sensing | -- |
11101164 | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition | LAM RESEARCH CORPORATION |
11101165 | Method for fabricating semiconductor device comprising a deep trench isolation structure and a trap rich isolation structure in a substrate | -- |
11101166 | Semiconductor device including isolation layers and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11101167 | Semiconductor device manufacturing method and semiconductor device | TOSHIBA MEMORY CORPORATION |
11101168 | Profile of deep trench isolation structure for isolation of high-voltage devices | -- |
11101169 | Interconnect structures with airgaps arranged between capped interconnects | GLOBALFOUNDRIES U.S. INC. |
11101170 | Dual airgap structure | GLOBALFOUNDRIES U.S. INC. |
11101171 | Apparatus comprising structures including contact vias and conductive lines, related methods, and memory devices | MICRON TECHNOLOGY, INC. |
11101172 | Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101173 | Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same | TOKYO ELECTRON LIMITED |
11101174 | Gap fill deposition process | APPLIED MATERIALS, INC. |
11101175 | Tall trenches for via chamferless and self forming barrier | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101176 | Method of fabricating redistribution circuit structure | -- |
11101177 | Semiconductor structure and method for forming the same | -- |
11101178 | Semiconductor integrated circuit | -- |
11101179 | Semiconductor structure with protection portions and method for forming the same | -- |
11101180 | Semiconductor device and method of manufacture | -- |
11101181 | Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101182 | Nanosheet transistors with different gate dielectrics and workfunction metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101183 | Gate spacer formation for scaled CMOS devices | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11101184 | Method and apparatus to determine a patterning process parameter | ASML NETHERLANDS B.V. |
11101185 | Method and apparatus to determine a patterning process parameter | ASML NETHERLANDS B.V. |
11101186 | Substrate structure having pad portions | -- |
11101187 | Semiconductor device | ROHM CO., LTD. |
11101188 | Cap for package of integrated circuit | STMICROELECTRONICS (GRENOBLE 2) SAS |
11101189 | Semiconductor device package and method of manufacturing the same | -- |
11101192 | Wafer level embedded heat spreader | -- |
11101193 | Power electronics modules including integrated jet cooling | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11101195 | Package structure and method for forming the same | -- |
11101196 | Semiconductor device including through substrate vias and method of manufacturing the semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11101197 | Leadframe systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11101200 | Surface-mount integrated circuit package with coated surfaces for improved solder connection | MICROCHIP TECHNOLOGY INCORPORATED |
11101203 | Wiring structure comprising intermediate layer including a plurality of sub-layers | -- |
11101209 | Redistribution structures in semiconductor packages and methods of forming same | -- |
11101210 | Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks | MICRON TECHNOLOGY, INC. |
11101211 | Semiconductor device with backside inductor using through silicon vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101212 | Thin film resistor with punch-through vias | TEXAS INSTRUMENTS INCORPORATED |
11101216 | Metal line structure and method | -- |
11101217 | Buried power rail for transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101219 | Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101220 | Through-package partial via on package edge | QUALCOMM INCORPORATED |
11101221 | Input/output pins for chip-embedded substrate | INFINEON TECHNOLOGIES AMERICAS CORP. |
11101224 | Wrapped signal shielding in a wafer fanout package | FUTUREWEI TECHNOLOGIES, INC. |
11101229 | Semiconductor device and method for fabricating the same | -- |
11101231 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11101233 | Semiconductor device and method for forming the same | -- |
11101235 | Fabrication method of semiconductor package with stacked semiconductor chips | -- |
11101236 | Semiconductor package and method of forming the same | -- |
11101237 | Semiconductor device structure having semiconductor die bonded to redistribution layer via electrical pad with barrier layer | -- |
11101239 | Process for packaging component | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11101240 | Isolation bonding film for semiconductor packages and methods of forming the same | -- |
11101242 | Semiconductor device and method of manufacturing same | TOSHIBA MEMORY CORPORATION |
11101244 | Stacked semiconductor die assemblies with die support members and associated systems and methods | MICRON TECHNOLOGY, INC. |
11101246 | Semiconductor device having chips attached to support members through silver sintered bodies with particles | DENSO CORPORATION |
11101252 | Package-on-package structure and manufacturing method thereof | -- |
11101254 | Flip-chip like integrated passive prepackage for SIP device | INTEL CORPORATION |
11101260 | Method of forming a dummy die of an integrated circuit having an embedded annular structure | -- |
11101261 | Package-on-package structures and methods for forming the same | -- |
11101266 | 3D device and devices with bonding | MONOLITHIC 3D INC. |
11101267 | Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11101268 | Transistors employing non-selective deposition of source/drain material | INTEL CORPORATION |
11101269 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11101270 | Techniques and mechanisms for operation of stacked transistors | INTEL CORPORATION |
11101274 | Ferroelectric capacitor, a ferroelectric memory cell, an array of ferroelectric memory cells, and a method of forming a ferroelectric capacitor | MICRON TECHNOLOGY, INC. |
11101276 | Word line contact structure for three-dimensional memory devices and fabrication methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11101277 | Process for manufacturing NOR memory cell with vertical floating gate | GREENLIANT IP, LLC. |
11101279 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11101280 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11101281 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11101284 | Three-dimensional memory device containing etch stop structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11101286 | Three-dimensional memory device with source structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11101288 | Three-dimensional memory device containing plural work function word lines and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11101290 | Cross-point multilayer stackable ferroelectric field-effect transistor random access memory | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101293 | Semiconductor device and method for manufacturing the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101295 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11101301 | Array substrate and manufacturing method therefor, display panel and display apparatus | BOE TECHNOLOGY GROUP CO., LTD. |
11101313 | Solid-state imaging device and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11101325 | Semiconductor memory and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11101342 | Deep trench intersections | TEXAS INSTRUMENTS INCORPORATED |
11101343 | Silicon carbide field-effect transistor including shielding areas | INFINEON TECHNOLOGIES AG |
11101344 | Structure and formation method of semiconductor device structure with gate stack | -- |
11101346 | Edge termination designs for semiconductor power devices | HUNTECH SEMICONDUCTOR (SHANGHAI) CO. LTD |
11101348 | Nanosheet field effect transistor with spacers between sheets | GLOBALFOUNDRIES U.S. INC. |
11101350 | Integrated circuit with germanium-rich channel transistors including one or more dopant diffusion barrier elements | INTEL CORPORATION |
11101351 | Group III nitride semiconductor device and method of manufacturing group III nitride semiconductor substrate | KABUSHIKI KAISHA TOSHIBA |
11101353 | Semiconductor device and method of manufacture | -- |
11101354 | Method for forming semiconductor device structure with metal silicide layer | -- |
11101357 | Asymmetric high-k dielectric for reducing gate induced drain leakage | TESSERA, INC. |
11101359 | Gate-all-around (GAA) method and devices | -- |
11101360 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11101361 | Gate-all-around (GAA) transistor and method of fabricating the same | -- |
11101364 | Field-effect transistors with diffusion blocking spacer sections | GLOBALFOUNDRIES U.S. INC. |
11101365 | Method for fabricating semiconductor device and semiconductor device fabricated by the same | SAMSUNG ELECTRONICS CO., LTD. |
11101366 | Remote plasma oxide layer | -- |
11101367 | Contact-first field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11101368 | Method of forming crystallized semiconductor layer, method of fabricating thin film transistor, thin film transistor, and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11101369 | FinFET device with controlled channel stop layer depth | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11101370 | Method of forming a germanium oxynitride film | ASM IP HOLDING B.V. |
11101371 | Structure and method for vertical tunneling field effect transistor with leveled source and drain | -- |
11101372 | Double-sided vertical power transistor structure | -- |
11101376 | Non-planar transition metal dichalcogenide devices | INTEL CORPORATION |
11101378 | Semiconductor structure having both enhancement mode group III-N high electron mobility transistors and depletion mode group III-N high electron mobility transistors | RAYTHEON COMPANY |
11101380 | Group III-nitride integrated front-end circuit | INTEL CORPORATION |
11101381 | Structure of high voltage transistor and method for fabricating the same | -- |
11101385 | Fin field effect transistor (FinFET) device structure with air gap and method for forming the same | -- |
11101387 | Low temperature polysilicon layer, thin film transistor, and method for manufacturing same | -- |
11101388 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11101389 | Dual-use semiconductor device for solar power and data storage | MARVELL ASIA PTE, LTD. |
11101394 | Method of transferring tin sulfide film and photoelectric device using the method | INU RESEARCH & BUSINESS FOUNDATION |
11101428 | Method for the production of a single-crystal film, in particular piezoeletric | SOITEC |
11101540 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11101541 | Semiconductor assembly and method for manufacturing the same | -- |
11101786 | HF-VHF quartz MEMS resonator | HRL LABORATORIES, LLC |
11101840 | Chip radio frequency package and radio frequency module | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11102887 | Electrical connection device | AUTONETWORKS TECHNOLOGIES, LTD. |
11102921 | Electrically testing cleanliness of a panel having an electronic assembly | IEC ELECTRONICS CORP. |
11103168 | Systems and methods for in vivo detection of electrophysiological and electrochemical signals | NEW YORK UNIVERSITY |
11103898 | Methods and apparatus for cleaning substrates | ACM RESEARCH, INC. |
11103946 | Method for bonding large modules, and bonding arrangement | HESSE GMBH |
11103959 | Laser processing method, and laser processing device | HAMAMATSU PHOTONICS K.K. |
11103969 | Cutting apparatus | DISCO CORPORATION |
11103972 | Buff processing device and substrate processing device | EBARA CORPORATION |
11104496 | Non-sealed reticle storage device | -- |
11104573 | Semiconductor arrangement with one or more semiconductor columns | -- |
11104825 | Metal compound chemically anchored colloidal particles and methods of production and use thereof | VERSUM MATERIALS US, LLC |
11104832 | Liquid epoxy resin sealing material and semiconductor device | NAMICS CORPORATION |
11104848 | Etching solution for silicon substrate and method for manufacturing semiconductor device using the same | OCI COMPANY LTD. |
11104990 | Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films | VERSUM MATERIALS US, LLC |
11104992 | Substrate processing apparatus, non-transitory computer-readable recording medium thereof and semiconductor manufacturing method by employing thereof | KOKUSAI ELECTRIC CORPORATION |
11104996 | Heating stage and apparatus having the same | -- |
11104997 | Substrate processing apparatus and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11105014 | Distribution system for chemical and/or electrolytic surface treatment | SEMSYSCO GMBH |
11105765 | Biosensor device and method for manufacturing thereof and method for detecting biological molecules | -- |
11105770 | Nanopore and DNA sensor employing nanopore | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11105848 | Probe card with angled probe and wafer testing method using the same | -- |
11105974 | Waveguide-coupled silicon-germanium photodetectors and fabrication methods for same | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11106138 | Lithography process and material for negative tone development | -- |
11106835 | Method of manufacturing conductive lines in a circuit | -- |
11107540 | Program disturb improvements in multi-tier memory devices including improved non-data conductive gate implementation | SANDISK TECHNOLOGIES LLC |
11107613 | On-chip resistor trimming to compensate for process variation | STMICROELECTRONICS INTERNATIONAL N.V. |
11107630 | Integration scheme for breakdown voltage enhancement of a piezoelectric metal-insulator-metal device | -- |
11107637 | Variable capacitance element | MURATA MANUFACTURING CO., LTD. |
11107658 | Fill pattern to enhance e-beam process margin | INTEL CORPORATION |
11107663 | Plasma processing system and plasma processing method | TOKYO ELECTRON LIMITED |
11107664 | Plasma processing apparatus and prediction apparatus of the condition of plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
11107671 | Method of processing semiconductor substrate | -- |
11107672 | Method of manufacturing semiconductor device and method of cleaning substrate | -- |
11107673 | Formation of SiOCN thin films | ASM IP HOLDING B.V. |
11107674 | Methods for depositing silicon nitride | APPLIED MATERIALS, INC. |
11107675 | CVD Mo deposition by using MoOCl<sub>4</sub> | ENTEGRIS, INC. |
11107676 | Method and apparatus for filling a gap | ASM IP HOLDING B.V. |
11107677 | Method for manufacturing SiC epitaxial substrate | MITSUBISHI ELECTRIC CORPORATION |
11107678 | Wafer process, apparatus and method of manufacturing an article | CANON KABUSHIKI KAISHA |
11107679 | Method of processing a target material | NATIONAL UNIVERSITY OF IRELAND, GALWAY |
11107680 | Mask assembly and method for fabricating a chip package | -- |
11107681 | Method of fabricating semiconductor device by removing material on back side of substrate | SAMSUNG ELECTRONICS CO., LTD. |
11107682 | Method for patterning a substrate using a layer with multiple materials | TOKYO ELECTRON LIMITED |
11107683 | Selective growth of metal-containing hardmask thin films | LAM RESEARCH CORPORATION |
11107684 | Diamond semiconductor system and method | AKHAN SEMICONDUCTOR, INC. |
11107685 | Semiconductor manufacturing method and semiconductor manufacturing device | MITSUBISHI ELECTRIC CORPORATION |
11107686 | Methods for manufacturing semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11107687 | Semiconductor epitaxial wafer and method of producing semiconductor epitaxial wafer, and method of producing solid-state imaging device | SUMCO CORPORATION |
11107688 | Semiconductor device manufacturing method | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11107689 | Method for fabricating semiconductor device | -- |
11107690 | Fin field-effect transistor device and method of forming the same | -- |
11107691 | Method of manufacturing semiconductor device | DENSO CORPORATION |
11107692 | Etching method | TOKYO ELECTRON LIMITED |
11107693 | Method for high aspect ratio photoresist removal in pure reducing plasma | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11107694 | Method for releasing sample and plasma processing apparatus using same | HITACHI HIGH-TECH CORPORATION |
11107695 | Surface smoothing of workpieces | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11107696 | Implantation for etch stop liner | XILINX, INC. |
11107697 | Floating gate fabrication method | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107698 | Substrate treating method | SCREEN HOLDINGS CO., LTD. |
11107699 | Semiconductor manufacturing process | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11107700 | Semiconductor package method of fabricating semiconductor package and method of fabricating re-distribution structure | SAMSUNG ELECTRONICS CO., LTD. |
11107701 | Stiffener package and method of fabricating stiffener package | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11107702 | Method for creating through-connected vias and conductors on a substrate | SAMTEC, INC. |
11107703 | Monolithic, biocompatible feedthrough for hermetically sealed electronics and methods of manufacture | NEURALINK CORP. |
11107704 | Gas input system for a substrate processing chamber | APPLIED MATERIALS, INC. |
11107705 | Cleaning solution production systems and methods, and plasma reaction tanks | SAMSUNG ELECTRONICS CO., LTD. |
11107706 | Gas phase etching device and gas phase etching apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11107707 | Wet etch apparatus and method of using the same | -- |
11107708 | Heating platform, thermal treatment and manufacturing method | -- |
11107709 | Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods | APPLIED MATERIALS, INC. |
11107711 | Micro light emitting diode transferring apparatus, method for transferring micro light emitting diode, and display apparatus | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11107712 | Techniques for thermal treatment of electronic devices | KATEEVA, INC. |
11107713 | Conveying system and method for operating the same | -- |
11107714 | Electromigration evaluation methodology with consideration of thermal and signal effects | -- |
11107715 | Semiconductor stocker systems and methods | BROOKS AUTOMATION (GERMANY) GMBH |
11107716 | Automation line for processing a molded panel | PYXIS CF PTE. LTD. |
11107717 | Wafer box conveyor | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
11107718 | Measurement system, substrate processing system, and device manufacturing method | NIKON CORPORATION |
11107719 | Electrostatic chuck device and method for manufacturing electrostatic chuck device | SUMITOMO OSAKA CEMENT CO., LTD. |
11107720 | Methods of manufacturing vertical semiconductor diodes using an engineered substrate | QROMIS, INC. |
11107721 | 3D semiconductor device and structure with NAND logic | MONOLITHIC 3D INC. |
11107722 | Thin-plate substrate holding finger and transfer robot provided with said finger | RORZE CORPORATION |
11107723 | Method of fabricating semiconductor device | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11107724 | Methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107725 | Interconnect structure and manufacturing method for the same | -- |
11107726 | Method for manufacturing bonding pad in semiconductor device | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107727 | Double metal double patterning with vias extending into dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107728 | Interconnects with tight pitch and reduced resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107729 | Semiconductor memory device and manufacturing method thereof | SK HYNIX INC. |
11107730 | Method of manufacturing semiconductor device with anti-fuse structures | -- |
11107731 | Self-aligned repaired top via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107732 | Methods for processing a wide band gap semiconductor wafer, methods for forming a plurality of thin wide band gap semiconductor wafers, and wide band gap semiconductor wafers | INFINEON TECHNOLOGIES AG |
11107733 | Multi-dimensional planes of logic and memory formation using single crystal silicon orientations | TOKYO ELECTRON LIMITED |
11107734 | Semiconductor device and manufacturing method thereof | -- |
11107735 | Methods of forming epitaxial structures in fin-like field effect transistors | -- |
11107736 | Gate structures for semiconductor devices | -- |
11107737 | Control wafer and method for fabricating semiconductor device | -- |
11107738 | Layer detection for high aspect ratio etch control | NOVA LTD. |
11107741 | Composite ceramic multilayer substrate, heat generating element-mounting module, and method of producing composite ceramic multilayer substrate | MURATA MANUFACTURING CO., LTD. |
11107742 | Electronic devices and fabricating processes | STMICROELECTRONICS (GRENOBLE 2) SAS |
11107744 | Insulated gate bipolar transistor module and manufacturing method thereof | RAYBEN TECHNOLOGIES (ZHUHAI) LIMITED |
11107746 | Power semiconductor apparatus and manufacturing method therefor | MITSUBISHI ELECTRIC CORPORATION |
11107747 | Semiconductor package with composite thermal interface material structure and method of forming the same | -- |
11107751 | Face-to-face through-silicon via multi-chip semiconductor apparatus with redistribution layer packaging and methods of assembling same | INTEL CORPORATION |
11107752 | Half buried nFET/pFET epitaxy source/drain strap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107753 | Packaging structure for gallium nitride devices | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11107754 | Electronic device, leadframe for an electronic device and method for fabricating an electronic device and a leadframe | INFINEON TECHNOLOGIES AG |
11107756 | Semiconductor device and method for manufacturing the same, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11107757 | Integrated circuit structures in package substrates | INTEL CORPORATION |
11107758 | Fan-out package structure and method | -- |
11107759 | Chip package and manufacturing method thereof | -- |
11107760 | Semiconductor device, electric power conversion apparatus and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11107762 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11107765 | Three-dimensional semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107766 | Substrate with embedded stacked through-silicon via die | INTEL CORPORATION |
11107767 | Structure for standard logic performance improvement having a back-side through-substrate-via | -- |
11107770 | Integrated electrical/optical interface with two-tiered packaging | XILINX, INC. |
11107771 | Segregated power and ground design for yield improvement | -- |
11107772 | Semiconductor package and method of manufacturing semiconductor package | -- |
11107773 | Semiconductor device, semiconductor chip and method of dicing a semiconductor substrate | SAMSUNG ELECTRONICS CO., LTD. |
11107774 | Semiconductor device package and method of manufacturing the same | -- |
11107779 | Semiconductor package and manufacturing method thereof | -- |
11107781 | RFIC having coaxial interconnect and molded layer | INTEL CORPORATION |
11107786 | Pattern decomposition lithography techniques | INTEL CORPORATION |
11107787 | Member for semiconductor device | FUJI ELECTRIC CO., LTD. |
11107789 | Method for manufacturing semiconductor device | MITSUI CHEMICALS TOHCELLO, INC. |
11107791 | Semiconductor package structure and method for manufacturing the same | -- |
11107794 | Multi-wafer stack structure and forming method thereof | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11107798 | Semiconductor packages and methods of forming the same | -- |
11107799 | Hybrid system including photonic and electronic integrated circuits and cooling plate | PSIQUANTUM, CORP. |
11107801 | Multi fan-out package structure and method for forming the same | -- |
11107803 | Method to construct 3D devices and systems | MONOLITHIC 3D INC. |
11107804 | IC with test structures and e-beam pads embedded within a contiguous standard cell area | PDF SOLUTIONS, INC. |
11107805 | Integrated circuit | -- |
11107809 | Semiconductor device with nanowire plugs and method for fabricating the same | -- |
11107810 | Fin field effect transistor (FinFET) device structure and method for forming the same | -- |
11107811 | Metallization structures under a semiconductor device layer | INTEL CORPORATION |
11107812 | Method of fabricating stacked semiconductor device | IMEC VZW |
11107813 | Semiconductor device and manufacturing method thereof | -- |
11107814 | Vertical fin field effect transistor devices with a replacement metal gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107815 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11107819 | Memory cells, semiconductor devices comprising memory cells, and related systems | MICRON TECHNOLOGY, INC. |
11107820 | Semiconductor device and method for fabricating the same | -- |
11107821 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107823 | Integrated structures and methods of forming integrated structures | MICRON TECHNOLOGY, INC. |
11107830 | Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11107831 | Methods of forming integrated assemblies include stacked memory decks | MICRON TECHNOLOGY, INC. |
11107832 | Apparatuses including memory cells and related methods | MICRON TECHNOLOGY, INC. |
11107834 | Staircase and contact structures for three-dimensional memory | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11107836 | Semiconductor device structure and method for forming the same | -- |
11107844 | Display device | LG DISPLAY CO., LTD. |
11107846 | Semiconductor device, manufacturing method thereof, and separation apparatus | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107855 | Method for bonding and connecting substrates | SONY CORPORATION |
11107878 | High resistivity iron-based, thermally stable magnetic material for on-chip integrated inductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107880 | Capacitor structure for integrated circuit, and related methods | GLOBALFOUNDRIES U.S. INC. |
11107882 | Integrated circuit device including complementary metal-oxide-semiconductor transistor with field cut regions to increase carrier mobility | SAMSUNG ELECTRONICS CO., LTD. |
11107883 | Device isolator with reduced parasitic capacitance | TEXAS INSTRUMENTS INCORPORATED |
11107884 | Sealed cavity structures with a planar surface | GLOBALFOUNDRIES U.S. INC. |
11107888 | Method for manufacturing semiconductor device | -- |
11107889 | Fin field effect transistor (FinFET) device having position-dependent heat generation | -- |
11107892 | SiC epitaxial wafer and method for producing same | SHOWA DENKO K.K. |
11107893 | Method for forming a semiconductor device and a semiconductor device | INFINEON TECHNOLOGIES AG |
11107896 | Vertical interconnect features and methods of forming | -- |
11107897 | Methods of forming semiconductor devices and FinFET devices having shielding layers | -- |
11107898 | Semiconductor device and method for manufacturing same | KABUSHIKI KAISHA TOSHIBA |
11107899 | Plate design to decrease noise in semiconductor devices | -- |
11107900 | Dual-gate transistors and their integrated circuits and preparation method thereof | PEKING UNIVERSITY |
11107901 | Charge storage memory device including ferroelectric layer between control gate electrode layers and methods of making the same | SANDISK TECHNOLOGIES LLC |
11107902 | Dielectric spacer to prevent contacting shorting | -- |
11107903 | Selective silicon growth for gapfill improvement | -- |
11107904 | Inner spacer formation in multi-gate transistors | -- |
11107905 | Vertical field effect transistors with self aligned source/drain junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11107907 | Semiconductor device and method for manufacturing the same | -- |
11107908 | Transistors with metal source and drain contacts including a Heusler alloy | INTEL CORPORATION |
11107910 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11107911 | Semiconductor device and method for manufacturing same | DENSO CORPORATION |
11107912 | Trench gate semiconductor device with dummy gate electrode and manufacturing method of the same | RENESAS ELECTRONICS CORPORATION |
11107914 | Metal-oxide semiconductor for field-effect transistor having enhanced high-frequency performance | -- |
11107919 | Method of manufacturing semiconductor device including ferroelectric layer having columnar-shaped crystals | -- |
11107921 | Source/drain recess in a semiconductor device | -- |
11107922 | Gate structure and method with enhanced gate contact and threshold voltage | -- |
11107923 | Source/drain regions of FinFET devices and methods of forming same | -- |
11107924 | Systems and methods to reduce FinFET gate capacitance | INTEL CORPORATION |
11107925 | Methods of forming contact features in field-effect transistors | -- |
11107926 | Oxide semiconductor film and method for producing same | FLOSFIA INC. |
11107930 | Semiconductor device and display device including the semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11107931 | Structure and formation method of semiconductor device structure with nanowires | -- |
11107943 | Method and device for transporting an arrangement of flexible circuit substrates during the production of a laminate therefrom | MÜHLBAUER GMBH & CO. KG |
11107946 | Method of transferring micro-LEDs from a gallium arsenide substrate | GOERTEK INC. |
11107947 | Micro light emitting diode | UNION CITY |
11107948 | Fluidic pick-up head for assembling light emitting diodes | FACEBOOK TECHNOLOGIES, LLC |
11107974 | Magnetic tunnel junction devices including a free magnetic trench layer and a planar reference magnetic layer | SPIN MEMORY, INC. |
11107976 | Magnetic tunnel junction, spintronics device using same, and method for manufacturing magnetic tunnel junction | NATIONAL INSTITUTE FOR MATERIALS SCIENCE |
11107979 | Patterned silicide structures and methods of manufacture | SPIN MEMORY, INC. |
11108017 | Organic light emitting diode device package structure and method of manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11109171 | Semiconductor device and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11109452 | Modular LED heater | APPLIED MATERIALS, INC. |
11109486 | High-speed, flexible integrated circuits and methods for making high-speed, flexible integrated circuits | WISCONSIN ALUMNI RESEARCH FOUNDATION |
11109667 | Device of bi-spiral cleaning brush | -- |
11110383 | Gas abatement apparatus | APPLIED MATERIALS, INC. |
11110598 | Compact direct drive spindle | BROOKS AUTOMATION, INC. |
11110599 | Robot, control device of robot, and method of teaching position of robot | KAWASAKI JUKOGYO KABUSHIKI KAISHA |
11111134 | Method for processing conductive structure | AAC ACOUSTIC TECHNOLOGIES (SHENZHEN) CO., LTD. |
11111136 | Use of a reactive, or reducing gas as a method to increase contact lifetime in micro contact MEMS switch devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11111225 | Calixarene compound and curable composition | DIC CORPORATION |
11111256 | High purity trisilylamine, methods of making, and use | JIANGSU NATA OPTO-ELECTRONIC MATERIALS CO. LTD. |
11111412 | Polishing composition, method for producing polishing composition, and polishing method | FUJIMI INCORPORATED |
11111413 | Chemical-mechanical polishing solution having high silicon nitride selectivity | ANJI MICROELECTRONICS TECHNOLOGY (SHANGHAI) CO., LTD. |
11111414 | Polishing composition | FUJIMI INCORPORATED |
11111415 | Chemical mechanical planarization of films comprising elemental silicon | VERSUM MATERIALS US, LLC |
11111435 | Tungsten chemical mechanical planarization (CMP) with low dishing and low erosion topography | VERSUM MATERIALS US, LLC |
11111573 | Component and semiconductor manufacturing device | KYOCERA CORPORATION |
11111583 | Substrate carrier system utilizing electrostatic chucking to accommodate substrate size heterogeneity | APPLIED MATERIALS, INC. |
11111592 | Manufacturing apparatus and manufacturing method for semiconductor device | TOKYO ELECTRON LIMITED |
11111597 | Methods for growing a nitrogen doped single crystal silicon ingot using continuous Czochralski method | -- |
11111598 | Crystal growth method in a semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11111602 | Nitrogen doped and vacancy dominated silicon ingot and thermally treated wafer formed therefrom having radially uniformly distributed oxygen precipitation density and size | -- |
11112189 | Cold plate and manufacturing method of cold plate | FUJIKURA LTD. |
11112369 | Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay | KLA-TENCOR CORPORATION |
11112379 | Molecular sensor based on virtual buried nanowire | RAMOT AT TEL-AVIV UNIVERSITY LTD. |
11112394 | Ethylenic compound sensor including an organic semiconductor | THE JOHNS HOPKINS UNIVERSITY |
11112482 | Method for calibrating verticality of particle beam and system applied to semiconductor fabrication process | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11112570 | Fabrication method of high aspect ratio solder bumping with stud bump and injection molded solder, and flip chip joining with the solder bump | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11112694 | Methods of forming variable-depth device structures | APPLIED MATERIALS, INC. |
11112696 | Protective film-forming composition | NISSAN CHEMICAL CORPORATION |
11112697 | Method and apparatus for post exposure processing of photoresist wafers | APPLIED MATERIALS, INC. |
11112698 | Photoresist with gradient composition for improved uniformity | -- |
11112701 | Control device, control method, lithographic apparatus, method of manufacturing article, and storage medium | CANON KABUSHIKI KAISHA |
11112773 | Systems for removing and replacing consumable parts from a semiconductor process module in situ | LAM RESEARCH CORPORATION |
11114252 | Method for manufacturing perovskite solar cell module and perovskite solar cell module | -- |
11114280 | Impedance matching with multi-level power setpoint | RENO TECHNOLOGIES, INC. |
11114283 | Reactor, system including the reactor, and methods of manufacturing and using same | ASM IP HOLDING B.V. |
11114285 | Apparatus for exhaust cooling | APPLIED MATERIALS, INC. |
11114289 | Non-disappearing anode for use with dielectric deposition | APPLIED MATERIALS, INC. |
11114294 | Structure including SiOC layer and method of forming same | ASM IP HOLDING B.V. |
11114295 | Epitaxial silicon carbide single crystal wafer and process for producing the same | SHOWA DENKO K.K. |
11114296 | Semiconductor wafer, electronic device, method of performing inspection on semiconductor wafer, and method of manufacturing electronic device | SUMITOMO CHEMICAL COMPANY, LIMITED |
11114297 | Method for forming semiconductor film and film forming device | TOKYO ELECTRON LIMITED |
11114298 | Light generator including debris shielding assembly, photolithographic apparatus including the light generator, and method of manufacturing integrated circuit device using the photolithographic apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11114299 | Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning | APPLIED MATERIALS, INC. |
11114300 | Laser annealing apparatus, inspection method of substrate with crystallized film, and manufacturing method of semiconductor device | THE JAPAN STEEL WORKS, LTD. |
11114301 | Semiconductor device and manufacturing method thereof | -- |
11114302 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11114303 | Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device | -- |
11114304 | Substrate processing method | TOKYO ELECTRON LIMITED |
11114305 | Etching method and semiconductor manufacturing method | SHOWA DENKO K.K. |
11114306 | Methods for depositing dielectric material | APPLIED MATERIALS, INC. |
11114307 | Method of producing a wafer from an ingot including a peel-off detecting step | DISCO CORPORATION |
11114308 | Controlling of height of high-density interconnection structure on substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114309 | Articles and methods of forming vias in substrates | CORNING INCORPORATED |
11114310 | Embedded packaging method capable of realizing heat dissipation | ZHUHAI ACCESS SEMICONDUCTOR CO., LTD |
11114311 | Chip package structure and method for forming the same | -- |
11114312 | Method for manufacturing an encapsulation cover for an electronic package and electronic package comprising a cover | STMICROELECTRONICS (GRENOBLE 2) SAS |
11114313 | Wafer level mold chase | -- |
11114314 | Method for fabrication of a semiconductor structure including an interposer free from any through via | SOITEC |
11114315 | Chip packaging method and package structure | PEP INNOVATION PTE. LTD. |
11114316 | Substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11114317 | Method for cleaning semiconductor wafer and manufacturing method of semiconductor wafer using the method for cleaning | SUMCO CORPORATION |
11114318 | Assembling apparatus and assembling method for semiconductor manufacturing apparatus | TOKYO ELECTRON LIMITED |
11114319 | Heat treatment apparatus and heat treatment method | TOKYO ELECTRON LIMITED |
11114320 | Processing system and method of forming a contact | APPLIED MATERIALS, INC. |
11114321 | Apparatus and method for real-time sensing of properties in industrial manufacturing equipment | TOKYO ELECTRON LIMITED |
11114322 | Mold and transfer molding apparatus | TOSHIBA MEMORY CORPORATION |
11114323 | Vehicle | DAIFUKU CO., LTD. |
11114324 | Defect candidate generation for inspection | KLA CORP. |
11114325 | Fume-removing device | SEONGNAM-SI |
11114326 | Substrate chucking and dechucking methods | APPLIED MATERIALS, INC. |
11114327 | ESC substrate support with chucking force control | APPLIED MATERIALS, INC. |
11114328 | Devices, systems and methods for electrostatic force enhanced semiconductor bonding | MICRON TECHNOLOGY, INC. |
11114329 | Methods for loading or unloading substrate with evaporator planet | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114330 | Substrate support having customizable and replaceable features for enhanced backside contamination performance | AXCELIS TECHNOLOGIES, INC. |
11114331 | Method for fabricating shallow trench isolation | -- |
11114332 | Semiconductor on insulator structure comprising a plasma nitride layer and method of manufacture thereof | -- |
11114333 | Method for depositing and reflow of a high quality etch resistant gapfill dielectric film | MICROMATERIALS, LLC |
11114334 | Semiconductor device with air gap and method for preparing the same | -- |
11114335 | Semiconductor device structure with air gap structure and method for forming the same | -- |
11114336 | Semiconductor device and manufacturing method thereof | -- |
11114337 | Method for bonding and interconnecting semiconductor chips | IMEC VZW |
11114338 | Fully aligned via in ground rule region | GLOBALFOUNDRIES U.S. INC. |
11114339 | Method for reducing metal plug corrosion and device | -- |
11114340 | Method for producing an interconnection comprising a via extending through a substrate | -- |
11114341 | Laser processing method | DISCO CORPORATION |
11114342 | Wafer processing method | DISCO CORPORATION |
11114343 | Partial backside metal removal singulation system and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114344 | IC die with dummy structures | XILINX, INC. |
11114345 | IC including standard cells and SRAM cells | -- |
11114346 | High density logic formation using multi-dimensional laser annealing | TOKYO ELECTRON LIMITED |
11114347 | Self-protective layer formed on high-k dielectric layers with different materials | -- |
11114348 | Hybrid high-voltage low-voltage FinFET device | MICROSEMI SOC CORP. |
11114349 | System and method for allowing restoration of first interconnection of die of power module | MITSUBISHI ELECTRIC CORPORATION |
11114350 | Method for removing photoresist from photomask substrate | APPLIED MATERIALS, INC. |
11114351 | Dummy element and method of examining defect of resistive element | FUJI ELECTRIC CO., LTD. |
11114352 | Process monitor circuitry with measurement capability | BIRAD—RESEARCH & DEVELOPMENT COMPANY LTD. |
11114355 | Power module and method for manufacturing power module | MURATA MANUFACTURING CO., LTD. |
11114357 | Methods and apparatus for package with interposers | -- |
11114359 | Wafer level chip scale package structure | DIALOG SEMICONDUCTOR (UK) LIMITED |
11114360 | Multi-die device structures and methods | XILINX, INC. |
11114363 | Electronic package arrangements and related methods | QORVO US, INC. |
11114366 | Semiconductor structure with buried conductive line and method for forming the same | -- |
11114367 | Molded integrated circuit packages and methods of forming the same | CARSEM (M) SDN. BHD. |
11114368 | Base material, mold package, base material manufacturing method, and mold package manufacturing method | DENSO CORPORATION |
11114369 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11114370 | Semiconductor device packages and methods of manufacturing the same | -- |
11114373 | Metal-insulator-metal structure | -- |
11114374 | Graphene enabled selective barrier layer formation | -- |
11114377 | Transformer, transformer manufacturing method and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11114378 | Semiconductor structure with ultra thick metal and manufacturing method thereof | -- |
11114379 | Integrated circuitry, memory integrated circuitry, and methods used in forming integrated circuitry | MICRON TECHNOLOGY, INC. |
11114380 | Manufacturing method of memory device | -- |
11114382 | Middle-of-line interconnect having low metal-to-metal interface resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114384 | Oxide-peeling stopper | INFINEON TECHNOLOGIES AUSTRIA AG |
11114385 | Plate-shaped workpiece processing method | DISCO CORPORATION |
11114386 | Semiconductor device and method of manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11114388 | Warpage control for microelectronics packages | INTEL CORPORATION |
11114389 | Substrate structure and method for manufacturing a semiconductor package | -- |
11114390 | Semiconductor device and forming method thereof | -- |
11114391 | Antenna package structure and antenna packaging method | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11114393 | Electronic package and method for fabricating the same | -- |
11114394 | Signal routing carrier | INTEL CORPORATION |
11114395 | Post passivation interconnect | -- |
11114397 | Semiconductor package substrate and method of manufacturing semiconductor package using the same | SAMSUNG ELECTRONICS CO., LTD. |
11114401 | Bonding structure and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114402 | Semiconductor device with backmetal and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11114404 | Electronic device including electrical connections on an encapsulation block | STMICROELECTRONICS (GRENOBLE 2) SAS |
11114405 | Semiconductor package structure with twinned copper | -- |
11114406 | Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip | SANDISK TECHNOLOGIES LLC |
11114408 | System and method for providing 3D wafer assembly with known-good-dies | INVENSAS CORPORATION |
11114409 | Chip on wafer on substrate optoelectronic assembly and methods of assembly thereof | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11114410 | Multi-chip package structures formed by joining chips to pre-positioned chip interconnect bridge devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114411 | Semiconductor chip transfer method and transfer tool | OSRAM OLED GMBH |
11114412 | Electronic package and method for fabricating the same | -- |
11114413 | Stacking structure, package structure and method of fabricating the same | -- |
11114414 | Wafer structure with capacitive chip interconnection, method for manufacturing the same, and chip structure with capacitive chip interconnection | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11114415 | Semiconductor device with a layered protection mechanism and associated systems, devices, and methods | MICRON TECHNOLOGY, INC. |
11114417 | Through-silicon via (TSV) test circuit, TSV test method and integrated circuits (IC) chip | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11114423 | Image-forming element | SHARP KABUSHIKI KAISHA |
11114431 | Electrostatic discharge protection device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11114433 | 3DIC structure and method of fabricating the same | -- |
11114435 | FinFET having locally higher fin-to-fin pitch | IMEC VZW |
11114436 | Metal gate structure and methods thereof | -- |
11114438 | Thyristor volatile random access memory and methods of manufacture | TC LAB, INC. |
11114440 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11114443 | Semiconductor structure formation | MICRON TECHNOLOGY, INC. |
11114446 | SRAM with hierarchical bit lines in monolithic 3D integrated chips | INTEL CORPORATION |
11114452 | Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology | -- |
11114454 | Semiconductor device | SK HYNIX INC. |
11114459 | Three-dimensional memory device containing width-modulated connection strips and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11114461 | Three-dimensional semiconductor memory devices having source structure overlaps buried insulating layer | SAMSUNG ELECTRONICS CO., LTD. |
11114463 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114466 | IC products formed on a substrate having localized regions of high resistivity and methods of making such IC products | GLOBALFOUNDRIES U.S. INC. |
11114471 | Thin film transistors having relatively increased width and shared bitlines | INTEL CORPORATION |
11114478 | Thin film transistor and manufacture method thereof, array substrate and manufacture method thereof | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114479 | Optoelectronics and CMOS integration on GOI substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11114481 | Capacitor including first electrode, dielectric layer, and second electrode, image sensor, and method for producing capacitor | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11114486 | Implant isolated devices and method for forming the same | -- |
11114492 | Image sensor | SK HYNIX INC. |
11114494 | Image sensor based on avalanche photodiodes | SHENZHEN XPECTVISION TECHNOLOGY CO., LTD. |
11114501 | SOI semiconductor structure and method for manufacturing an SOI semiconductor structure | TDK-MICRONAS GMBH |
11114524 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11114525 | Optoelectronic component and method for producing an optoelectronic component | OSRAM OLED GMBH |
11114527 | Semiconductor device and method for manufacturing same | RENESAS ELECTRONICS CORPORATION |
11114528 | Power transistor with dV/dt controllability and tapered mesas | INFINEON TECHNOLOGIES AUSTRIA AG |
11114529 | Gate-all-around field-effect transistor device | -- |
11114530 | Quantum well stacks for quantum dot devices | INTEL CORPORATION |
11114531 | Semiconductor device, method for manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11114535 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11114537 | Enhancement-mode high electron mobility transistor | IMEC VZW |
11114538 | Transistor with an airgap spacer adjacent to a transistor gate | INTEL CORPORATION |
11114539 | Gate stack for heterostructure device | POWER INTEGRATIONS, INC. |
11114540 | Semiconductor device including standard cells with header/footer switch including negative capacitance | -- |
11114541 | Semiconductor device including capacitor | SAMSUNG ELECTRONICS CO., LTD. |
11114542 | Semiconductor device with reduced gate height budget | GLOBALFOUNDRIES U.S. INC. |
11114543 | Group III-V device structure | -- |
11114544 | Integrated circuit device having fin-type active | SAMSUNG ELECTRONICS CO., LTD. |
11114545 | Cap layer and anneal for gapfill improvement | -- |
11114547 | Field effect transistor with negative capacitance dieletric structures | -- |
11114548 | Semiconductor device having source and drain in active region and manufacturing method for same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11114549 | Semiconductor structure cutting process and structures formed thereby | -- |
11114550 | Recessing STI to increase FIN height in FIN-first process | -- |
11114551 | Fin field-effect transistor having counter-doped regions between lightly doped regions and doped source/drain regions | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11114552 | Insulated gate turn-off device with designated breakdown areas between gate trenches | PAKAL TECHNOLOGIES, INC. |
11114554 | High-electron-mobility transistor having a buried field plate | INFINEON TECHNOLOGIES AUSTRIA AG |
11114555 | High electron mobility transistor device and methods for forming the same | -- |
11114560 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11114561 | LDMOS device and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11114562 | Semiconductor device | -- |
11114563 | Semiconductor devices with low junction capacitances and methods of fabrication thereof | -- |
11114565 | Semiconductor device | NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY |
11114566 | Semiconductor device and method of manufacturing the same | -- |
11114567 | Manufacturing method of TFT substrate and TFT substrate | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11114568 | Semiconductor device | JAPAN DISPLAY INC. |
11114569 | Semiconductor device with an oxidized intervention and method for fabricating the same | -- |
11114570 | Memory structure and manufacturing method thereof | -- |
11114571 | Semiconductor device and method for manufacturing same | DENSO CORPORATION |
11114572 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11114575 | Solar cell | ZHEJIANG JINKO SOLAR CO., LTD. |
11114583 | Light emitting device encapsulated above electrodes | NICHIA CORPORATION |
11114585 | Advanced electronic device structures using semiconductor structures and superlattices | SILANNA UV TECHNOLOGIES PTE LTD |
11114596 | Light-emitting device | NICHIA CORPORATION |
11114599 | Electronic devices including solid semiconductor dies | 3M INNOVATIVE PROPERTIES COMPANY |
11114602 | Method of forming superconducting layers and traces | MICROSOFT TECHNOLOGY LICENSING, LLC |
11114745 | Antenna package for signal transmission | -- |
11115010 | Energy loaded dielectrics, systems including energy loaded dielectrics, and methods for fabrication and use thereof | UNIVERSITY OF MARYLAND, COLLEGE PARK |
11115247 | Methods and circuits for asymmetric distribution of channel equalization between devices | RAMBUS INC. |
11116046 | Heater | KYOCERA CORPORATION |
11116075 | Component carrier comprising dielectric structures with different physical properties | AT&S (CHINA) CO. LTD. |
11116079 | High resolution display device | SAMSUNG DISPLAY CO., LTD. |
11116084 | Method, device and system for providing etched metallization structures | INTEL CORPORATION |
11117086 | Apparatus for collecting by-product of semiconductor manufacturing process | MILAEBO CO., LTD. |
11117239 | Chemical mechanical polishing composition and method | -- |
11117265 | Robot for simultaneous substrate transfer | APPLIED MATERIALS, INC. |
11117796 | MEMS devices including MEMS dies and connectors thereto | -- |
11117806 | Silicon carbide/graphite composite and articles and assemblies comprising same | ENTEGRIS, INC. |
11117996 | Self-assembly composition for pattern formation and pattern forming method | OJI HOLDINGS CORPORATION |
11118110 | Stripping liquid, stripping method, and electronic-component fabricating method | KABUSHIKI KAISHA TOSHIBA |
11118268 | Method for reducing surface roughness | GENERAL ELECTRIC COMPANY |
11118285 | Method of evaluating cleanliness, method of determining cleaning condition, and method of manufacturing silicon wafer | SUMCO CORPORATION |
11118982 | Spectroscopic focal plane array and method of making same | TELEDYNE SCIENTIFIC & IMAGING, LLC |
11119050 | Methods and systems for measurement of thick films and high aspect ratio structures | KLA CORPORATION |
11119051 | Particle detection for substrate processing | APPLIED MATERIALS, INC. |
11119137 | Electrical test structure and method for monitoring deep trench impedance to substrate | TEXAS INSTRUMENTS INCORPORATED |
11119146 | Testing of bonded wafers and structures for testing bonded wafers | XILINX, INC. |
11119148 | Test probe assembly with fiber optic leads and photodetectors for testing semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11119384 | Hermetic sealing of a nonlinear crystal for use in a laser system | KLA-TENCOR CORPORATION |
11119398 | EUV photo masks | -- |
11119399 | Mask blank, phase shift mask and method for manufacturing semiconductor device | HOYA CORPORATION |
11119405 | Techniques for forming angled structures | APPLIED MATERIALS, INC. |
11119406 | Photo-curable composition and patterning method | CANON KABUSHIKI KAISHA |
11119410 | Cleaning liquid, and method of cleaning substrate provided with metal resist | TOKYO OHKA KOGYO CO., LTD. |
11119419 | Moiré target and method for using the same in measuring misregistration of semiconductor devices | KLA-TENCOR CORPORATION |
11119420 | Particle prevention method in lithography exposure apparatus | -- |
11119612 | Embedded touch panel with touch drive lines structure that improves sensitivity | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11119615 | Fingerprint sensor and button combinations and methods of making same | SYNAPTICS INCORPORATED |
11120369 | Communication generation using sparse indicators and sensor data | COLOR HEALTH, INC. |
11120884 | Implementing logic function and generating analog signals using NOR memory strings | SUNRISE MEMORY CORPORATION |
11120946 | Micro-electronic electrode assembly | TECHNISCHE UNIVERSITÄT DARMSTADT |
11120970 | Ion implantation system | SHANGHAI IC R&D CENTER CO., LTD |
11120971 | Diagnostics for impedance matching network | RENO TECHNOLOGIES, INC. |
11120973 | Plasma processing apparatus and techniques | APPLIED MATERIALS, INC. |
11120974 | Semiconductor device | -- |
11120975 | Ion beam etching apparatus | RESEARCH AND BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY |
11120976 | Apparatus and methods for removing contaminant particles in a plasma process | APPLIED MATERIALS, INC. |
11120985 | Substrate transfer device, substrate processing system, substrate processing method and computer-readable recording medium | TOKYO ELECTRON LIMITED |
11120986 | Etching using chamber with top plate formed of non-oxygen containing material | -- |
11120987 | Nonstoichiometric structures with multiple controlled bandgap energy levels and methods thereof | NTH TECH CORPORATION |
11120988 | Semiconductor device packages and methods of manufacturing the same | -- |
11120989 | Systems and methods for UV-based suppression of plasma instability | LAM RESEARCH CORPORATION |
11120990 | Methods for depositing III-V compositions on silicon | ALLIANCE FOR SUSTAINABLE ENERGY, LLC |
11120991 | Lateral semiconductor nanotube with hexagonal shape | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11120992 | Method of fabricating semiconductor device | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11120993 | Diffusing agent composition and method of manufacturing semiconductor substrate | TOKYO OHKA KOGYO CO., LTD. |
11120994 | Etching solution, and method of producing semiconductor element | TOKYO OHKA KOGYO CO., LTD. |
11120995 | Method for forming multi-layer mask | -- |
11120996 | Method for preparing a semiconductor structure | -- |
11120997 | Surface treatment for etch tuning | -- |
11120998 | Etching method and methods of manufacturing semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11120999 | Plasma etching method | TOKYO ELECTRON LIMITED |
11121000 | Etching method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11121001 | Method of etching, device manufacturing method, and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11121002 | Systems and methods for etching metals and metal derivatives | APPLIED MATERIALS, INC. |
11121003 | Method of predicting thermal donor formation behavior in silicon wafer, method of evaluating silicon wafer, and method of producing silicon wafer | SUMCO CORPORATION |
11121004 | Semiconductor module and method for producing the same | INFINEON TECHNOLOGIES AG |
11121005 | Handler bonding and debonding for semiconductor dies | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121006 | Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package | -- |
11121007 | Apparatus for supplying chemical liquid | SEMES CO., LTD. |
11121008 | Method of processing substrate and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11121009 | Power feeding mechanism and method for controlling temperature of a stage | TOKYO ELECTRON LIMITED |
11121010 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11121011 | Process system and operation method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11121012 | Substrate cleaning apparatus and cleaning method using the same | SAMSUNG DISPLAY CO., LTD. |
11121013 | Semiconductor wafer container | ACHILLES CORPORATION |
11121014 | Dummy wafer storage cassette | ASM IP HOLDING B.V. |
11121015 | Substrate transport | BROOKS AUTOMATION, INC. |
11121016 | Method for controlling conveyance system, conveyance system, and management device | MURATA MACHINERY, LTD. |
11121017 | Load port module | BROOKS AUTOMATION, INC. |
11121018 | Method and apparatus for lithography in semiconductor fabrication | -- |
11121019 | Slotted electrostatic chuck | KLA CORPORATION |
11121020 | Support, adhesive sheet, laminated structure, semiconductor device, and method for manufacturing printed wiring board | AJINOMOTO CO., INC. |
11121021 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11121023 | FinFET device comprising a single diffusion break with an upper surface that is substantially coplanar with an upper surface of a fin | GLOBALFOUNDRIES U.S. INC. |
11121024 | Tunable hardmask for overlayer metrology contrast | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121025 | Layer for side wall passivation | -- |
11121026 | Semiconductor device and method of manufacture | -- |
11121027 | High aspect ratio via etch using atomic layer deposition protection layer | TOKYO ELECTRON LIMITED |
11121028 | Semiconductor devices formed using multiple planarization processes | -- |
11121029 | Semiconductor device with air spacer and method for preparing the same | -- |
11121030 | Transistors employing carbon-based etch stop layer for preserving source/drain material during contact trench etch | INTEL CORPORATION |
11121031 | Manufacturing method of chip package and chip package | -- |
11121032 | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121033 | Method for fabricating semiconductor device | SK HYNIX INC. |
11121034 | Semiconductor device manufacturing method and semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11121035 | Semiconductor substrate processing methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11121036 | Multi-gate device and related methods | -- |
11121037 | Semiconductor device structure and method for forming the same | -- |
11121038 | Spacer structure and manufacturing method thereof | -- |
11121039 | FinFET structures and methods of forming the same | -- |
11121040 | Multi voltage threshold transistors through process and design-induced multiple work functions | INTEL CORPORATION |
11121041 | Methods for threshold voltage tuning and structure formed thereby | -- |
11121042 | Production of semiconductor regions in an electronic chip | STMICROELECTRONICS (ROUSSET) SAS |
11121043 | Fabrication of transistors having stressed channels | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121044 | Vertically stacked nanosheet CMOS transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121045 | Method for detecting ultra-small defect on wafer surface | SHANGHAI HUALI MICROELECTRONICS CORPORATION |
11121046 | Wafer-level testing method and test structure thereof | -- |
11121047 | Semiconductor structure | -- |
11121050 | Method of manufacture of a semiconductor device | -- |
11121051 | Semiconductor packages and method of manufacturing the same | -- |
11121052 | Integrated fan-out device, 3D-IC system, and method | -- |
11121053 | Die heat dissipation structure | ASIA VITAL COMPONENTS (CHINA) CO., LTD. |
11121054 | Module | MURATA MANUFACTURING CO., LTD. |
11121055 | Leadframe spacer for double-sided power module | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11121056 | Semiconductor device and manufacturing method of the same | -- |
11121058 | Liquid cooled module with device heat spreader | APTIV TECHNOLOGIES LIMITED |
11121061 | Cooling chip structures having a jet impingement system and assembly having the same | TOYOTA MOTOR ENGINEERING & MANUFACTURING NORTH AMERICA, INC. |
11121062 | Semiconductor device and method for manufacturing the same | -- |
11121068 | Array substrate, display device, method for manufacturing them, and spliced display device | BOE TECHNOLOGY GROUP CO., LTD. |
11121069 | Semiconductor package including capping pad having crystal grain of different size | SAMSUNG ELECTRONICS CO., LTD. |
11121076 | Semiconductor die with conversion coating | TEXAS INSTRUMENTS INCORPORATED |
11121084 | Integrated circuit device with through interconnect via and methods of manufacturing the same | -- |
11121085 | Trench walls, conductive structures having different widths and methods of making same | MICRON TECHNOLOGY, INC. |
11121086 | Vertical isolated gate field effect transistor integrated in a semiconductor chip | IMEC VZW |
11121087 | Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product | GLOBALFOUNDRIES U.S. INC. |
11121088 | Semiconductor package structure and method of manufacturing the same | -- |
11121089 | Integrated circuit package and method | -- |
11121090 | Fan-out semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11121091 | Method for arranging two substrates | EV GROUP E. THALLNER GMBH |
11121092 | Marking pattern in forming staircase structure of three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121093 | Methods for selectively forming identification mark on semiconductor wafer | -- |
11121094 | Semiconductor devices with shield | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121095 | Semiconductor device having electromagnetic wave absorbing layer with heat dissipating vias | MITSUBISHI ELECTRIC CORPORATION |
11121098 | Trap layer substrate stacking technique to improve performance for RF devices | -- |
11121100 | Trap layer substrate stacking technique to improve performance for RF devices | -- |
11121101 | Flip chip packaging rework | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121103 | Semiconductor package including interconnection member and bonding wires and manufacturing method thereof | -- |
11121104 | Method for manufacturing interconnect structure | -- |
11121105 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11121106 | Integrated circuit package and method | -- |
11121107 | Interconnect substrate having columnar electrodes | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11121108 | Flip chip package utilizing trace bump trace interconnection | -- |
11121110 | Packaging process and packaging structure | DELTA ELECTRONICS INT'L (SINGAPORE) PTE LTD |
11121111 | Semiconductor package structure and method of manufacturing the same | -- |
11121113 | Bonding apparatus incorporating variable force distribution | ASM TECHNOLOGY SINGAPORE PTE LTD |
11121115 | Y-theta table for semiconductor equipment | ASM TECHNOLOGY SINGAPORE PTE LTD. |
11121117 | Method for self-assembling microelectronic components | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121118 | Integrated circuit stacking approach | -- |
11121120 | Method and system for electronic devices with polycrystalline substrate structure interposer | QROMIS, INC. |
11121123 | Semiconductor composite device and package board used therein | MURATA MANUFACTURING CO., LTD. |
11121128 | Structure and method for alignment marks | -- |
11121130 | Structure and formation method of semiconductor device with gate stacks | -- |
11121131 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11121132 | Gate-cut isolation structure and fabrication method | QUALCOMM INCORPORATED |
11121133 | Semiconductor device with fin transistors and manufacturing method of such semiconductor device | SONY CORPORATION |
11121134 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11121135 | Structure of memory device | -- |
11121136 | Insulating structure and method of forming the same | -- |
11121137 | Semiconductor device with self-aligned landing pad and method for fabricating the same | -- |
11121138 | Low resistance pickup cells for SRAM | -- |
11121139 | Hafnium oxide and zirconium oxide based ferroelectric devices with textured iridium bottom electrodes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121142 | Memory structure and manufacturing method therefor | -- |
11121144 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11121145 | Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11121146 | Forming terminations in stacked memory arrays | MICRON TECHNOLOGY, INC. |
11121149 | Three-dimensional memory device containing direct contact drain-select-level semiconductor channel portions and methods of making the same | SANDISK TECHNOLOGIES LLC |
11121150 | Three-dimensional memory devices and fabricating methods thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121152 | Three-dimensional memory device and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11121153 | Three-dimensional memory devices containing structures for controlling gate-induced drain leakage current and method of making the same | SANDISK TECHNOLOGIES LLC |
11121154 | Semiconductor device including a stack having a sidewall with recessed and protruding portions | SAMSUNG ELECTRONICS CO., LTD. |
11121155 | Integrated circuit including multiple height cell and method of fabricating the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11121164 | Semiconductor device and method for production of semiconductor device | SONY CORPORATION |
11121169 | Metal vertical transfer gate with high-k dielectric passivation lining | OMNIVISION TECHNOLOGIES, INC. |
11121170 | Method for manufacturing micro array light emitting diode and lighting device | INDUSTRY-ACADEMIC COOPERATION FOUNDATION OF SUNCHON NATIONAL UNIVERSITY |
11121173 | Preserving underlying dielectric layer during MRAM device formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121205 | Display panel and display panel test system | SAMSUNG DISPLAY CO., LTD. |
11121207 | Integrated trench capacitor with top plate having reduced voids | TEXAS INSTRUMENTS INCORPORATED |
11121208 | MIM capacitor and method of forming the same | -- |
11121209 | Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121211 | Fabrication of lateral superjunction devices using selective epitaxy | THE TEXAS A&M UNIVERSITY SYSTEM |
11121214 | Source/drain contact with 2-D material | -- |
11121217 | Semiconductor device and manufacturing method thereof | -- |
11121219 | Elastic strain engineering of defect doped materials | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11121223 | Control gate structures for field-effect transistors | MICRON TECHNOLOGY, INC. |
11121224 | Transistor with field plate over tapered trench isolation | TEXAS INSTRUMENTS INCORPORATED |
11121228 | Manufacturing method of thin film transistor | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11121229 | Methods of fabricating semiconductor structures and high electron mobility transistors | -- |
11121230 | Structures and methods for controlling dopant diffusion and activation | -- |
11121231 | Method of manufacturing a field effect transistor with optimized performances | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11121232 | Stacked nanosheets with self-aligned inner spacers and metallic source/drain | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11121233 | Forming nanosheet transistor using sacrificial spacer and inner spacers | TESSERA, INC. |
11121234 | Stacked gate spacers | -- |
11121235 | Structure and a manufacturing method of a MOSFET with an element of IVA group ion implantation | -- |
11121236 | Semiconductor device with air spacer and stress liner | -- |
11121237 | Manufacturing method for FinFET device | SHANGHAI IC R&D CENTER CO., LTD |
11121242 | Method of operating a semiconductor device having a desaturation channel structure | INFINEON TECHNOLOGIES AG |
11121244 | RF device integrated on an engineered substrate | QROMIS, INC. |
11121248 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11121254 | Transistor with strained superlattice as source/drain region | -- |
11121255 | V-shape recess profile for embedded source/drain epitaxy | -- |
11121256 | Semiconductor device integrating backside power grid and related integrated circuit and fabrication method | -- |
11121258 | Transistors comprising two-dimensional materials and related semiconductor devices, systems, and methods | MICRON TECHNOLOGY, INC. |
11121265 | Silicon carbide trench schottky barrier diode using polysilicon and a method of manufacturing the same | KOREA ELECTROTECHNOLOGY RESEARCH INSTITUTE |
11121266 | Voltage-controllable capacitor comprising a ferroelectric layer and method for producing the voltage-controllable capacitor comprising a ferroelectric layer | FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. |
11121283 | Method for transferring light emitting elements, and method for making display panel | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11121298 | Light-emitting diode packages with individually controllable light-emitting diode chips | CREELED, INC. |
11121310 | Spin electronics element and method of manufacturing thereof | TOHOKU UNIVERSITY |
11121317 | Low resistance crosspoint architecture | MICRON TECHNOLOGY, INC. |
11121467 | Semiconductor package with compact antenna formed using three-dimensional additive manufacturing process | NXP USA, INC. |
11121523 | Semiconductor devices with depleted heterojunction current blocking regions | UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION, INC. |
11121649 | Electrostatic workpiece-holding method and electrostatic workpiece-holding system | CREATIVE TECHNOLOGY CORPORATION |
11122683 | Bare die integration with printed components on flexible substrate without laser cut | PALO ALTO RESEARCH CENTER INCORPORATED |
11123774 | Substrate processing method, substrate processing apparatus, and composite processing apparatus | TOSHIBA MEMORY CORPORATION |
11123960 | Film mold and imprinting method | DAI NIPPON PRINTING CO., LTD. |
11124675 | Method of polishing substrate and polishing composition set | FUJIMI INCORPORATED |
11124704 | Etching compositions | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11124740 | Post chemical mechanical polishing cleaning compositions | ENTEGRIS, INC. |
11124746 | Post CMP cleaning composition | ENTEGRIS, INC. |
11124872 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11124873 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11124876 | Si-containing film forming precursors and methods of using the same | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11124878 | Gas supply member with baffle | APPLIED MATERIALS, INC. |
11124879 | Systems and methods for upper susceptor rings | -- |
11124892 | Defect reduction in seeded aluminum nitride crystal growth | CRYSTAL IS, INC. |
11124893 | Method of treating a single crystal silicon ingot to improve the LLS ring/core pattern | -- |
11124894 | Vapor phase growth apparatus and vapor phase growth method | NUFLARE TECHNOLOGY, INC. |
11125429 | Folded sheet metal heat sink | SIGNIFY HOLDING B.V. |
11125512 | Coolant and a method to control the pH and resistivity of coolant used in a heat exchanger | APPLIED MATERIALS, INC. |
11125781 | Integrated substrate and manufacturing method thereof | -- |
11125811 | Semiconductor device and method of operating the same | SAMSUNG ELECTRONICS CO., LTD. |
11126078 | Pattern forming method, production method for processed substrate, production method for optical component, production method for circuit substrate, production method for electronic component and production method for imprint mold | CANON KABUSHIKI KAISHA |
11126084 | Composition for resist underlayer film formation, resist underlayer film and forming method thereof, production method of patterned substrate, and compound | JSR CORPORATION |
11126086 | Substrate treatment apparatus, substrate treatment method, and computer storage medium | TOKYO ELECTRON LIMITED |
11126773 | Method for paralleled SiC power switching devices based on wiring optimization | HARBIN INSTITUTE OF TECHNOLOGY |
11126774 | Layout optimization of a main pattern and a cut pattern | -- |
11127136 | System and method for defining flexible regions on a sample during inspection | KLA CORPORATION |
11127461 | Three-dimensional vertical NOR flash thin-film transistor strings | SUNRISE MEMORY CORPORATION |
11127558 | Thermally isolated captive features for ion implantation systems | APPLIED MATERIALS, INC. |
11127564 | Scanning electron microscope with objective lens below sample stage | KKT HOLDINGS SYNDICATE |
11127569 | Plasma-resistant member | TOTO LTD. |
11127571 | Peripheral RF feed and symmetric RF return for symmetric RF delivery | LAM RESEARCH CORPORATION |
11127572 | L-shaped plasma confinement ring for plasma chambers | SILFEX, INC. |
11127573 | Support unit, apparatus and method for treating a substrate | SEMES CO., LTD. |
11127583 | Method of treating semiconductor substrate | -- |
11127584 | Method of producing carrier and method of polishing wafer | SUMCO CORPORATION |
11127585 | Out-of-plane deformable semiconductor substrate, method of making an out-of-plane deformable semiconductor substrate, and an in-plane and out-of-plane deformable semiconductor substrate | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127586 | Source and drain process for FinFET | -- |
11127587 | Non-amine post-CMP compositions and method of use | ENTEGRIS, INC. |
11127588 | Semiconductor processing applying supercritical drying | MICRON TECHNOLOGY, INC. |
11127589 | Method of topology-selective film formation of silicon oxide | ASM IP HOLDING B.V. |
11127590 | Method for ALD deposition on inert surfaces via Al2O3 nanoparticles | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11127591 | Light emitters on transition metal dichalcogenides directly converted from thermally and electrically conductive substrates and method of making the same | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11127592 | Photosensitive groups in resist layer | -- |
11127593 | Techniques and apparatus for elongation patterning using angled ion beams | VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC. |
11127594 | Manufacturing methods for mandrel pull from spacers for multi-color patterning | TOKYO ELECTRON LIMITED |
11127595 | Method for bonding a semiconductor substrate to a carrier | MICROSOFT TECHNOLOGY LICENSING, LLC |
11127596 | Semiconductor material growth of a high resistivity nitride buffer layer using ion implantation | RAYTHEON COMPANY |
11127597 | Etching method | TOKYO ELECTRON LIMITED |
11127598 | Film etching method for etching film | TOKYO ELECTRON LIMITED |
11127599 | Methods for etching a hardmask layer | APPLIED MATERIALS, INC. |
11127600 | Etching method | TOKYO ELECTRON LIMITED |
11127601 | Phosphorus fugitive emission control | APPLIED MATERIALS, INC. |
11127602 | Method of fastening a semiconductor chip on a lead frame, and electronic component | OSRAM OLED GMBH |
11127603 | Semiconductor module and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11127604 | Manufacturing method of semiconductor device | -- |
11127605 | Wafer holder | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11127606 | Cooling station with integrated isolation valves | SEAGATE TECHNOLOGY LLC |
11127607 | Heat processing system | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11127608 | Heating element, substrate processing apparatus, and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11127609 | Collet apparatus and method for fabricating a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11127610 | Split chamber assembly | LAM RESEARCH CORPORATION |
11127611 | Heating processing apparatus and heating processing method | TOSHIBA MEMORY CORPORATION |
11127612 | Testing semiconductor devices based on warpage and associated methods | MICRON TECHNOLOGY, INC. |
11127613 | Substrate treating apparatus and substrate transporting method | SCREEN HOLDINGS CO., LTD. |
11127614 | Substrate transfer method and substrate transfer apparatus | TOKYO ELECTRON LIMITED |
11127615 | Substrate processing system and substrate transfer method | TOKYO ELECTRON LIMITED |
11127616 | Substrate accommodation device | NISSIN ION EQUIPMENT CO., LTD. |
11127617 | Storage device for storing wafer cassettes for use with a batch furnace | ASM IP HOLDING B.V. |
11127618 | System for dynamically compensating position errors of a sample | ASML NETHERLANDS B.V. |
11127619 | Workpiece carrier for high power with enhanced edge sealing | APPLIED MATERIALS, INC. |
11127620 | Electrostatic chuck for high temperature processing chamber | APPLIED MATERIALS, INC. |
11127621 | Method of forming semiconductor device | -- |
11127622 | Deep trench isolation and substrate connection on SOI | NXP USA, INC. |
11127623 | Single diffusion cut for gate structures | GLOBALFOUNDRIES U.S. INC. |
11127624 | Method of manufacturing a semiconductor on insulator type structure, notably for a front side type imager | SOITEC |
11127625 | Semiconductor structure and related method | -- |
11127626 | Method of manufacturing a semiconductor device | -- |
11127627 | Method for forming an interconnection structure | IMEC VZW |
11127628 | Semiconductor device with connecting structure having a step-shaped conductive feature and method for fabricating the same | -- |
11127629 | Semiconductor device and fabricating method thereof | -- |
11127630 | Contact plug without seam hole and methods of forming the same | -- |
11127631 | Semiconductor device with contact structures | -- |
11127632 | Semiconductor device with conductive protrusions and method for fabricating the same | -- |
11127633 | Wafer processing method including a thermocompression bonding step of bonding a wafer to a ring frame via a polyolefin sheet | DISCO CORPORATION |
11127634 | Backside metal removal die singulation systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11127635 | Techniques for wafer stack processing | -- |
11127636 | Bot group messaging using bot-specific voice libraries | ORION LABS, INC. |
11127637 | Semiconductor device convex source/drain region | -- |
11127638 | Semiconductor device and fabrication method including air gap spacers | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11127639 | Structure and formation method of semiconductor device with fin structures | -- |
11127640 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11127641 | Spin-current magnetization reversal element, magnetoresistance effect element, and magnetic memory | TDK CORPORATION |
11127642 | Test circuit layout structure for display panel | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127643 | Test structures for validating package fabrication process | XILINX, INC. |
11127644 | Planarization of semiconductor packages and structures resulting therefrom | -- |
11127645 | Grounding lids in integrated circuit devices | NXP USA, INC. |
11127647 | Semiconductor devices and related methods | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD |
11127650 | Semiconductor device package including thermal dissipation element and method of manufacturing the same | -- |
11127654 | Semiconductor device and a manufacturing method thereof | SAMSUNG ELECTRONICS CO., LTD. |
11127655 | Memory die containing through-memory-level via structure and methods for making the same | SANDISK TECHNOLOGIES LLC |
11127660 | Surface-mount integrated circuit package with coated surfaces for improved solder connection | MICROCHIP TECHNOLOGY INCORPORATED |
11127661 | Semiconductor chip package method and semiconductor chip package device | TONGFU MICROELECTRONICS CO., LTD. |
11127663 | Semiconductor package having exposed heat sink for high thermal conductivity | JMJ KOREA CO., LTD. |
11127664 | Circuit board and manufacturing method thereof | -- |
11127665 | Module assembly | QORVO US, INC. |
11127666 | Semiconductor device and method of forming openings through insulating layer over encapsulant for enhanced adhesion of interconnect structure | STATS CHIPPAC PTE. LTD. |
11127668 | Semiconductor device and method of forming double-sided fan-out wafer level package | JCET SEMICONDUCTOR (SHAOXING) CO., LTD. |
11127672 | Busbar assembly | SUNCALL CORPORATION |
11127674 | Back end of the line metal structure and method | GLOBALFOUNDRIES U.S. INC. |
11127675 | Interconnection structure and manufacturing method thereof | -- |
11127676 | Removal or reduction of chamfer for fully-aligned via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127678 | Dual dielectric layer for closing seam in air gap structure | GLOBALFOUNDRIES U.S. INC. |
11127680 | Semiconductor device and manufacturing method thereof | -- |
11127681 | Three-dimensional memory including molybdenum wiring layer having oxygen impurity and method for manufacturing the same | TOSHIBA MEMORY CORPORATION |
11127682 | Semiconductor package having nonspherical filler particles | INTEL CORPORATION |
11127683 | Semiconductor structure with substantially straight contact profile | GLOBALFOUNDRIES U.S. INC. |
11127684 | Low-resistance interconnect structures | -- |
11127688 | Semiconductor package and manufacturing method thereof | -- |
11127689 | Segmented shielding using wirebonds | QORVO US, INC. |
11127690 | Dual-sided radio-frequency package with overmold structure | SKYWORKS SOLUTIONS, INC. |
11127691 | Methods of forming a semiconductor device | MICRON TECHNOLOGY, INC. |
11127699 | Chip package structure and manufacturing method thereof | -- |
11127703 | Semiconductor devices | -- |
11127707 | Semiconductor package structure and method for manufacturing the same | -- |
11127713 | High bandwidth memories and systems including the same | SAMSUNG ELECTRONICS CO., LTD. |
11127716 | Mounting structures for integrated device packages | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11127718 | Multi-chip stacked devices | XILINX, INC. |
11127720 | Pixel repair method for a direct view display device | NANOSYS, INC. |
11127724 | Light emitting diode device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11127730 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11127733 | Electronic device | INFINEON TECHNOLOGIES AG |
11127736 | MIM capacitor and method for making the same | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11127737 | Monolithic multi-I region diode limiters | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11127738 | Back biasing of FD-SOI circuit blocks | XCELSIS CORPORATION |
11127739 | Methods of fabricating semiconductor devices using MOS transistors with nonuniform gate electrode structures | SAMSUNG ELECTRONICS CO., LTD. |
11127740 | Method of manufacturing a semiconductor device with separated merged source/drain structure | -- |
11127741 | Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier | -- |
11127742 | Semiconductor device and a method for fabricating the same | -- |
11127746 | Fin-based strap cell structure for improving memory performance | -- |
11127752 | Structure of semiconductor device and method for fabricating the same | -- |
11127753 | Semiconductor storage device and semiconductor storage device manufacturing method | KIOXIA CORPORATION |
11127754 | Semiconductor storage device | KIOXIA CORPORATION |
11127756 | Three-dimensional memory device and manufacturing method thereof | -- |
11127757 | Three-dimensional memory device with source structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11127760 | Vertical transistor fabrication for memory applications | APPLIED MATERIALS, INC. |
11127764 | Circuit substrate, method for manufacturing the same, display substrate and tiled display device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11127767 | Array substrate, method for manufacturing the same and display device | CHONGQING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11127773 | Semiconductor device, method of manufacturing semiconductor device, and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11127776 | Hybrid bonding method for semiconductor wafers and related three-dimensional integrated device | LFOUNDRY S.R.L. |
11127778 | Light emitting transducer | FLEXUCELL APS |
11127781 | Method of maskless parallel pick-and-place transfer of micro-devices | APPLIED MATERIALS, INC. |
11127813 | Semiconductor inductors | INTEL CORPORATION |
11127815 | Semiconductor device and method of forming the semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127817 | Formation of semiconductor device structure by implantation | -- |
11127818 | High voltage transistor with fin source/drain regions and trench gate structure | GLOBALFOUNDRIES U.S. INC. |
11127823 | Split gate structure, power MOS device, and manufacturing method | HEJIAN TECHNOLOGY (SUZHOU) CO., LTD. |
11127825 | Middle-of-line contacts with varying contact area providing reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11127827 | Control gate strap layout to improve a word line etch process window | -- |
11127828 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11127830 | Apparatus with multidielectric spacers on conductive regions of stack structures, and related methods | MICRON TECHNOLOGY, INC. |
11127831 | Transistor structure with overlying gate on polysilicon gate structure and related method | GLOBALFOUNDRIES U.S. INC. |
11127832 | Semiconductor structure and method for forming the same | -- |
11127833 | Method to improve HKMG contact resistance | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11127835 | Method for etching a three-dimensional dielectric layer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11127836 | Metal gate scheme for device and methods of forming | -- |
11127837 | Method of forming MOSFET structure | -- |
11127839 | Method of manufacturing a trench oxide in a trench for a gate structure in a semiconductor substrate | INFINEON TECHNOLOGIES AG |
11127840 | Method for manufacturing isolation structure for LDMOS | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11127841 | Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions | INTEL CORPORATION |
11127842 | Single fin structures | GLOBALFOUNDRIES U.S. INC. |
11127843 | Asymmetrical lateral heterojunction bipolar transistors | GLOBALFOUNDRIES U.S. INC. |
11127844 | Semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11127847 | Semiconductor devices having a gate field plate including an extension portion and methods for fabricating the semiconductor device | -- |
11127850 | Semiconductor device | ROHM CO., LTD. |
11127851 | Semiconductor device, and method for manufacturing the same | ROHM CO., LTD. |
11127852 | Vertical trench gate MOSFET with deep well region for junction termination | TEXAS INSTRUMENTS INCORPORATED |
11127857 | Semiconductor device and method of manufacture | -- |
11127858 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11127859 | Semiconductor device and manufacturing method thereof | -- |
11127860 | Extended-drain field-effect transistors including a floating gate | GLOBALFOUNDRIES U.S. INC. |
11127892 | Reducing parasitic capacitance and coupling to inductive coupler modes | GOOGLE LLC |
11127926 | Method of forming protection film for organic EL device, method of manufacturing display device and display device | THE JAPAN STEEL WORKS, LTD. |
11128029 | Die with embedded communication cavity | INTEL CORPORATION |
11128277 | Method for producing composite wafer | SHIN-ETSU CHEMICAL CO., LTD. |
11129314 | Stepped component assembly accommodated within a stepped cavity in component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11130158 | Device for applying a liquid medium which is exposed to UV radiation to a substrate | SUSS MICRO TEC PHOTOMASK EQUIPMENT GMBH & CO KG |
11130240 | Linear robot arm with multiple end effectors | PERSIMMON TECHNOLOGIES CORPORATION |
11130270 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11130856 | Resin composition and method of forming resist pattern | JSR CORPORATION |
11130883 | Polishing composition | FUJIMI INCORPORATED |
11131015 | High pressure oxidation of metal films | APPLIED MATERIALS, INC. |
11131022 | Atomic layer self aligned substrate processing and integrated toolset | APPLIED MATERIALS, INC. |
11131023 | Film deposition apparatus and film deposition method | TOKYO ELECTRON LIMITED |
11131025 | Wireless camera wafer for vacuum chamber diagnostics | -- |
11131506 | Burst resistant thin wall heat sink | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11131541 | Shutter monitoring system | -- |
11131689 | Low-force wafer test probes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11131693 | Vertical sense devices in vertical trench MOSFET | VISHAY-SILICONIX, LLC |
11131931 | Fluidic leakage handling for semiconductor apparatus | -- |
11131932 | Cleaning liquid, and method of cleaning substrate provided with metal resist | TOKYO OHKA KOGYO CO., LTD. |
11131933 | Cleaning liquid, and method of cleaning substrate provided with metal resist | TOKYO OHKA KOGYO CO., LTD. |
11131937 | Positioning device, stiffness reduction device and electron beam apparatus | ASML NETHERLANDS B.V. |
11132487 | Method of designing a layout of a pattern, method of forming a pattern using the same, and method of manufacturing a semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11133156 | Electrode plate for plasma processing apparatus and method for regenerating electrode plate for plasma processing apparatus | MITSUBISHI MATERIALS CORPORATION |
11133158 | Glass pallet for sputtering systems | VIEW, INC. |
11133173 | Simplified lamp design | APPLIED MATERIALS, INC. |
11133174 | Reduced volume processing chamber | APPLIED MATERIALS, INC. |
11133175 | Substrate treating method and substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11133176 | Substrate processing method, recording medium and substrate processing system | TOKYO ELECTRON LIMITED |
11133177 | Oxidation reduction for SiOC film | APPLIED MATERIALS, INC. |
11133178 | Seamless gapfill with dielectric ALD films | APPLIED MATERIALS, INC. |
11133179 | Thin-film structure and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11133180 | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method | LAM RESEARCH CORPORATION |
11133181 | Formation of SiN thin films | ASM IP HOLDING B.V. |
11133182 | Staggered-type tunneling field effect transistor | -- |
11133183 | Staggered-type tunneling field effect transistor | -- |
11133184 | Staggered-type tunneling field effect transistor | -- |
11133185 | Epitaxial lift-off process of graphene-based gallium nitride | XIDIAN UNIVERSITY |
11133186 | Processing method of workpiece | DISCO CORPORATION |
11133187 | Methods for forming a photo-mask and a semiconductor device | -- |
11133188 | Non-volatile memory semiconductor device with electrostatic discharge protection, planarization layers, and manufacturing method thereof | -- |
11133189 | Metal cut patterning and etching to minimize interlayer dielectric layer loss | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133190 | Metal-based passivation-assisted plasma etching of III-v semiconductors | LAWRENCE LIVERMORE NATIONAL SECURITY, LLC |
11133191 | Method of producing etching mask, etching mask precursor, and oxide layer, and method of manufacturing thin film transistor | JAPAN ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11133192 | Workpiece processing method | TOKYO ELECTRON LIMITED |
11133193 | Plasma processing device and method of adjusting an edge ring of a plasma processing device | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133194 | Method for selective etching at an interface between materials | TOKYO ELECTRON LIMITED |
11133195 | Inverse tone pillar printing method using polymer brush grafts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133196 | Gate electrode and method for manufacturing the same, and method for manufacturing array substrate | BOE TECHNOLOGY GROUP CO., LTD. |
11133197 | Semiconductor structure and method of forming | -- |
11133198 | Method of manufacturing packaged device chip | DISCO CORPORATION |
11133199 | Mold heel crack problem reduction | TEXAS INSTRUMENTS INCORPORATED |
11133200 | Substrate vapor drying apparatus and method | -- |
11133201 | High definition heater system having a fluid medium | WATLOW ELECTRIC MANUFACTURING COMPANY |
11133202 | Substrate heating apparatus with enhanced temperature uniformity characteristic | MICO CERAMICS LTD. |
11133203 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11133204 | Chamber matching with neural networks in semiconductor equipment tools | APPLIED MATERIALS, INC. |
11133205 | Wafer out of pocket detection | APPLIED MATERIALS, INC. |
11133206 | Method for die-level unique authentication and serialization of semiconductor devices using electrical and optical marking | TOKYO ELECTRON LIMITED |
11133207 | Method for forming films on wafers separated by different distances | -- |
11133208 | EFEM and method of introducing dry air thereinto | TDK CORPORATION |
11133209 | Transfer head for micro LED | POINT ENGINEERING CO., LTD. |
11133210 | Dual temperature heater | APPLIED MATERIALS, INC. |
11133211 | Ceramic baseplate with channels having non-square corners | LAM RESEARCH CORPORATION |
11133212 | High temperature electrostatic chuck | APPLIED MATERIALS, INC. |
11133213 | Deflectable platen and associated method | APPLIED MATERIALS, INC. |
11133214 | Substrate transportation method | TOKYO ELECTRON LIMITED |
11133215 | Glass substrate, laminated substrate, laminated substrate manufacturing method, laminate, package, and glass substrate manufacturing method | AGC INC. |
11133216 | Interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133217 | Late gate cut with optimized contact trench size | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133218 | Semiconductor apparatus having through silicon via structure and manufacturing method thereof | -- |
11133219 | Method of processing a wafer | DISCO CORPORATION |
11133220 | Manufacturing method of packages | DISCO CORPORATION |
11133221 | Method for forming semiconductor device structure with gate electrode layer | -- |
11133222 | Method for manufacturing semiconductor structure | -- |
11133223 | Selective epitaxy | -- |
11133224 | Semiconductor structure and method for forming the same | -- |
11133225 | Mode converter and method of fabricating thereof | ROCKLEY PHOTONICS LIMITED |
11133226 | FUSI gated device formation | -- |
11133227 | Semiconductor device having active region and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133228 | Semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11133229 | Forming transistor by selectively growing gate spacer | -- |
11133230 | Semiconductor device with dual isolation liner and method of forming the same | -- |
11133231 | CMP apparatus and method for estimating film thickness | -- |
11133232 | Semiconductor device, method of testing semiconductor device and method of manufacturing semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133234 | Semiconductor device and semiconductor device manufacturing method | LAPIS SEMICONDUCTOR CO., LTD. |
11133236 | Polymer-based-semiconductor structure with cavity | -- |
11133240 | Semiconductor device and semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11133241 | Semiconductor package with a cavity in a die pad for reducing voids in the solder | STMICROELECTRONICS, INC. |
11133242 | Method of manufacturing semiconductor devices, corresponding device and circuit | STMICROELECTRONICS S.R.L. |
11133244 | Semiconductor device package and method for manufacturing the same | -- |
11133245 | Semiconductor package structure and method for manufacturing the same | -- |
11133247 | Vias with metal caps for underlying conductive lines | -- |
11133248 | Semiconductor structure and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11133249 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133252 | Three-dimensional memory device containing horizontal and vertical word line interconnections and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11133254 | Hybrid power rail structure | -- |
11133258 | Package with bridge die for interconnection and method forming same | -- |
11133259 | Multi-chip package structure having high density chip interconnect bridge with embedded power distribution network | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133260 | Self-aligned top via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133263 | High-density interconnects for integrated circuit packages | INTEL CORPORATION |
11133264 | Electronic system comprising a lower redistribution layer and method for manufacturing such an electronic system | 3DIS TECHNOLOGIES |
11133265 | Integrated fan-out package and method of fabricating the same | -- |
11133266 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133268 | Crack bifurcation in back-end-of-line | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133269 | Semiconductor package and manufacturing method thereof | -- |
11133270 | Integrated circuit device and fabrication method thereof | -- |
11133272 | Vertically-aligned and conductive dummies in integrated circuit layers for capacitance reduction and bias independence and methods of manufacture | QUALCOMM INCORPORATED |
11133273 | Semiconductor device with waveguide and method therefor | NXP USA, INC. |
11133274 | Fan-out interconnect structure and method for forming same | -- |
11133276 | Semiconductor device and method for manufacturing the same | ROHM CO., LTD. |
11133278 | Semiconductor package including cap layer and dam structure and method of manufacturing the same | -- |
11133281 | Chip to chip interconnect in encapsulant of molded semiconductor package | INFINEON TECHNOLOGIES AG |
11133282 | COWOS structures and methods forming same | -- |
11133283 | Integrated fan-out device | -- |
11133284 | Semiconductor package device | -- |
11133285 | Package-on-package structure having polymer-based material for warpage control | -- |
11133286 | Chip packages and methods of manufacture thereof | -- |
11133287 | Semiconductor package including stacked semiconductor chips and method for fabricating the same | SK HYNIX INC. |
11133289 | Semiconductor package and manufacturing method of semiconductor package having plurality of encapsulating materials | -- |
11133290 | Chip package structure with stacked chips and manufacturing method thereof | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133296 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11133304 | Packaging scheme involving metal-insulator-metal capacitor | -- |
11133305 | Nanosheet P-type transistor with oxygen reservoir | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133306 | Semiconductor device including fin structures and manufacturing method thereof | -- |
11133307 | FinFETs with locally thinned gate structures and having different distances therebetween | -- |
11133308 | Uniform work function metal recess for vertical transistor complementary metal oxide semiconductor technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133309 | Multi-threshold voltage gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133310 | Method of making multiple nano layer transistors to enhance a multiple stack CFET performance | TOKYO ELECTRON LIMITED |
11133312 | Semiconductor device, and method for manufacturing the same | SK HYNIX INC. |
11133314 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133315 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133316 | Semiconductor device having a serpentine shape isolation | -- |
11133317 | DRAM with a hydrogen-supply layer and a high-capacitance embedded capacitor with a cylindrical storage node | SAMSUNG ELECTRONICS CO., LTD. |
11133318 | Semiconductor structure and manufacturing method of the same | -- |
11133320 | Method for fabricating semiconductor device | -- |
11133321 | Semiconductor device and method of fabricating the same | -- |
11133325 | Memory cell structure of a three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11133330 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133331 | Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FinFET technology | STMICROELECTRONICS, INC. |
11133333 | Producing method for thin film transistor with different crystallinities | SAKAI DISPLAY PRODUCTS CORPORATION |
11133338 | SLT integrated circuit capacitor structure and methods | PSEMI CORPORATION |
11133349 | Short-wave infrared detector array and fabrication methods thereof | IRIS INDUSTRIES SA |
11133351 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11133375 | Semiconductor substrate with integrated inductive component | TEXAS INSTRUMENTS INCORPORATED |
11133377 | Semiconductor device | ROHM CO., LTD. |
11133378 | Semiconductor device including trench contact structure and manufacturing method | INFINEON TECHNOLOGIES AG |
11133379 | Semiconductor device having a super junction structure and method of manufacturing the same | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11133380 | Diode structure of a power semiconductor device | INFINEON TECHNOLOGIES AG |
11133383 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11133386 | Multi-layer fin structure | -- |
11133387 | FinFETs having dielectric punch-through stoppers | -- |
11133394 | Semiconductor device and manufacturing method thereof | -- |
11133395 | N-work function metal with crystal structure | -- |
11133397 | Method for forming lateral heterojunction bipolar devices and the resulting devices | GLOBALFOUNDRIES U.S. INC. |
11133399 | Semiconductor device | ROHM CO., LTD. |
11133400 | Structure and formation method of semiconductor device structure | -- |
11133401 | Fin structures having varied fin heights for semiconductor device | -- |
11133403 | Tunnel field effect transistor | -- |
11133404 | FinFET device including a stem region of a fin element | -- |
11133405 | High ruggedness heterojunction bipolar transistor | -- |
11133407 | Super-junction IGBT device and method for manufacturing same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11133408 | Dielectric passivation for layered structures | IQE PLC |
11133411 | Semiconductor device with reduced on-resistance | KABUSHIKI KAISHA TOSHIBA |
11133415 | Gradient doped region of recessed Fin forming a FinFET device | -- |
11133416 | Methods of forming semiconductor devices having plural epitaxial layers | -- |
11133417 | Transistors with a sectioned epitaxial semiconductor layer | GLOBALFOUNDRIES U.S. INC. |
11133419 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133420 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11133421 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11133422 | Method for manufacturing a semiconductor device | RENESAS ELECTRONICS CORPORATION |
11133432 | Display panel and manufacturing method thereof, and display device | SHANGHAI TIANMA MICRO-ELECTRONICS CO., LTD. |
11133435 | Nitride semiconductor substrate, manufacturing method therefor, and semiconductor device | OSAKA UNIVERSITY |
11133453 | Piezoelectric driving device, piezoelectric motor, robot, electronic-component conveying apparatus, printer, and projector | SEIKO EPSON CORPORATION |
11133461 | Laminate diffusion barriers and related devices and methods | INTEL CORPORATION |
11133467 | Access devices to correlated electron switch | CERFE LABS, INC. |
11133492 | Battery structure with stable voltage for neuromorphic computing | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11133609 | Semiconductor device having terminal pin connected by connecting member and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11134572 | Circuit board structure and method for manufacturing a circuit board structure | IMBERATEK, LLC |
11134595 | Compliant die attach systems having spring-driven bond tools | ASSEMBLEON B.V. |
11135623 | Wafer transport container interior atmosphere measurement device, wafer transport container, wafer transport container interior cleaning device, and wafer transport container interior cleaning method | TDK CORPORATION |
11135624 | Method and apparatus for substrate cleaning | SEMES CO., LTD. |
11135626 | Contamination removal apparatus and method | BRUKER NANO, INC. |
11135700 | Processing apparatus for processing wafer | DISCO CORPORATION |
11135761 | Process for producing receiving object having transferred pattern | DAIKIN INDUSTRIES, LTD. |
11136202 | Direct transfer apparatus for electronic components | ASM TECHNOLOGY SINGAPORE PTE LTD |
11136437 | Curable particulate silicone composition, semiconductor member comprising curable particulate silicone composition, and method for molding semiconductor member | DOW TORAY CO., LTD. |
11136474 | Polishing liquid and polishing method | SHOWA DENKO MATERIALS CO., LTD. |
11136479 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11136665 | Shadow ring for modifying wafer edge and bevel deposition | APPLIED MATERIALS, INC. |
11136667 | Deposition system and method using a delivery head separated from a substrate by gas pressure | EASTMAN KODAK COMPANY |
11136668 | Film-forming apparatus and film-forming method | TOKYO ELECTRON LIMITED |
11136669 | Film formation apparatus | TOKYO ELECTRON LIMIIED |
11136687 | Substrate locking system, device and procedure for chemical and/or electrolytic surface treatment | SEMSYSCO GMBH |
11137356 | System and method of cleaving of buried defects | SELA SEMICONDUCTOR ENGINEERING LABORATORIES LTD. |
11137369 | Integrated sensor arrays for biological and chemical analysis | LIFE TECHNOLOGIES CORPORATION |
11137630 | Method of producing image display device and resin dispenser | DEXERIALS CORPORATION |
11137673 | EUV exposure apparatus, and overlay correction method and semiconductor device fabricating method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11137675 | Mask and method for forming the same | -- |
11137680 | Shaping apparatus and article manufacturing method | CANON KABUSHIKI KAISHA |
11137681 | Lined photobucket structure for back end of line (BEOL) interconnect formation | INTEL CORPORATION |
11137685 | Semiconductor method of protecting wafer from bevel contamination | -- |
11137686 | Material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography and production method thereof, and resist pattern forming method | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11137689 | Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11137695 | Method of determining a height profile, a measurement system and a computer readable medium | ASML NETHERLANDS B.V. |
11137806 | Thermal management of integrated circuits | INTEL CORPORATION |
11138361 | Integrated circuit and system of manufacturing the same | -- |
11138929 | Display device | SAMSUNG DISPLAY CO., LTD. |
11139001 | Control logic assemblies and methods of forming a control logic device | MICRON TECHNOLOGY, INC. |
11139002 | Interconnection for memory electrodes | MICRON TECHNOLOGY, INC. |
11139141 | Systems and methods for thermally conditioning a wafer in a charged particle beam apparatus | ASML NETHERLANDS B.V. |
11139142 | High-resolution three-dimensional profiling of features in advanced semiconductor devices in a non-destructive manner using electron beam scanning electron microscopy | APPLIED MATERIALS, INC. |
11139151 | Micro-surface morphological matching for reactor components | INTEL CORPORATION |
11139152 | Substrate processing apparatus | PSK INC. |
11139159 | Methods of removing particles from over semiconductor substrates | MICRON TECHNOLOGY, INC. |
11139160 | Apparatus and method for processing a surface of a substrate | EBARA CORPORATION |
11139161 | Method of processing substrates and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11139162 | Organoaminosilane precursors and methods for depositing films comprising same | VERSUM MATERIALS US, LLC |
11139163 | Selective deposition of SiOC thin films | ASM IP HOLDING B.V. |
11139164 | Electronic device including hermetic micro-cavity and methods of preparing the same | RAYTHEON COMPANY |
11139165 | Staggered-type tunneling field effect transistor | -- |
11139166 | Method for manufacturing sputtering target, method for forming oxide film, and transistor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139167 | Method making it possible to obtain on a crystalline substrate a semi-polar layer of nitride obtained with at least one of the following materials: gallium (Ga), indium (In) and aluminium (Al) | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11139168 | Chamber deposition and etch process | APPLIED MATERIALS, INC. |
11139169 | Etching method and etching apparatus | TOKYO ELECTRON LIMITED |
11139170 | Apparatus and method for bonding substrates | EV GROUP E. THALLNER GMBH |
11139171 | Substrate treating apparatus and substrate treating method | SEMES CO., LTD. |
11139172 | Manufacturing method of gate structure | -- |
11139173 | Production method of semiconductor device | TOSHIBA MEMORY CORPORATION |
11139174 | Method for forming features of semiconductor structure having reduced end-to-end spacing | -- |
11139175 | Method of processing target object | TOKYO ELECTRON LIMITED |
11139176 | Direct growth methods for preparing diamond-assisted heat-dissipation silicon carbide substrates of GaN-HEMTs | HARBIN INSTITUTE OF TECHNOLOGY |
11139177 | Method of fabricating semiconductor package structure | -- |
11139178 | Semiconductor package with filler particles in a mold compound | TEXAS INSTRUMENTS INCORPORATED |
11139179 | Embedded component package structure and manufacturing method thereof | -- |
11139180 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11139181 | Substrate processing apparatus having processing block including liquid processing unit, drying unit, and supply unit adjacent to the transport block | TOKYO ELECTRON LIMITED |
11139182 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
11139183 | Systems and methods for dry wafer transport | -- |
11139184 | Method and apparatus for treating substrate | SEMES CO., LTD. |
11139185 | Substrate processing device and substrate transfer method | TOKYO ELECTRON LIMI TED |
11139186 | Thin film deposition in a high aspect ratio feature | INTERMOLECULAR, INC. |
11139187 | Adsorption device and transferring system having same | CENTURY TECHNOLOGY (SHENZHEN) CORPORATION LIMITED |
11139188 | Gas supply device, method for controlling gas supply device, load port, and semiconductor manufacturing apparatus | SINFONIA TECHNOLOGY CO., LTD. |
11139189 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
11139190 | Equipment front end modules including multiple aligners, assemblies, and methods | APPLIED MATERIALS, INC. |
11139191 | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith | ASM IP HOLDING B.V. |
11139192 | Substrate treating apparatus and substrate transporting method | SCREEN HOLDINGS CO., LTD. |
11139193 | Device and method for positioning first object in relation to second object | SHINKAWA LTD. |
11139194 | Sample holder | KYOCERA CORPORATION |
11139195 | Lift pin unit and substrate supporting unit having the same | SEMES CO., LTD. |
11139196 | Substrate holder for use in a lithographic apparatus | ASML NETHERLANDS B.V. |
11139197 | Method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139198 | High resistivity semiconductor-on-insulator wafer and a method of manufacturing | -- |
11139199 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139200 | Multi-layer structure having a dense middle layer | -- |
11139201 | Top via with hybrid metallization | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139202 | Fully aligned top vias with replacement metal lines | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139203 | Using mask layers to facilitate the formation of self-aligned contacts and vias | -- |
11139204 | Semiconductor device comprised of contact plugs having pillar portions and protrusion portions extending from the pillar portions | SK HYNIX INC. |
11139205 | Self-aligned subtractive interconnect patterning | APPLIED MATERIALS, INC. |
11139206 | Semiconductor device with conductive shielding structure | -- |
11139207 | Method for manufacturing a semiconductor device and semiconductor device | AMS AG |
11139208 | Semiconductor device and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11139209 | 3D circuit provided with mesa isolation for the ground plane zone | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11139210 | Bonding support structure (and related process) for wafer stacking | -- |
11139211 | Selective NFET/PFET recess of source/drain regions | -- |
11139212 | Semiconductor arrangement and method for making | -- |
11139213 | Method of making 3D source drains with hybrid stacking for optimum 3D logic layout | TOKYO ELECTRON LIMITED |
11139215 | Hybrid gate stack integration for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139216 | System, method and non-transitory computer readable medium for tuning sensitivities of, and determining a process window for, a modulated wafer | KLA-TENCOR CORPORATION |
11139217 | Post-production substrate modification with FIB deposition | BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC. |
11139220 | Flexible semiconductor package formed by roll-to-roll process | HAESUNG DS CO., LTD. |
11139223 | Semiconductor device and manufacturing method thereof | -- |
11139224 | Package comprising a substrate having a via wall configured as a shield | QUALCOMM INCORPORATED |
11139228 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139229 | Package-on-package semiconductor assemblies and methods of manufacturing the same | MICRON TECHNOLOGY, INC. |
11139230 | Flip-chip package substrate and method for preparing the same | -- |
11139232 | Wiring structure and method for manufacturing the same | -- |
11139233 | Cavity wall structure for semiconductor packaging | UTAC HEADQUARTERS PTE. LTD. |
11139234 | Package carrier and manufacturing method thereof | -- |
11139235 | Semiconductor device and manufacturing method thereof | LAPIS SEMICONDUCTOR CO., LTD. |
11139236 | Semiconductor devices and methods of forming the same | -- |
11139239 | Recessed inductor structure to reduce step height | -- |
11139240 | Semiconductor module and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11139241 | Integrated circuit device with crenellated metal trace layout | INTEL CORPORATION |
11139242 | Via-to-metal tip connections in multi-layer chips | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139243 | Semiconductor memory device | -- |
11139244 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11139245 | Advanced node interconnect routing methodology | -- |
11139246 | Semiconductor device with aligned vias | TOSHIBA MEMORY CORPORATION |
11139248 | Mounting substrate and electronic apparatus | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11139249 | Semiconductor devices and methods of forming the same | -- |
11139250 | Integrated module with electromagnetic shielding | QORVO US, INC. |
11139252 | Semiconductor package and method for manufacturing the same | -- |
11139255 | Protection of integrated circuits | STMICROELECTRONICS (ROUSSET) SAS |
11139258 | Bonding pads with thermal pathways | MICRON TECHNOLOGY, INC. |
11139260 | Plurality of stacked pillar portions on a semiconductor structure | -- |
11139261 | Film-like adhesive and method for producing semiconductor package using film-like adhesive | FURUKAWA ELECTRIC CO., LTD. |
11139262 | Use of pre-channeled materials for anisotropic conductors | MICRON TECHNOLOGY, INC. |
11139266 | Manufacturing method for electronic component, and electronic component | MURATA MANUFACTURING CO., LTD. |
11139267 | Packaging structure and forming method thereof | TONGFU MICROELECTRONICS CO., LTD. |
11139268 | Semiconductor package structure and method of manufacturing the same | -- |
11139271 | Semiconductor device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11139274 | Semiconductor device package and method of manufacturing the same | -- |
11139277 | Semiconductor device including contact fingers on opposed surfaces | WESTERN DIGITAL TECHNOLOGIES, INC. |
11139281 | Molded underfilling for package on package devices | -- |
11139285 | Semiconductor package | -- |
11139289 | Circuit-protection devices | MICRON TECHNOLOGY, INC. |
11139290 | High voltage cascode HEMT device | -- |
11139294 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139295 | Fin field effect transistor (FinFET) device and method | -- |
11139296 | CMOS circuit with vertically oriented n-type transistor and method of providing same | INTEL CORPORATION |
11139301 | Semiconductor device including side surface conductor contact | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11139302 | Integrated assemblies comprising spaces between bitlines and comprising conductive plates operationally proximate the bitlines, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11139304 | Manufacturing method of semiconductor memory device | -- |
11139308 | Atomic layer deposition of III-V compounds to form V-NAND devices | ASM IP HOLDING B.V. |
11139311 | Semiconductor non-volatile memory devices | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11139312 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139313 | Method of manufacturing semiconductor memory | TOKYO ELECTRON LIMITED |
11139315 | Ferroelectric transistor | QUALCOMM INCORPORATED |
11139324 | Method of manufacturing array substrate and display panel | HKC CORPORATION LIMITED |
11139328 | Manufacture of semiconductor module with transparent molding component | SUNNY OPOTECH NORTH AMERICA INC. |
11139331 | Semiconductor device and semiconductor-device manufacturing method | SONY CORPORATION |
11139334 | Solid-state image pickup device | CANON KABUSHIKI KAISHA |
11139367 | High density MIM capacitor structure | -- |
11139369 | Method of forming a semiconductor device | INFINEON TECHNOLOGIES AG |
11139371 | Two-dimensional semiconductor with geometry structure and generating method thereof | -- |
11139372 | Dual step etch-back inner spacer formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139375 | Semiconductor device and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11139376 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11139378 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11139379 | Semiconductor structure and method for forming the same | -- |
11139380 | Vertical fin-type bipolar junction transistor with self-aligned base contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139383 | Titanium aluminum and tantalum aluminum thin films | ASM IP HOLDING B.V. |
11139384 | Method for fabricating semiconductor device | -- |
11139385 | Interface-less contacts to source/drain regions and gate electrode over active portion of device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139387 | Semiconductor device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11139392 | Semiconductor device and manufacturing method | FUJI ELECTRIC CO., LTD. |
11139396 | Devices including vertical transistors, and related methods | MICRON TECHNOLOGY, INC. |
11139397 | Self-aligned metal compound layers for semiconductor devices | -- |
11139399 | Vertical transistor with self-aligned gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11139400 | Non-planar semiconductor device having hybrid geometry-based active region | GOOGLE LLC |
11139402 | Crystal orientation engineering to achieve consistent nanowire shapes | SYNOPSYS, INC. |
11139403 | Solar panel | SUNPOWER CORPORATION |
11139405 | Method for fabricating nanopillar solar cell using graphene | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11139420 | LED package structure | LITE-ON OPTO TECHNOLOGY (CHANGZHOU) CO., LTD. |
11139434 | Improving stability of thin film transistors | FLEXENBLE LIMITED |
11139447 | Light emitting layer structure and display device | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11139450 | Display substrate and manufacturing method therefor, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11139552 | Method of forming a semiconductor device | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11139748 | Power module, power converter device, and electrically powered vehicle | HITACHI ASTEMO, LTD. |
11139759 | Apparatus for at least one of holding, positioning and moving an object and method of operating an apparatus for at least one of holding, positioning and moving an object | APPLIED MATERIALS, INC. |
11139802 | Sequential based ring oscillator | QUALCOMM INCORPORATED |
11140786 | Thermal interface adhesion for transfer molded electronic components | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11141755 | Piezoelectric jetting system and method with amplification mechanism | NORDSON CORPORATION |
11141758 | Film forming method, storage medium, and film forming system | TOKYO ELECTRON LIMITED |
11141762 | System for cleaning semiconductor wafers | ACM RESEARCH (SHANGHAI), INC. |
11141823 | Systems and methods of applying materials to components | LAIRD TECHNOLOGIES, INC. |
11141830 | Method for setting processing device | TOKYO SEIMITSU CO., LTD |
11141832 | Water discharge system, water discharge method, water discharge control apparatus, water discharge control method, substrate processing apparatus and non-transitory computer readable medium recording water discharge control | EBARA CORPORATION |
11141902 | Gate-all-around fin device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11142219 | Ceiling conveyance system, and relay conveyance apparatus and conveyance method used therefor | MURATA MACHINERY, LTD. |
11142452 | Transfer system and transfer method for microelements, manufacturing method for microelement device and microelement device made therefrom, and electronic apparatus including the microelement device | XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11142484 | Component for semiconductor production device, and production method of component for semiconductor production device | NGK SPARK PLUG CO., LTD. |
11142669 | Adhesive and method of encapsulating organic electronic device using the same | LG CHEM, LTD. |
11142694 | Etchant composition and method of fabricating semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11142821 | Method for producing single crystal substrate having a plurality of grooves using a pair of masks | SEIKO EPSON CORPORATION |
11142823 | Substrate processing apparatus, substrate processing method, and storage medium | TOKYO ELECTRON LIMITED |
11142829 | Semiconductor manufacturing apparatus member, and display manufacturing apparatus and semiconductor manufacturing apparatus comprising semiconductor manufacturing apparatus member | TOTO LTD. |
11142844 | High resistivity single crystal silicon ingot and wafer having improved mechanical strength | -- |
11143416 | Radiation heater arrangement | EVATEC AG |
11143600 | Defect inspection device | HITACHI HIGH-TECH CORPORATION |
11143601 | Test structure design for metrology measurements in patterned samples | -- |
11143689 | Method and system for data collection and analysis for semiconductor manufacturing | OPTIMAL PLUS LTD. |
11143692 | LED wafer, LED wafer detection device, and LED wafer detection method | -- |
11143694 | Wide injection range open circuit voltage decay system | TEXAS TECH UNIVERSITY SYSTEM |
11143711 | Apparatus and method for detecting wiring short in substrate | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11143949 | Photomask blank, method of manufacturing photomask, and photomask | SHIN-ETSU CHEMICAL CO., LTD. |
11143950 | Mask manufacturing method and mask set | TOSHIBA MEMORY CORPORATION |
11143956 | Ejection device and imprint apparatus | CANON KABUSHIKI KAISHA |
11143961 | Resist composition | THE UNIVERSITY OF MANCHESTER |
11143962 | Material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography and production method thereof, pattern forming method, resin, and purification method | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11143965 | Optical lithography system for patterning semiconductor devices and method of using the same | -- |
11143974 | Cleaning method and cleaning system for reticle pod | SAMSUNG ELECTRONICS CO., LTD. |
11144075 | Flow control system, method, and apparatus | ICHOR SYSTEMS, INC. |
11145490 | Plasma processing method | TOKYO ELECTRON LIMITED |
11145491 | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11145492 | Local dry etching apparatus | SPEEDFAM CO., LTD. |
11145493 | Plasma etching apparatus and plasma etching method | TOKYO ELECTRON LIMITED |
11145494 | Plasma processing apparatus | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145504 | Method of forming film stacks with reduced defects | APPLIED MATERIALS, INC. |
11145505 | Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11145506 | Selective passivation and selective deposition | ASM IP HOLDING B.V. |
11145507 | Method of forming gallium nitride film over SOI substrate | -- |
11145508 | Forming a fin cut in a hardmask | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145509 | Method for forming and patterning a layer and/or substrate | APPLIED MATERIALS, INC. |
11145510 | FinFET device over convex insulating structure | -- |
11145511 | Power semiconductor device and method of fabricating the same | SHANGHAI HUAHONG GRACE SEMICONDUCTOR MANUFACTURING CORPORATION |
11145512 | Gate isolation plugs structure and method | -- |
11145513 | Method of manufacturing semiconductor device | NICHIA CORPORATION |
11145514 | Removal liquid and method for removing oxide of group III-V element, treatment liquid for treating compound of group III-V element, oxidation prevention liquid for preventing oxidation of group III-V element, treatment liquid for treating semiconductor substrate, and method for producing semiconductor substrate product | FUJIFILM CORPORATION |
11145515 | Manufacturing method of semiconductor device with attached film | DENSO CORPORATION |
11145516 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11145517 | Gas curtain for semiconductor manufacturing system | -- |
11145518 | Method and apparatus for etching target object | TOKYO ELECTRON LIMITED |
11145519 | Mechanisms for forming patterns using multiple lithography processes | -- |
11145520 | Method for treating substrate involving supplying treatment liquid to peripheral area of substrate by second nozzle | SEMES CO., LTD. |
11145521 | Method for cleaning a semiconductor substrate | -- |
11145522 | Method of forming boron-based film, and film forming apparatus | TOKYO ELECTRON LIMITED |
11145524 | Apparatus and method for treating substrate | SEMES CO., LTD |
11145526 | Method of analyzing a manufacturing of a semiconductor structure | -- |
11145527 | Method for charging gas into cassette pod | -- |
11145528 | Load port apparatus and method of mounting container | TDK CORPORATION |
11145529 | EFEM and method of introducing replacement gas thereinto | TDK CORPORATION |
11145530 | System and method for alignment of an integrated circuit | CEREBRAS SYSTEMS INC. |
11145531 | Substrate fixing device | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11145532 | Electrostatic chuck | TOTO LTD. |
11145533 | Expanding method | DISCO CORPORATION |
11145534 | Support unit and substrate treating apparatus comprising the same | SEMES CO., LTD. |
11145535 | Planarization process, apparatus and method of manufacturing an article | CANON KABUSHIKI KAISHA |
11145536 | Gate dielectric preserving gate cut process | -- |
11145537 | Silicon-on-insulator substrate including trap-rich layer and methods for making thereof | TEMPE |
11145538 | High resistivity silicon-on-insulator structure and method of manufacture thereof | -- |
11145539 | Shallow trench isolation for integrated circuits | -- |
11145540 | Semiconductor structure having air gap dielectric and the method of preparing the same | -- |
11145541 | Conductive via and metal line end fabrication and structures resulting therefrom | INTEL CORPORATION |
11145542 | Global dielectric and barrier layer | -- |
11145543 | Semiconductor via structure with lower electrical resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145544 | Contact etchback in room temperature ionic liquid | -- |
11145545 | Semiconductor device | TOSHIBA MEMORY CORPORATION |
11145546 | Method of forming semiconductor device | -- |
11145547 | Semiconductor chip suitable for 2.5D and 3D packaging integration and methods of forming the same | QORVO US, INC. |
11145548 | Manufacturing process of element chip using laser grooving and plasma-etching | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11145549 | Field effect transistor with an atomically thin channel | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11145550 | Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145551 | FinFET devices | TESSERA, INC. |
11145552 | Method of manufacturing semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11145553 | Nonplanar device and strain-generating channel dielectric | -- |
11145554 | Method for source/drain contact formation in semiconductor devices | -- |
11145555 | Gate-last process for vertical transport field-effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145556 | Method and device for inspection of semiconductor samples | CARL ZEISS SMT GMBH |
11145557 | Method and apparatus to determine a patterning process parameter | ASML NETHERLANDS B.V. |
11145558 | Manufacturing method of semiconductor module | FUJI ELECTRIC CO., LTD. |
11145559 | Process monitoring of deep structures with X-ray scatterometry | KLA-TENCOR CORPORATION |
11145560 | Semiconductor device and methods of manufacturing | -- |
11145561 | Display panel and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145562 | Package structure and method of manufacturing the same | -- |
11145563 | Semiconductor devices having cutouts in an encapsulation material and associated production methods | INFINEON TECHNOLOGIES AG |
11145564 | Multi-layer passivation structure and method | -- |
11145565 | Method of fabricating a chip package module with improve heat dissipation effect | -- |
11145571 | Heat transfer for power modules | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145572 | Semiconductor structure having through-substrate via (TSV) in porous semiconductor region | NEWPORT FAB, LLC |
11145574 | Semiconductor device packages with electrical routing improvements and related methods | MICROCHIP TECHNOLOGY INCORPORATED |
11145575 | Conductive bonding layer with spacers between a package substrate and chip | UTAC HEADQUARTERS PTE. LTD. |
11145578 | Semiconductor package with top or bottom side cooling and method for manufacturing the semiconductor package | INFINEON TECHNOLOGIES AG |
11145581 | Methods of forming leadless semiconductor packages with plated leadframes and wettable flanks | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145582 | Method of manufacturing semiconductor devices with a paddle and electrically conductive clip connected to a leadframe and corresponding semiconductor device | STMICROELECTRONICS S.R.L. |
11145583 | Method to achieve variable dielectric thickness in packages for better electrical performance | INTEL CORPORATION |
11145584 | Semiconductor device, lead frame, and method for manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11145585 | Wiring board having each pad with tapered section continuously formed on columnar section | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11145588 | Method for fabricating semiconductor package and semiconductor package using the same | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11145592 | Process for forming metal-insulator-metal structures | -- |
11145593 | Semiconductor device with integrated capacitor and manufacturing method thereof | -- |
11145596 | Package structure and method of forming the same | -- |
11145597 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11145598 | Lattice bump interconnect | TEXAS INSTRUMENTS INCORPORATED |
11145599 | Method of fabricating a memory device having multiple metal interconnect lines | -- |
11145600 | Electronic device with multilayer electrode and methods for manufacturing the same | -- |
11145603 | Integrated circuit packaging system with shielding and method of manufacture thereof | STATS CHIPPAC PTE. LTD. |
11145604 | Semiconductor structure, integrated circuit device, and method of forming semiconductor structure | -- |
11145610 | Chip package structure having at least one chip and at least one thermally conductive element and manufacturing method thereof | -- |
11145611 | Semiconductor package and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145614 | Semiconductor device and method of manufacture | -- |
11145618 | Bonding equipment | SHARP KABUSHIKI KAISHA |
11145621 | Semiconductor package device and method of manufacturing the same | -- |
11145622 | Discrete polymer in fan-out packages | -- |
11145624 | Semiconductor device package and method for manufacturing the same | -- |
11145625 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11145626 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11145627 | Semiconductor package and manufacturing method thereof | -- |
11145630 | Light emitting diode panel and manufacturing method thereof | -- |
11145633 | Semiconductor package and manufacturing method thereof | -- |
11145637 | Semiconductor package including a substrate having two silicon layers formed on each other | SAMSUNG ELECTRONICS CO., LTD. |
11145638 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11145639 | Semiconductor package and manufacturing method thereof | -- |
11145640 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11145642 | Single-stack bipolar-based ESD protection device | NXP USA, INC. |
11145643 | Semiconductor device, method for manufacturing semiconductor device, and PID protection device | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11145644 | Power device with carrier lifetime zone | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11145645 | Multi-stack three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11145647 | Tipless transistors, short-tip transistors, and methods and circuits therefor | UNITED SEMICONDUCTOR JAPAN CO., LTD. |
11145648 | Enhancement/depletion device pairs and methods of producing the same | INTEL CORPORATION |
11145649 | Semiconductor devices with low parasitic capacitance | QUALCOMM INCORPORATED |
11145650 | Gate cut dielectric feature and method of forming the same | -- |
11145651 | Transistor gate arrangement to modify driver signal characteristics | ARM LIMITED |
11145652 | Increasing thickness of functional layer according to increasing recess area | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145654 | Field effect transistor (FET) comprising channels with silicon germanium (SiGe) | QUALCOMM INCORPORATED |
11145655 | Memory device with reduced-resistance interconnect | -- |
11145657 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11145658 | Semiconductor structures with deep trench capacitor and methods of manufacture | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145666 | Staircase structure for memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11145672 | Semiconductor device including stack structures having gate pads with different thicknesses | SAMSUNG ELECTRONICS CO., LTD. |
11145673 | Semiconductor apparatus with multiple tiers, and methods | MICRON TECHNOLOGY, INC. |
11145674 | 3D memory device and method of manufacturing the same | -- |
11145675 | Semiconductor device and manufacturing method of the semiconductor device | SK HYNIX INC. |
11145677 | Fully depleted semiconductor-on-insulator transistors with different buried dielectric layer charges and different threshold voltages | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145678 | Method for manufacturing semiconductor device | -- |
11145679 | Method for manufacturing active matrix board | SHARP KABUSHIKI KAISHA |
11145710 | Electrode/dielectric barrier material formation and structures | MICRON TECHNOLOGY, INC. |
11145711 | Capacitor and method for manufacturing capacitor | MURATA MANUFACTURING CO., LTD. |
11145713 | High voltage metal-oxide-semiconductor (HVMOS) device integrated with a high voltage junction termination (HVJT) device | -- |
11145714 | Semiconductor device | ROHM CO., LTD. |
11145715 | Semiconductor structure and method of forming same | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11145716 | Semiconductor devices with low resistance gate structures | GLOBALFOUNDRIES U.S. INC. |
11145719 | Semiconductor device having a contact | -- |
11145720 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11145722 | Heavily doped buried layer to reduce MOSFET off capacitance | ANALOG DEVICES, INC. |
11145723 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11145724 | Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11145727 | Semiconductor structure and method of forming the same | -- |
11145728 | Semiconductor device and method of forming same | -- |
11145729 | Semiconductor device | -- |
11145730 | Semiconductor device and method for manufacturing the same | -- |
11145731 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11145733 | Method of manufacturing a semiconductor device | -- |
11145734 | Semiconductor device with dummy fin and liner and method of forming the same | -- |
11145735 | Ohmic alloy contact region sealing layer | RAYTHEON COMPANY |
11145736 | Semiconductor device with electrically connected doping regions and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11145739 | Field effect transistors with a gated oxide semiconductor source/drain spacer | INTEL CORPORATION |
11145740 | Ferroelectric field effect transistor device | -- |
11145741 | Bipolar transistor | STMICROELECTRONICS (GROLLES 2) SAS |
11145742 | Process of forming nitride semiconductor device | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11145744 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11145745 | Method for producing a semiconductor component | INFINEON TECHNOLOGIES AG |
11145746 | Semiconductor device and method | -- |
11145747 | FinFET structure | -- |
11145749 | Method of fabricating a semiconductor device | -- |
11145752 | Residue removal in metal gate cutting process | -- |
11145753 | Ballistic transport semiconductor device based on nano array and manufacturing method | JIANGSU |
11145755 | Silicon carbide semiconductor component with edge termination structure | INFINEON TECHNOLOGIES AG |
11145756 | Semiconductor structure and method of forming a semiconductor structure | SEMICONDUCTOR MANUFACTURING (BEIJING) INTERNATIONAL CORPORATION |
11145758 | Fully-depleted CMOS transistors with u-shaped channel | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11145759 | Silicon germanium p-channel finFET stressor structure and method of making same | -- |
11145760 | Structure having improved fin critical dimension control | -- |
11145761 | Horizontal gate all around and FinFET device isolation | APPLIED MATERIALS, INC. |
11145762 | Multi-gate device | -- |
11145763 | Vertical switching device with self-aligned contact | INTEL CORPORATION |
11145767 | Semiconductor structure | -- |
11145768 | Trench capacitor component with reduced equivalent series resistance and equivalent series inductance | QUALCOMM INCORPORATED |
11145794 | Chip scale light emitting device package with dome | LUMILEDS LLC |
11145795 | Light emitting apparatus and method for manufacturing same | CITIZEN ELECTRONICS CO., LTD. |
11145799 | LED module having LED chips as light source | ROHM CO., LTD. |
11145806 | Magnetic tunnel junction with reduced damage | -- |
11145842 | Organic light emitting diode display panel comprising multi-layer encapsulation | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11145845 | Organic device manufacturing method | SUMITOMO CHEMICAL COMPANY, LIMITED |
11146230 | Method for creating double bragg mirror for tight frequency reference control | TEXAS INSTRUMENTS INCORPORATED |
11146258 | Method of over current and over voltage protection of a power switch in combination with regulated DI/DT and DV/DT | INFINEON TECHNOLOGIES AUSTRIA AG |
11147129 | Industrial heater | -- |
11147166 | Method for producing semiconductor device | SHOWA DENKO MATERIALS CO., LTD. |
11147197 | Microelectronic package electrostatic discharge (ESD) protection | INTEL CORPORATION |
11148179 | Method for cleaning substrate transfer mechanism and substrate processing system | TOKYO ELECTRON LIMITED |
11148243 | Cutting blade supplying apparatus and cutting blade case | DISCO CORPORATION |
11148258 | Holding apparatus and method for holding a substrate | SUSS MICROTEC LITHOGRAPHY GMBH |
11148938 | Substrate bonding apparatus, substrate pairing apparatus, and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
11148943 | Glass piece and methods of manufacturing glass pieces and semiconductor devices with glass pieces | INFINEON TECHNOLOGIES AG |
11149045 | Raw material for vapor deposition including organoplatinum compound and vapor deposition method using the raw material for vapor deposition | TANAKA KIKINZOKU KOGYO K.K. |
11149200 | Composition for etching and method for manufacturing semiconductor device using same | -- |
11149201 | Silicon nitride layer etching composition | ENF TECHNOLOGY CO., LTD. |
11149234 | Cleaning composition, cleaning apparatus, and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11149235 | Cleaning composition with corrosion inhibitor | ENTEGRIS, INC. |
11149345 | Cryogenically cooled rotatable electrostatic chuck | APPLIED MATERIALS, INC. |
11149347 | Process for etching, and chamber cleaning and a gas therefor | SOLVAY SA |
11149350 | Shower plate structure for supplying carrier and dry gas | ASM IP HOLDING B.V. |
11149351 | Apparatus and method for chemical vapor deposition process for semiconductor substrates | INFINEON TECHNOLOGIES AG |
11149358 | Vapor phase growth apparatus comprising n reactors, a primary gas supply path, a main secondary gas supply path, (n−1) auxiliary secondary gas supply paths, a first control circuit, and a second control circuit | NUFLARE TECHNOLOGY, INC. |
11149752 | Vacuum pump using profile | VTEC CO., LTD |
11149991 | Heating and cooling apparatus having moisture removal function for testing electrical characteristic of semiconductor element using probe system | -- |
11150190 | Hybrid metrology method and system | NOVA LTD |
11150283 | Amplitude and phase detection circuit | RENO TECHNOLOGIES, INC. |
11150287 | Pump and probe type second harmonic generation metrology | FEMTOMETRIX, INC. |
11150409 | Saw assisted facet etch dicing | GENXCOMM, INC. |
11150494 | Waveguide modulator structures | ROCKLEY PHOTONICS LIMITED |
11150558 | Developing method | -- |
11150680 | Two-transistor bandgap reference circuit and FinFET device suited for same | -- |
11150710 | Apparatuses and methods for encapsulated devices | INTERSIL AMERICAS LLC |
11151709 | Inspection device and inspection method | TOSHIBA MEMORY CORPORATION |
11151922 | Monolithic micro LED display | INTEL CORPORATION |
11152130 | Nanoscale X-ray tomosynthesis for rapid analysis of integrated circuit (IC) dies | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152192 | Plasma processing apparatus and method | HITACHI HIGH-TECH CORPORATION |
11152196 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11152203 | Semiconductor cleaner systems and methods | BROOKS AUTOMATION (GERMANY) GMBH |
11152204 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11152205 | Silicon chalcogenate precursors comprising a chemical formula of si(XR1)nR24-n and methods of forming the silicon chalcogenate precursors | MICRON TECHNOLOGY, INC. |
11152206 | Compositions and methods using same for carbon doped silicon containing films | VERSUM MATERIALS US, LLC |
11152207 | Method of forming titanium nitride films with (200) crystallographic texture | TOKYO ELECTRON LIMITED |
11152208 | Semiconductor film, method of forming semiconductor film, complex compound for doping, and method of doping | FLOSFIA INC. |
11152209 | Forming semiconductor structures with two-dimensional materials | -- |
11152210 | Semiconductor crystal substrate, infrared detector, and method for producing semiconductor crystal substrate | FUJITSU LIMITED |
11152211 | Semiconductor wafer thinning systems and related methods | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152212 | Semiconductor device and method for fabricating the same | SK HYNIX INC. |
11152213 | Transistor device with ultra low-k self aligned contact cap and ultra low-k spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152214 | Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152215 | Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11152216 | Method for manufacturing semiconductor device | FILNEX INC. |
11152217 | Highly selective silicon oxide/silicon nitride etching by selective boron nitride or aluminum nitride deposition | TOKYO ELECTRON LIMITED |
11152218 | Template, imprint apparatus, imprint method and imprint apparatus management method | TOSHIBA MEMORY CORPORATION |
11152219 | Selectively etching materials | ENTEGRIS, INC. |
11152220 | Etching method and a semiconductor device | SHANGHAI HUALI INTEGRATED CIRCUIT MFG. CO. LTD. |
11152221 | Methods and apparatus for metal silicide deposition | APPLIED MATERIALS, INC. |
11152222 | Dishing prevention structure embedded in a gate electrode | -- |
11152223 | Fluorocarbon molecules for high aspect ratio oxide etch | AMERICAN AIR LIQUIDE, INC. |
11152224 | Semiconductor device with field stop layer and semiconductor device manufacturing method thereof | FUJI ELECTRIC CO., LTD. |
11152225 | Method for producing semiconductor element | TOYOTA JIDOSHA KABUSHIKI KAISHA |
11152226 | Structure with controlled capillary coverage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152227 | Lift-off embedded micro and nanostructures | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152228 | Wafer cleaning apparatus and cleaning method using the same | SK SILTRON CO., LTD. |
11152229 | Semiconductor manufacturing apparatus and semiconductor manufacturing method | MITSUBISHI ELECTRIC CORPORATION |
11152230 | Device and method for bonding alignment | SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD. |
11152231 | Heating apparatus, method and system for producing semiconductor chips in the wafer assembly | OSRAM OLED GMBH |
11152232 | Frequency and phase controlled transducers and sensing | -- |
11152233 | Substrate treating apparatus and substrate treating method | SCREEN HOLDINGS CO., LTD. |
11152234 | Weighing apparatus, substrate liquid processing apparatus, weighing method, substrate liquid processing method and recording medium | TOKYO ELECTRON LIMITED |
11152235 | Apparatus and method for manufacture of semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11152236 | System for and method of manufacture using multimodal analysis | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152237 | Substitute sample, method for determining control parameter of processing, and measurement system | HITACHI, LTD. |
11152238 | Semiconductor processing stage profiler jig | -- |
11152239 | Fume-removing device | SEONGNAM |
11152240 | Apparatus for conveying carrier and system for controlling carrier having the same | SEMES CO., LTD. |
11152241 | Substrate processing apparatus and notification method | TOKYO ELECTRON LIMITED |
11152242 | Substrate treating apparatus and method for controlling substrate treating apparatus | SCREEN HOLDINGS CO., LTD. |
11152243 | Device for aligning and optically inspecting a semiconductor component | MUEHLBAUER GMBH & CO. KG |
11152244 | Electrostatic chuck | TOTO LTD. |
11152245 | LED transfer device comprising mask and micro LED transferring method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11152246 | Chuck table and inspection apparatus | DISCO CORPORATION |
11152247 | Semiconductor device and manufacturing method thereof | SEIKO EPSON CORPORATION |
11152248 | Cyclic flowable deposition and high-density plasma treatment processes for high quality gap fill solutions | APPLIED MATERIALS, INC. |
11152249 | Methods of forming FinFET devices | -- |
11152250 | Gate dielectric preserving gate cut process | -- |
11152251 | Method for manufacturing semiconductor device having via formed by ion beam | -- |
11152252 | Semiconductor device with reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152253 | Semiconductor structure and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11152254 | Pitch quartered three-dimensional air gaps | INTEL CORPORATION |
11152255 | Methods of performing chemical-mechanical polishing process in semiconductor devices | -- |
11152256 | Carrier film, element transfer method using same, and electronic product manufacturing method using element transfer method | KOREA INSTITUTE OF MACHINERY & MATERIALS |
11152257 | Barrier-less prefilled via formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152258 | Method of forming an interconnect in a semiconductor device | -- |
11152259 | Interconnection element and method of manufacturing the same | STMICROELECTRONICS (CROLLES 2) SAS |
11152260 | Embedding method and processing system | TOKYO ELECTRON LIMITED |
11152261 | Self-aligned top via formation at line ends | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152262 | Cut metal gate devices and processes | -- |
11152263 | Process method for cutting polysilicon gate of FinFET transistor | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11152264 | Multi-Vt scheme with same dipole thickness for gate-all-around transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152265 | Local isolation of source/drain for reducing parasitic capacitance in vertical field effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152266 | Vertical tunneling field effect transistor with dual liner bottom spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152267 | Methods of cutting metal gates and structures formed thereof | -- |
11152268 | Platform and method of operating for integrated end-to-end area-selective deposition process | TOKYO ELECTRON LIMITED |
11152269 | Plasma processing apparatus and control method | TOKYO ELECTRON LIMITED |
11152270 | Monitoring structure for critical dimension of lithography process | -- |
11152272 | Die-to-wafer hybrid bonding with forming glass | QUALCOMM INCORPORATED |
11152273 | Conductive structures and redistribution circuit structures | -- |
11152274 | Multi-moldings fan-out package and process | -- |
11152275 | Semiconductor device and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11152276 | Trim wall protection method for multi-wafer stacking | -- |
11152280 | Semiconductor device and method for manufacturing the same | MITSUBISHI ELECTRIC CORPORATION |
11152281 | Method of manufacturing a cooling circuit on an integrated circuit chip using a sacrificial material | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152282 | Localized catalyst for enhanced thermal interface material heat transfer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152284 | Three-dimensional memory device with a dielectric isolation spacer and methods of forming the same | SANDISK TECHNOLOGIES LLC |
11152286 | Power semiconductor module device | WASEDA UNIVERSITY |
11152288 | Lead frames for semiconductor packages | INFINEON TECHNOLOGIES AG |
11152289 | Semiconductor device and corresponding method | STMICROELECTRONICS S.R.L. |
11152293 | Wiring board having two insulating films and hole penetrating therethrough | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11152294 | Hermetic metallized via with improved reliability | CORNING INCORPORATED |
11152296 | Semiconductor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD. |
11152298 | Metal via structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152299 | Hybrid selective dielectric deposition for aligned via integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152300 | Electrical fuse with metal line migration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152302 | Fabricating unique chips using a charged particle multi-beamlet lithography system | ASML NETHERLANDS B.V. |
11152306 | Dielectric film for semiconductor fabrication | -- |
11152307 | Buried local interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152309 | Semiconductor package, method of fabricating semiconductor package, and method of fabricating redistribution structure | SAMSUNG ELECTRONICS CO., LTD. |
11152312 | Packages with interposers and methods for forming the same | -- |
11152313 | Using threading dislocations in GaN/Si systems to generate physically unclonable functions | SYNOPSYS, INC. |
11152316 | Method of forming contact holes in a fan out package | -- |
11152320 | Semiconductor package structure and method of the same | -- |
11152321 | Semiconductor device having a copper pillar interconnect structure | INFINEON TECHNOLOGIES AG |
11152322 | Leadframes in semiconductor devices | TEXAS INSTRUMENTS INCORPORATED |
11152323 | Package with UBM and methods of forming | -- |
11152324 | Method and apparatus for making integrated circuit packages | TEXAS INSTRUMENTS INCORPORATED |
11152325 | Contact and die attach metallization for silicon carbide based devices and related methods of sputtering eutectic alloys | CREE, INC. |
11152329 | Method of separating bonded substrate, method of manufacturing semiconductor storage device, and substrate separation apparatus | TOSHIBA MEMORY CORPORATION |
11152330 | Semiconductor package structure and method for forming the same | -- |
11152331 | Electronic package and method for fabricating the same | -- |
11152332 | Modular voltage regulators | -- |
11152338 | Semiconductor device and manufacturing method thereof | -- |
11152339 | Method for improved transfer of semiconductor die | ROHINNI, LLC |
11152340 | Power module having a multilayered structure with liquid cooled busbar and method for manufacturing same | MITSUBISHI ELECTRIC CORPORATION |
11152344 | Integrated circuit package and methods of forming same | -- |
11152345 | Method for manufacturing semiconductor device | KIOXIA CORPORATION |
11152349 | Integrated circuit (IC) device | SAMSUNG ELECTRONICS CO., LTD. |
11152350 | Dielectric spaced diode | TEXAS INSTRUMENTS INCORPORATED |
11152352 | Dual mode snap back circuit device | INTEL CORPORATION |
11152353 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11152354 | Bipolar junction transistor, BiCOMOS device including same, and method of manufacturing BiCMOS device | DB HITEK CO., LTD. |
11152355 | Structure with embedded memory device and contact isolation scheme | -- |
11152356 | Method of forming a semiconductor device and structure therefor | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11152358 | Vertical structure for semiconductor device | -- |
11152360 | Architecture of N and P transistors superposed with canal structure formed of nanowires | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11152361 | Techniques for achieving multiple transistor fin dimensions on a single die | INTEL CORPORATION |
11152362 | Fin field effect transistor (FinFET) device structure | -- |
11152363 | Bulk CMOS devices with enhanced performance and methods of forming the same utilizing bulk CMOS process | QORVO US, INC. |
11152364 | Semiconductor structure and methods for manufacturing the same | -- |
11152368 | Semiconductor device including storage node electrode having filler and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152370 | Memory structure having transistors and capacitor and manufacturing method thereof | -- |
11152371 | Apparatus comprising monocrystalline semiconductor materials and monocrystalline metal silicide materials, and related methods, electronic devices, and electronic systems | MICRON TECHNOLOGY, INC. |
11152372 | Method used in forming integrated circuitry, and method used in forming memory circuitry | MICRON TECHNOLOGY, INC. |
11152373 | Structures and methods for forming dynamic random-access devices | APPLIED MATERIALS, INC. |
11152374 | Semiconductor device having bit line structure with spacer structure and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152375 | Contact patterning | MICRON TECHNOLOGY, INC. |
11152377 | Nanosheet SRAM by SIT process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152379 | Static random-access memory (SRAM) and manufacture thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152380 | Memory device and a method for forming the memory device | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11152381 | MOS transistor having lower gate-to-source/drain breakdown voltage and one-time programmable memory device using the same | HEFECHIP CORPORATION LIMITED |
11152382 | Semiconductor one-time programmable memory for nanometer CMOS | -- |
11152383 | Non-volatile memory (NVM) cell structure to increase reliability | -- |
11152384 | Boundary structure for embedded memory | -- |
11152386 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11152389 | Methods for solving epitaxial growth loading effect at different pattern density regions | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11152390 | Vertical semiconductor devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11152391 | Semiconductor memory device and production method thereof | TOSHIBA MEMORY CORPORATION |
11152393 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11152394 | Structure with polycrystalline isolation region below polycrystalline fill shape(s) and selective active device(s), and related method | GLOBALFOUNDRIES U.S. INC. |
11152395 | Monolithic multi-FETs | X-CELEPRINT LIMITED |
11152396 | Semiconductor device having stacked transistors and multiple threshold voltage control | INTEL CORPORATION |
11152401 | Flexible display substrate and preparation method thereof | KUNSHAN NEW FLAT PANEL DISPLAY TECHNOLOGY CENTER CO., LTD. |
11152414 | Image sensor including dual isolation and method of making the same | -- |
11152421 | Small-pitch image sensor | OMNIVISION TECHNOLOGIES, INC. |
11152455 | Method to reduce breakdown failure in a MIM capacitor | -- |
11152457 | Method of manufacturing capacitor | SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC. |
11152459 | Lateral MOSFET with buried drain extension layer | TEXAS INSTRUMENTS INCORPORATED |
11152460 | High thermal budget compatible punch through stop integration using doped glass | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152462 | Semiconductor device having fins | -- |
11152467 | Extrinsic base doping for bipolar junction transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152468 | Semiconductor device | TOHOKU UNIVERSITY |
11152472 | Crystalline oxide semiconductor | FLOSFIA INC. |
11152473 | Device with doped phosphorene and method for doping phosphorene | -- |
11152474 | Semiconductor device and method for forming the same | -- |
11152475 | Method for forming source/drain contacts utilizing an inhibitor | -- |
11152476 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11152477 | Transistors with different threshold voltages | -- |
11152478 | Vertical transistors with buried metal silicide bottom contact | SAMSUNG ELECTRONICS CO., LTD. |
11152481 | Gate stacks for stack-fin channel I/O devices and nanowire channel core devices | -- |
11152482 | Antiferroelectric gate dielectric transistors and their methods of fabrication | INTEL CORPORATION |
11152483 | Doped encapsulation material for diamond semiconductors | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11152484 | Semiconductor structure and manufacturing method thereof | -- |
11152485 | Semiconductor structure and manufacturing method thereof | -- |
11152487 | Method for manufacturing semiconductor device | -- |
11152488 | Gate-all-around structure with dummy pattern top in channel region and methods of forming the same | -- |
11152489 | Additive core subtractive liner for metal cut etch processes | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152490 | Array substrate and method for manufacturing same | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11152491 | Method for forming semiconductor device structure with inner spacer layer | -- |
11152492 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11152493 | Method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152494 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11152495 | Integrated circuit heat dissipation using nanostructures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11152496 | IC structure base and inner E/C material on raised insulator, and methods to form same | GLOBALFOUNDRIES U.S. INC. |
11152499 | Nitride semiconductor device and method for manufacturing same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11152500 | Tunneling field-effect transistor and method for manufacturing tunneling field-effect transistor | HUAWEI TECHNOLOGIES CO., LTD. |
11152501 | Semiconductor device | ROHM CO., LTD. |
11152504 | Methods of fabricating field-effect transistors | X-FAB SEMICONDUCTOR FOUNDRIES GMBH |
11152505 | Drain extended transistor | TEXAS INSTRUMENTS INCORPORATED |
11152508 | Semiconductor device including two-dimensional material layer | -- |
11152515 | Semiconductor device and manufacturing method thereof | -- |
11152524 | Solar cell panel, and apparatus and method for attaching interconnector of a solar cell panel | LG ELECTRONICS INC. |
11152525 | Solar cell module | LG ELECTRONICS INC. |
11152584 | Quantum dots with salt ligands with charge transporting properties | SHARP KABUSHIKI KAISHA |
11152590 | Method and device for encapsulating components | LANGEBRüCK |
11152591 | Method for manufacturing light-emitting device, and light-emitting device | PIONEER CORPORATION |
11152677 | Integration of self-biased magnetic circulators with microwave devices | QORVO US, INC. |
11152765 | Strained and strain control regions in optical devices | KYOCERA SLD LASER, INC. |
11153977 | Circuit carrier, package, and method for manufacturing a package | SIEMENS AKTIENGESELLSCHAFT |
11154000 | Substrate work machine | FUJI CORPORATION |
11154792 | Methods and systems for generating process gases | RASIRC, INC. |
11154913 | Substrate treatment method and substrate treatment device | SCREEN HOLDINGS CO., LTD. |
11154959 | Polishing pads and systems and methods of making and using the same | 3M INNOVATIVE PROPERTIES COMPANY |
11154960 | Polishing pad and polishing method using same | KURARAY CO., LTD. |
11155666 | Block copolymer | LG CHEM, LTD. |
11155717 | Storage container storing liquid composition and method for storing liquid composition | FUJIFILM CORPORATION |
11155918 | Film forming apparatus | TOKYO ELECTRON LIMITED |
11155922 | Method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11156638 | Contactors with signal pins, ground pins, and short ground pins | TEXAS INSTRUMENTS INCORPORATED |
11156654 | Semiconductor device inspection apparatus, semiconductor device inspection method, program thereof, semiconductor apparatus, and manufacturing method therefor | KABUSHIKI KAISHA TOSHIBA |
11156778 | Method for manufacturing a semiconductor structure | SOITEC |
11156885 | Display panel and method of repairing the same | HKC CORPORATION LIMITED |
11156917 | Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device | FUJIFILM CORPORATION |
11156919 | Photosensitive resin composition, pattern forming process, and fabrication of opto-semiconductor device | SHIN-ETSU CHEMICAL CO., LTD. |
11156920 | Lithography composition, a method for forming resist patterns and a method for making semiconductor devices | MERCK PATENT GMBH |
11156993 | Semiconductor die offset compensation variation | UNIVERSAL INSTRUMENTS CORPORATION |
11157797 | Evaluating quality of a product such as a semiconductor substrate | OMRON CORPORATION |
11158040 | Method for identifying robot arm responsible for wafer scratch | -- |
11158079 | Substrate treating apparatus and apparatus and method for eccentricity inspection | SEMES CO., LTD. |
11158395 | Reliability evaluation apparatus | TOSHIBA MEMORY CORPORATION |
11158448 | Packaging layer inductor | -- |
11158487 | Diagonal compound mill | FEI COMPANY |
11158490 | Processing method in processing apparatus using halogen-based gas | TOKYO ELECTRON LIMITED |
11158492 | Film forming apparatus and film forming method | TOKYO ELECTRON LIMITED |
11158497 | Substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11158498 | Silicon compounds and methods for depositing films using same | VERSUM MATERIALS US, LLC |
11158499 | Semiconductor component and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11158500 | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films | ASM IP HOLDING B.V. |
11158501 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11158502 | Methods of forming nano-scale and micro-scale structured materials and materials formed thereby | PURDUE RESEARCH FOUNDATION |
11158503 | Silicon carbide semiconductor substrate | FUJI ELECTRIC CO., LTD. |
11158504 | Flash-lamp annealing method of making polycrystalline silicon | CORNING INCORPORATED |
11158505 | Anti-reflective coating by ion implantation for lithography patterning | -- |
11158506 | Self-aligned, over etched hard mask fabrication method and structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158507 | In-situ high power implant to relieve stress of a thin film | APPLIED MATERIALS, INC. |
11158508 | Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (finFET) device structure | -- |
11158509 | Pattern fidelity enhancement with directional patterning technology | -- |
11158510 | Monitoring device, monitoring method and method of manufacturing semiconductor device using reflectivity of wafer | SAMSUNG ELECTRONICS CO., LTD. |
11158511 | Semiconductor device and power converter including a copper film with a small grain size stress relaxtion layer | MITSUBISHI ELECTRIC CORPORATION |
11158512 | Fin field effect transistor (FinFET) device structure | -- |
11158513 | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures | ASM IP HOLDING B.V. |
11158514 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11158515 | Selective metal removal for conductive interconnects in integrated circuitry | INTEL CORPORATION |
11158516 | Plasma processing methods using low frequency bias pulses | TOKYO ELECTRON LIMITED |
11158517 | Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing | TOKYO ELECTRON LIMITED |
11158518 | Methods of etching metals in semiconductor devices | -- |
11158519 | Method of forming capped metallized vias | CORNING INCORPORATED |
11158520 | Method to protect die during metal-embedded chip assembly (MECA) process | HRL LABORATORIES, LLC |
11158521 | Liquid delivery system | ICHOR SYSTEMS, INC. |
11158522 | Fluid delivery system | ICHOR SYSTEMS, INC. |
11158523 | Substrate drying method and substrate drying apparatus | SCREEN HOLDINGS CO., LTD. |
11158525 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
11158526 | Temperature controlled substrate support assembly | APPLIED MATERIALS, INC. |
11158527 | Thermal management systems and methods for wafer processing systems | APPLIED MATERIALS, INC. |
11158528 | Component temperature control using a combination of proportional control valves and pulsed valves | APPLIED MATERIALS, INC. |
11158529 | Method of manufacturing semiconductor device, method of controlling temperature and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11158530 | Materials rack | TRIPLE WIN TECHNOLOGY(SHENZHEN) CO. LTD. |
11158531 | Wafer cassette handling apparatus and operating method thereof | -- |
11158532 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11158533 | Semiconductor structures and fabrication method thereof | -- |
11158534 | SOI substrate | -- |
11158535 | Multi-depth regions of high resistivity in a semiconductor substrate | GLOBALFOUNDRIES U.S. INC. |
11158536 | Patterning line cuts before line patterning using sacrificial fill material | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158537 | Top vias with subtractive line formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158538 | Interconnect structures with cobalt-infused ruthenium liner and a cobalt cap | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158539 | Method and structure for barrier-less plug | -- |
11158540 | Light-absorbing mask for hybrid laser scribing and plasma etch wafer singulation process | APPLIED MATERIALS, INC. |
11158541 | Method of processing wafer | DISCO CORPORATION |
11158542 | Semiconductor device structure with semiconductor wire | -- |
11158543 | Silicide formation for source/drain contact in a vertical transport field-effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158544 | Vertical stacked nanosheet CMOS transistors with different work function metals | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158545 | Methods of forming isolation features in metal gates | -- |
11158546 | Semiconductor arrangement and method of forming | -- |
11158547 | Semiconductor device, method of manufacturing the same, and electronic device including the device | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11158548 | Overlay measurement using multiple wavelengths | KLA-TENCOR CORPORATION |
11158549 | Method, control system and plant for processing a semiconductor wafer, and semiconductor wafer | SILTRONIC AG |
11158550 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11158551 | Modular WLCSP die daisy chain design for multiple die sizes | DIALOG SEMICONDUCTOR (UK) LIMITED |
11158552 | Semiconductor device and method to manufacture the same | -- |
11158554 | Shielded fan-out packaged semiconductor device and method of manufacturing | MICRON TECHNOLOGY, INC. |
11158555 | Package structure having sensor die with touch sensing electrode, and method of fabricating the same | -- |
11158556 | Electronic chip package having a support and a conductive layer on the support | STMICROELECTRONICS (TOURS) SAS |
11158557 | Semiconductor device with a passivation layer and method for producing thereof | INFINEON TECHNOLOGIES AG |
11158558 | Package with underfill containment barrier | INTEL CORPORATION |
11158560 | Thermal structures for dissipating heat and methods for manufacture thereof | -- |
11158561 | Memory device with low density thermal barrier | MICRON TECHNOLOGY, INC. |
11158565 | Compliant pin fin heat sink and methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158570 | Semiconductor devices having electrostatic discharge layouts for reduced capacitance | MICRON TECHNOLOGY, INC. |
11158571 | Devices including conductive interconnect structures, related electronic systems, and related methods | MICRON TECHNOLOGY, INC. |
11158572 | Package structure including a first electronic device, a second electronic device and a plurality of dummy pillars | -- |
11158575 | Parasitic capacitance reduction in GaN-on-silicon devices | MACOM TECHNOLOGY SOLUTIONS HOLDINGS, INC. |
11158576 | Package structure having redistribution layer structures | -- |
11158577 | Methods for fabricating microelectronic devices with contacts to conductive staircase steps, and related devices and systems | MICRON TECHNOLOGY, INC. |
11158578 | High density interconnect device and method | INTEL CORPORATION |
11158579 | Semiconductor package including a backside redistribution layer | SAMSUNG ELECTRONICS CO., LTD. |
11158580 | Semiconductor devices with backside power distribution network and frontside through silicon via | -- |
11158582 | Semiconductor devices and methods of manufacturing semiconductor devices | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11158583 | Substrate with built-in component | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11158587 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158588 | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices | -- |
11158589 | Semiconductor device and semiconductor package comprising the same | SAMSUNG ELECTRONICS CO., LTD. |
11158590 | Capacitor interposer layer (CIL) in a die-to-wafer three-dimensional (3D) integrated circuit (IC) (3DIC) | QUALCOMM INCORPORATED |
11158593 | Structures for bonding a group III-V device to a substrate by stacked conductive bumps | -- |
11158595 | Embedded die package multichip module | TEXAS INSTRUMENTS INCORPORATED |
11158598 | Method to construct 3D devices and systems | MONOLITHIC 3D INC. |
11158600 | Lithography process for semiconductor packaging and structures resulting therefrom | -- |
11158601 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11158604 | Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11158605 | Semiconductor packaging structure and method | -- |
11158606 | Molded direct bonded and interconnected stack | INVENSAS BONDING TECHNOLOGIES, INC. |
11158607 | Wafer reconstitution and die-stitching | APPLE INC. |
11158608 | Semiconductor package including offset stack of semiconductor dies between first and second redistribution structures, and manufacturing method therefor | -- |
11158610 | Display device using semiconductor light emitting element, and manufacturing method therefor | LG ELECTRONICS INC. |
11158611 | LED filament | OSRAM OLED GMBH |
11158614 | Thermal performance structure for semiconductor packages and method of forming same | -- |
11158615 | Semiconductor device and method of manufacturing a semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11158619 | Redistribution layers in semiconductor packages and methods of forming same | -- |
11158623 | Layout of semiconductor device and method of forming semiconductor device | -- |
11158624 | Cascode cell | GLOBALFOUNDRIES U.S. INC. |
11158628 | Electro-static discharge circuit, array substrate, display panel and display apparatus | XIAMEN TIANMA MICRO-ELECTRONICS CO., LTD. |
11158632 | Fin-based strap cell structure for improving memory performance | -- |
11158633 | Multi-level isolation structure | GLOBALFOUNDRIES U.S. INC. |
11158635 | Low leakage gate stack for a transistor device and methods of making an IC product that includes such a transistor device | GLOBALFOUNDRIES U.S. INC. |
11158637 | Method and structure for FinFET device | -- |
11158646 | Memory device with dielectric blocking layer for improving interpoly dielectric breakdown | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11158647 | Memory device | -- |
11158648 | Double channel memory device | -- |
11158649 | Semiconductor storage device with columnar body having impurity containing channel film | TOSHIBA MEMORY CORPORATION |
11158650 | Memory cell fabrication for 3D nand applications | APPLIED MATERIALS, INC. |
11158659 | Semiconductor device structure with anti-acid layer and method for forming the same | -- |
11158660 | Image sensor having two-colored color filters sharing one photodiode | SK HYNIX INC. |
11158671 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11158674 | Method to produce a 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11158695 | Display device having biometric sensors | -- |
11158702 | Gallium nitride high electron mobility transistor having high breakdown voltage and formation method therefor | SHANGHAI SIMGUI TECHNOLOGY CO., LTD. |
11158703 | Space efficient high-voltage termination and process for fabricating same | MICROCHIP TECHNOLOGY INC. |
11158705 | Method for forming a superjunction transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11158712 | Field-effect transistors with buried gates and methods of manufacturing the same | INTEL CORPORATION |
11158715 | Vertical FET with asymmetric threshold voltage and channel thicknesses | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158716 | Semiconductor device and method for manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11158717 | Method for manufacturing thin-film transistor (TFT) substrate and TFT substrate | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158719 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11158720 | High voltage semiconductor device including a doped gate electrode | SK HYNIX SYSTEM IC INC. |
11158721 | Metal oxide interlayer structure for nFET and pFET | -- |
11158722 | Transistors with lattice structure | GLOBALFOUNDRIES U.S. INC. |
11158724 | Method of manufacturing display panel | SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11158725 | Fin structure of fin field effect transistor | -- |
11158726 | Controlling fin-thinning through feedback | -- |
11158728 | Multi-gate device and related methods | -- |
11158730 | Formation of inner spacer on nanosheet MOSFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158731 | Quantum well stacks for quantum dot devices | INTEL CORPORATION |
11158733 | Method of manufacturing a semiconductor device including a shoulder portion | FUJI ELECTRIC CO., LTD. |
11158740 | MOSFETs with multiple dislocation planes | -- |
11158742 | Method of manufacturing a semiconductor device with multilayered channel structure | -- |
11158743 | Passivated and faceted for fin field effect transistor | -- |
11158744 | Fin field effect transistor (FinFET) device and method for forming the same | -- |
11158745 | Semiconductor device and display device including the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11158751 | Photoelectric conversion element and photodetector | KABUSHIKI KAISHA TOSHIBA |
11158756 | FinFET radiation dosimeter | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11158760 | Metal organic chemical vapor depostion (MOCVD) tunnel junction growth in III-nitride devices | THE REGENTS OF THE UNIVERSITY OF CALIFORNIA |
11158764 | Epitaxial formation support structures and associated methods | MICRON TECHNOLOGY, INC. |
11158778 | LED package including converter confinement | LUMILEDS LLC |
11158788 | Atomic layer deposition and physical vapor deposition bilayer for additive patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11160160 | PCB for bare die mount and process therefore | -- |
11160163 | Electronic substrate having differential coaxial vias | TEXAS INSTRUMENTS INCORPORATED |
11161217 | Method for polishing a semiconductor wafer on both sides | SILTRONIC AG |
11161648 | Panel storage container | SHIN-ETSU POLYMER CO., LTD. |
11161857 | Metal bicyclic amidinates | PRESIDENT AND FELLOWS OF HARVARD COLLEGE |
11161932 | Resin composition, resin film, semiconductor laminate, method for producing semiconductor laminate and method for producing semiconductor device | SHIN-ETSU CHEMICAL CO., LTD. |
11162057 | Composition for surface treatment, method for producing composition for surface treatment, surface treatment method, and method for producing semiconductor substrate | FUJIMI INCORPORATED |
11162174 | Liquid delivery and vaporization apparatus and method | -- |
11162187 | Vapor phase growth device, and EPI wafer producing method | SUMITOMO ELECTRIC INDUSTRIES, LTD. |
11162189 | Semiconductor substrate, gallium nitride single crystal, and method for producing gallium nitride single crystal | DEXERIALS CORPORATION |
11162190 | Method for producing nitride crystal and nitride crystal | MITSUBISHI CHEMICAL CORPORATION |
11162191 | Thermal processing method for silicon wafer | GLOBALWAFERS JAPAN CO., LTD. |
11162745 | Heat radiating plate and method for producing same | DOWA METALTECH CO., LTD. |
11162907 | Systems and methods for evaluating critical dimensions based on diffraction-based overlay metrology | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11163120 | Fiber attach enabled wafer level fanout | AYAR LABS, INC. |
11163231 | Planarization apparatus, planarization method, and article manufacturing method | CANON KABUSHIKI KAISHA |
11163233 | Chemically amplified positive type photoresist composition and pattern forming method using the same | MERCK PATENT GMBH |
11163235 | Apparatus for forming a photoresist layer, method for forming a masking layer, and method for forming a photoresist layer | -- |
11164512 | Display optimization techniques for micro-LED devices and arrays | VUEREAL INC. |
11164722 | Ion implantation method | -- |
11164723 | Methods and apparatus for etching semiconductor structures | APPLIED MATERIALS, INC. |
11164725 | Generation of hydrogen reactive species for processing of workpieces | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11164727 | Processing of workpieces using hydrogen radicals and ozone gas | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11164728 | Plasma treatment apparatus and driving method thereof | PLASMA ION ASSIST CO., LTD. |
11164737 | Integrated epitaxy and preclean system | APPLIED MATERIALS, INC. |
11164738 | Compositions and methods for removing ceria particles from a surface | ENTEGRIS, INC. |
11164739 | Use of silicon structure former with organic substituted hardening additive compounds for dense OSG films | VERSUM MATERIALS US, LLC |
11164740 | Semiconductor structure having porous semiconductor layer for RF devices | NEWPORT FAB, LLC |
11164741 | Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11164742 | Selective deposition using methylation treatment | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11164743 | Systems and method for integrated devices on an engineered substrate | QROMIS, INC. |
11164744 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11164745 | Method of enhancing selective deposition by cross-linking of blocking molecules | APPLIED MATERIALS, INC. |
11164746 | Method of manufacturing semiconductor devices and a semiconductor device | -- |
11164747 | Group III-V semiconductor devices having asymmetric source and drain structures | INTEL CORPORATION |
11164748 | Method and device for plating a recess in a substrate | SEMSYSO GMBH |
11164749 | Warpage reduction | XILINX, INC. |
11164750 | Substrate processing device and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11164751 | Etching method | SHOWA DENKO K.K. |
11164752 | Method of etching a dielectric layer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11164753 | Self-aligned double patterning with spatial atomic layer deposition | APPLIED MATERIALS, INC. |
11164754 | Fan-out packages and methods of forming the same | -- |
11164755 | Electronic package and method for fabricating the same | -- |
11164756 | Semiconductor device package having continously formed tapered protrusions | -- |
11164757 | Substrate cleaning device and substrate cleaning method | EBARA CORPORATION |
11164758 | Washing device and washing method | EBARA CORPORATION |
11164759 | Tools and systems for processing one or more semiconductor devices, and related methods | MICRON TECHNOLOGY, INC. |
11164760 | Etching apparatus and etching method | TOKYO ELECTRON LIMITED |
11164761 | Heat treatment method and heat treatment apparatus of light irradiation type | SCREEN HOLDINGS CO., LTD. |
11164762 | Ultraviolet irradiation apparatus and method of manufacturing a semiconductor package using the same | SAMSUNG ELECTRONICS CO., LTD. |
11164763 | Carrier tape system and methods of using carrier tape system | -- |
11164764 | Carrier tape system and methods of using carrier tape system | -- |
11164765 | Modular die handling system | UNIVERSAL INSTRUMENTS CORPORATION |
11164766 | Operating method of vacuum processing apparatus | HITACHI HIGH-TECH CORPORATION |
11164767 | Integrated system for semiconductor process | APPLIED MATERIALS, INC. |
11164768 | Process-induced displacement characterization during semiconductor production | KLA CORPORATION |
11164769 | Robot embedded vision apparatus | BROOKS AUTOMATION, INC. |
11164770 | Method for producing a 3D semiconductor memory device and structure | MONOLITHIC 3D INC. |
11164771 | Wafer transferring device | -- |
11164772 | Spacer-defined process for lithography-etch double patterning for interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164773 | Method for forming semiconductor device structure with air gap | -- |
11164774 | Interconnects with spacer structure for forming air-gaps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164775 | Method of manufacturing semiconductor device | KIOXIA CORPORATION |
11164776 | Metallic interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164777 | Top via with damascene line and via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164778 | Barrier-free vertical interconnect structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164779 | Bamboo tall via interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164780 | Process integration approach for selective metal via fill | APPLIED MATERIALS, INC. |
11164781 | ALD (atomic layer deposition) liner for via profile control and related applications | TOKYO ELECTRON LIMITED |
11164782 | Self-aligned gate contact compatible cross couple contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164783 | Manufacturing method of semiconductor device with metal film | DISCO CORPORATION |
11164784 | Open-drain transistor monitoring circuit in a multi-chip package to control power | MICRON TECHNOLOGY, INC. |
11164785 | Three-dimensional integrated circuits (3DICs) including upper-level transistors with epitaxial source and drain material | INTEL CORPORATION |
11164786 | Power reduction in finFET structures | -- |
11164787 | Two-stage top source drain epitaxy formation for vertical field effect transistors enabling gate last formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164788 | FinFETs and methods of forming FinFETs | -- |
11164789 | Method for forming semiconductor device that includes covering metal gate with multilayer dielectric | -- |
11164790 | Integrated nanowire and nanoribbon patterning in transistor manufacture | INTEL CORPORATION |
11164791 | Contact formation for stacked vertical transport field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164792 | Complementary field-effect transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164793 | Reduced source/drain coupling for CFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164794 | Semiconductor structures in a wide gate pitch region of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11164795 | Transistors with source/drain regions having sections of epitaxial semiconductor material | GLOBALFOUNDRIES U.S. INC. |
11164796 | Method for forming semiconductor device structure | -- |
11164797 | Method of manufacturing semiconductor integrated circuit | FUJI ELECTRIC CO., LTD. |
11164798 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164799 | Stacked vertical transport field effect transistor contact formation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164800 | Test structure, semiconductor device and method for obtaining fabricating information in semiconductor device | -- |
11164801 | Electrically testable integrated circuit packaging | PSEMI CORPORATION |
11164802 | Wafer manufacturing method and multilayer device chip manufacturing method | DISCO CORPORATION |
11164804 | Integrated circuit (IC) device package lid attach utilizing nano particle metallic paste | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164808 | Semiconductor devices and methods of manufacturing the same | -- |
11164809 | Integrated circuits and methods for forming integrated circuits | INTEL CORPORATION |
11164811 | 3D semiconductor device with isolation layers and oxide-to-oxide bonding | MONOLITHIC 3D INC. |
11164814 | Package structure and method of manufacturing the same | -- |
11164815 | Bottom barrier free interconnects without voids | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164816 | Semiconductor device and method for fabricating the same | -- |
11164817 | Multi-chip package structures with discrete redistribution layers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164821 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11164823 | Semiconductor device with crack-detecting structure and method for fabricating the same | -- |
11164824 | Package structure and method of fabricating the same | -- |
11164826 | Packaged integrated circuit having stacked die and method for making | NXP USA, INC. |
11164827 | Substrate with gradiated dielectric for reducing impedance mismatch | INTEL CORPORATION |
11164829 | Method of forming contact holes in a fan out package | -- |
11164831 | Carrier-foil-attached ultra-thin copper foil | ILJIN MATERIALS CO., LTD. |
11164832 | Package with UBM and methods of forming | -- |
11164835 | Semiconductor wafer and method of ball drop on thin wafer with edge support ring | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11164836 | Film scheme for bumping | -- |
11164839 | Package structure and method of manufacturing the same | -- |
11164840 | Chip interconnection structure, wafer interconnection structure and method for manufacturing the same | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11164842 | Bonding apparatus and bonding system | TOKYO ELECTRON LIMITED |
11164843 | Substrate bonding apparatus | KIOXIA CORPORATION |
11164852 | Method of forming package structure | -- |
11164855 | Package structure with a heat dissipating element and method of manufacturing the same | -- |
11164857 | Semiconductor device packages, packaging methods, and packaged semiconductor devices | -- |
11164858 | Integrated circuits and methods of forming integrated circuits | GLOBALFOUNDRIES SINGAPORE PTE. LTD. |
11164861 | Monolithic electronic device and method of manufacture | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11164863 | Integrated circuit having vertical transistor and semiconductor device including the integrated circuit | SAMSUNG ELECTRONICS CO., LTD. |
11164864 | Field-effect transistors having transition metal dichalcogenide channels and methods of manufacture | -- |
11164866 | Semiconductor structure and method for manufacturing the same | -- |
11164867 | Fin-type field-effect transistors over one or more buried polycrystalline layers | GLOBALFOUNDRIES U.S. INC. |
11164869 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11164870 | Stacked upper fin and lower fin transistor with separate gate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164872 | Underbody contact to horizontal access devices for vertical three-dimensional (3D) memory | MICRON TECHNOLOGY, INC. |
11164873 | Apparatuses including laminate spacer structures, and related memory devices, electronic systems, and methods | MICRON TECHNOLOGY, INC. |
11164874 | Semiconductor device and method for fabricating the same | XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD. |
11164876 | Atom implantation for passivation of pillar material | MICRON TECHNOLOGY, INC. |
11164882 | 3-D NAND control gate enhancement | APPLIED MATERIALS, INC. |
11164884 | Vertical-type memory device | SAMSUNG ELECTRONICS CO., LTD. |
11164886 | Three-dimensional semiconductor memory device | SAMSUNG ELECTRONICS CO., LTD. |
11164888 | Semiconductor memory device | KIOXIA CORPORATION |
11164890 | Cross-point array of ferroelectric field effect transistors and method of making the same | SANDISK TECHNOLOGIES LLC |
11164891 | Integrated circuits with components on both sides of a selected substrate and methods of fabrication | PSEMI CORPORATION |
11164892 | Semiconductor-on-insulator (SOI) device with reduced parasitic capacitance | NEWPORT FAB, LLC |
11164893 | Radio-frequency loss reduction for integrated devices | JUNIPER NETWORKS, INC. |
11164905 | Manufacture of semiconductor display device | FACEBOOK TECHNOLOGIES, LLC |
11164919 | Method of manufacturing polycrystalline silicon layer, display device, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11164932 | Backplane for display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11164937 | Semiconductor device and manufacturing method thereof | -- |
11164939 | Tunnel field-effect transistor and method for forming the same | -- |
11164940 | Method of forming III-V on insulator structure on semiconductor substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164941 | Semiconductor structure and fabrication method | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164942 | Method for forming nanosheet transistor structures | IMEC VZW |
11164943 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11164944 | Method of manufacturing a semiconductor device | -- |
11164945 | SOI substrate, semiconductor device and method for manufacturing the same | -- |
11164946 | Manufacturing method for flash device | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11164947 | Wrap around contact formation for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164948 | Field-effect transistor and method of manufacturing the same | -- |
11164949 | Semiconductor structure and method formation method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164951 | Thin film transistor and manufacturing method thereof and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD |
11164953 | Semiconductor device | TDK CORPORATION |
11164954 | Gate capping layers of semiconductor devices | GLOBALFOUNDRIES U.S. INC. |
11164955 | Methods for forming a semiconductor device structure and related semiconductor device structures | ASM IP HOLDING B.V. |
11164956 | Capping layer for gate electrodes | -- |
11164957 | Semiconductor device with adhesion layer and method of making | -- |
11164959 | VFET devices with ILD protection | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164961 | Epitaxial features confined by dielectric fins and spacers | -- |
11164963 | Bipolar transistor and radio-frequency power amplifier module | MURATA MANUFACTURING CO., LTD. |
11164964 | Power semiconductor device and method of fabricating the same | HYUNDAI MOBIS CO., LTD. |
11164967 | Power silicon carbide based MOSFET transistors with improved short circuit capabilities and methods of making such devices | CREE, INC. |
11164968 | Semiconductor device and method for manufacturing the same | KABUSHIKI KAISHA TOSHIBA |
11164970 | Contact field plate | -- |
11164972 | Method for forming stressor, semiconductor device having stressor, and method for forming the same | -- |
11164973 | Semiconductor device and manufacturing method therefor | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11164974 | Channel layer formed in an art trench | INTEL CORPORATION |
11164975 | Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width | INTEL CORPORATION |
11164976 | Doped polar layers and semiconductor device incorporating same | KEPLER COMPUTING INC. |
11164977 | Semiconductor device, method for manufacturing the same, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11164980 | Silicon photonics integration method and structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11164991 | Light emitting device and method for manufacturing light emitting device | NICHIA CORPORATION |
11165039 | Display panel and manufacturing method thereof | -- |
11165050 | Organic light-emitting apparatus and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11165854 | System and method for large scale screen capture across global data center deployments | JPMORGAN CHASE BANK, N.A. |
11166348 | Tool for annealing of magnetic stacks | III HOLDINGS 1, LLC |
11166351 | Solder reflow apparatus and method of manufacturing an electronic device | SAMSUNG ELECTRONICS CO., LTD. |
11166379 | Integrated circuit package substrate | INTEL CORPORATION |
11166380 | Method of manufacture of a structure and structure | TACTOTEK OY |
11166385 | Component carrier having a laser via and method of manufacturing | AT&S (CHINA) CO. LTD. |
11166400 | Component supply device | YAMAHA HATSUDOKI KABUSHIKI KAISHA |
11167322 | Cleaning systems and methods for semiconductor substrate storage articles | BROOKS AUTOMATION (GERMANY) GMBH |
11167326 | Substrate processing apparatus and nozzle unit | SEMES CO., LTD. |
11167375 | Additive manufacturing processes and additively manufactured products | THE RESEARCH FOUNDATION FOR THE STATE UNIVERSITY OF NEW YORK |
11167446 | Workpiece processing method | DISCO CORPORATION |
11167541 | Apparatus for manufacturing element array and apparatus for removing specific element | TDK CORPORATION |
11167982 | Semiconductor arrangement and formation thereof | -- |
11168188 | Process for fabricating one or more ultra-large area nanoscale polymer films | UNITED STATES OF AMERICA AS REPRESENTED BY THE ADMINISTRATOR OF NASA |
11168234 | Enhanced adhesive materials and processes for 3D applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11168239 | Chemical-mechanical polishing composition comprising benzotriazole derivatives as corrosion inhibitors | BASF SE |
11168253 | Silicon layer etchant composition and method of forming pattern by using the same | SAMSUNG ELECTRONICS CO., LTD. |
11168396 | Method of manufacturing semiconductor device and recording medium | KOKUSAI ELECTRIC CORPORATION |
11168406 | Leveler compositions for use in copper deposition in manufacture of microelectronics | MACDERMID ENTHONE INC. |
11168407 | Copper electrodeposition on cobalt lined features | LAM RESEARCH COMPORATION |
11168410 | Susceptor for a chemical vapour deposition reactor | AIXTRON SE |
11168977 | Thickness measuring apparatus | DISCO CORPORATION |
11168978 | Hardware improvements and methods for the analysis of a spinning reflective substrates | TOKYO ELECTRON LIMITED |
11169099 | Method and apparatus for X-ray scatterometry | BRUKER TECHNOLOGIES LTD. |
11169207 | Testing of semiconductor chips with microbumps | -- |
11169327 | Heterogeneously integrated photonic circuit and method for manufacturing the circuit | KOREA ADVANCED INSTITUTE OF SCIENCE AND TECHNOLOGY |
11169441 | Composition for forming resist underlayer film, resist underlayer film, method for forming resist pattern and method for producing semiconductor device | NISSAN CHEMICAL CORPORATION |
11169449 | Measuring apparatus for vacuum chamber and measuring system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11170072 | Method and apparatus for inspection and metrology | ASML NETHERANDS B.V. |
11170981 | Broadband plasma processing systems and methods | TOKYO ELECTRON LIMITED |
11170982 | Methods and apparatus for producing low angle depositions | APPLIED MATERIALS, INC. |
11170988 | Method of double-side polishing silicon wafer | SUMCO CORPORATION |
11170989 | Gallium arsenide substrate comprising a surface oxide layer with improved surface homogeneity | FREIBERGER COMPOUND MATERIALS GMBH |
11170990 | Polysilicon liners | APPLIED MATERIALS, INC. |
11170991 | Plasma processing apparatus | TOKYO ELECTRON LIMITED |
11170992 | Area selective deposition for cap layer formation in advanced contacts | TOKYO ELECTRON LIMITED |
11170993 | Selective PEALD of oxide on dielectric | ASM IP HOLDING B.V. |
11170994 | CD dependent gap fill and conformal films | APPLIED MATERIALS, INC. |
11170995 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11170996 | Method of manufacturing semiconductor device, substrate processing apparatus, and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11170997 | Atomic layer deposition and etch for reducing roughness | LAM RESEARCH CORPORATION |
11170998 | Method and apparatus for depositing a metal containing layer on a substrate | APPLIED MATERIALS, INC. |
11170999 | Deposition method | TOKYO ELECTRON LIMITED |
11171000 | Laser crystallization system and laser crystallization method | SAMSUNG DISPLAY CO., LTD. |
11171001 | Multiple patterning scheme integration with planarized cut patterning | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171002 | Alternating hardmasks for tight-pitch line formation | TESSERA, INC. |
11171003 | Doping through diffusion and epitaxy profile shaping | -- |
11171004 | Film forming method and substrate processing system | TOKYO ELECTRON LIMITED |
11171005 | Semiconductor device manufacturing method | MITSUBISHI ELECTRIC CORPORATION |
11171006 | Simultaneous plating of varying size features on semiconductor substrate | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171007 | Plasma processing apparatus and plasma etching method | TOKYO ELECTRON LIMITED |
11171008 | Abatement and strip process chamber in a dual load lock configuration | APPLIED MATERIALS, INC. |
11171009 | Processing method of wafer | DISCO CORPORATION |
11171010 | Controlled hardmask shaping to create tapered slanted fins | APPLIED MATERIALS, INC. |
11171011 | Method for etching an etch layer | LAM RESEARCH CORPORATION |
11171012 | Method and apparatus for formation of protective sidewall layer for bow reduction | TOKYO ELECTRON LIMITED |
11171013 | Leveraging precursor molecular composition and structure for atomic layer etching | UNIVERSITY OF MARYLAND, COLLEGE PARK |
11171014 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11171015 | Multi-layered polysilicon and oxygen-doped polysilicon design for RF SOI trap-rich poly layer | -- |
11171016 | Semiconductor package and manufacturing process thereof | -- |
11171017 | Shutter disk | APPLIED MATERIALS, INC. |
11171018 | Method of fabricating semiconductor device and encapsulant | SAMSUNG ELECTRONICS CO., LTD. |
11171019 | Substrate treating apparatus, method for measuring discharge amount by using the same, and substrate treating method | SEMES CO., LTD. |
11171020 | Substrate treatment apparatus | TOSHIBA MEMORY CORPORATION |
11171021 | Internal plasma grid for semiconductor fabrication | LAM RESEARCH CORPORATION |
11171022 | Substrate treatment apparatus and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11171023 | Diode laser for wafer heating for EPI processes | APPLIED MATERIALS, INC. |
11171024 | Reticle compartment and diffusor plate | BROOKS AUTOMATION GMBH |
11171025 | Substrate processing device | ASM IP HOLDING B.V. |
11171026 | Systems, devices, and methods for using a real time environment sensor in a FOUP | -- |
11171027 | Storing system | MURATA MACHINERY, LTD. |
11171028 | Indexable side storage pod apparatus, heated side storage pod apparatus, systems, and methods | APPLIED MATERIALS, INC. |
11171029 | Electronic device manufacturing load port apparatus, systems, and methods | APPLIED MATERIALS, INC. |
11171030 | Methods and apparatus for dechucking wafers | APPLIED MATERIALS, INC. |
11171031 | Die matrix expander with partitioned subring | TEXAS INSTRUMENTS INCORPORATED |
11171032 | Semiconductor chip production method and surface protection tape | FURUKAWA ELECTRIC CO., LTD. |
11171033 | Substrate placing table | TOKYO ELECTRON LIMITED |
11171034 | Manufacturing of cavities | STMICROELECTRONICS (CROLLES 2) SAS |
11171035 | Selective etches for reducing cone formation in shallow trench isolations | TEXAS INSTRUMENTS INCORPORATED |
11171036 | Preventing dielectric void over trench isolation region | GLOBALFOUNDRIES U.S. INC. |
11171038 | Fabrication method of integrated circuit semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171039 | Composite semiconductor substrate, semiconductor device and method for manufacturing the same | -- |
11171040 | Removing polymer through treatment | -- |
11171041 | Etch damage and ESL free dual damascene metal interconnect | -- |
11171042 | Semiconductor device and method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11171043 | Plug and trench architectures for integrated circuits and methods of manufacture | INTEL CORPORATION |
11171044 | Planarization controllability for interconnect structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171045 | Deposition of metal films with tungsten liner | APPLIED MATERIALS, INC. |
11171046 | Methods for forming cobalt and ruthenium capping layers for interconnect structures | APPLIED MATERIALS, INC. |
11171047 | Fluorine-doped nitride films for improved high-k reliability | APPLIED MATERIALS, INC. |
11171048 | Adaptive endpoint detection for automated delayering of semiconductor samples | FEI COMPANY |
11171049 | Semiconductor device and a method of forming the semiconductor device | INFINEON TECHNOLOGIES AG |
11171050 | Method for manufacturing a contact pad, method for manufacturing a semiconductor device using same, and semiconductor device | TOKYO ELECTRON LIMITED |
11171051 | Contacts and liners having multi-segmented protective caps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171052 | Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby | -- |
11171053 | Transistor device and related methods | -- |
11171054 | Selective deposition with SAM for fully aligned via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171055 | UV laser slicing of β-Ga<sub>2</sub>O<sub>3 </sub>by micro-crack generation and propagation | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11171056 | Wafer processing method | DISCO CORPORATION |
11171057 | Semiconductor fin design to mitigate fin collapse | INTEL CORPORATION |
11171058 | Self-aligned 3-D epitaxial structures for MOS device fabrication | INTEL CORPORATION |
11171059 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11171060 | Dual metal contacts with ruthenium metal plugs for semiconductor devices | TOKYO ELECTRON LIMITED |
11171061 | Method for patterning a lanthanum containing layer | -- |
11171062 | Semiconductor structure and method for the forming same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11171063 | Metalization repair in semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171064 | Metalization repair in semiconductor wafers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171065 | Automated inspection tool | -- |
11171066 | Semiconductor panels, semiconductor packages, and methods for manufacturing thereof | INFINEON TECHNOLOGIES AG |
11171069 | Display module, manufacturing method thereof and electronic device | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11171071 | Power module and fabrication method of the power module | ROHM CO., LTD. |
11171072 | Heat dissipation substrate and manufacturing method thereof | -- |
11171074 | Heat sink board, manufacturing method thereof, and semiconductor package including the same | JMJ KOREA CO., LTD. |
11171075 | Stacked microfluidic cooled 3D electronic-photonic integrated circuit | TELEFONAKTIEBOLAGET LM ERICSSON (PUBL) |
11171076 | Compute-in-memory packages and methods forming the same | -- |
11171078 | Semiconductor device and method for manufacturing the same | FUJI ELECTRIC CO., LTD. |
11171080 | Wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11171081 | Wiring substrate, semiconductor package and method of manufacturing wiring substrate | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11171084 | Top via with next level line selective growth | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171086 | Semiconductor device | RENESAS ELECTRONICS CORPORATION |
11171088 | Electronic apparatus including antennas and directors | -- |
11171089 | Line space, routing and patterning methodology | -- |
11171090 | Semiconductor device and method of manufacture | -- |
11171091 | Semiconductor device having contact plug connected to gate structure on PMOS region | -- |
11171092 | Component with dielectric layer for embedding in component carrier | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11171093 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11171094 | Hermetic fully-filled metallized through-hole vias | CORNING INCORPORATED |
11171098 | Package and manufacturing method thereof | -- |
11171099 | Single-shot encapsulation | SEMTECH CORPORATION |
11171104 | IC chip package with dummy solder structure under corner, and related method | MARVELL ASIA PTE, LTD. |
11171105 | Semiconductor package and manufacturing method of the same | -- |
11171106 | Semiconductor package structure with circuit substrate and manufacturing method thereof | -- |
11171107 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11171108 | Semiconductor package and method for manufacturing the same | -- |
11171109 | Techniques for forming semiconductor device packages and related packages, intermediate products, and methods | MICRON TECHNOLOGY, INC. |
11171110 | Backside metalization with through-wafer-via processing to allow use of high q bondwire inductances | SKYWORKS SOLUTIONS, INC. |
11171114 | Die stack with cascade and vertical connections | INTEL CORPORATION |
11171117 | Interlayer connection of stacked microelectronic components | INVENSAS BONDING TECHNOLOGIES, INC. |
11171125 | Display device and method for manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11171126 | Configurable substrate and systems | OCTAVO SYSTEMS LLC |
11171127 | Semiconductor device and method of manufacturing semiconductor device | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11171129 | Method for producing an optoelectronic component and optoelectronic component | OSRAM OLED GMBH |
11171134 | Techniques providing metal gate devices with multiple barrier layers | -- |
11171136 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11171137 | Method of making FinFET CMOS device including single diffusion break in each of NMOS and PMOS regions | -- |
11171138 | Semiconductor arrangement and method of manufacture | -- |
11171139 | Transistors with various threshold voltages and method for manufacturing the same | -- |
11171142 | Integrated circuit with vertical structures on nodes of a grid | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171143 | Semiconductor structure with dielectric fin in memory cell and method for forming the same | -- |
11171150 | Three-dimensional memory device containing a channel connection strap and method for making the same | SANDISK TECHNOLOGIES LLC |
11171154 | Vertical memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11171155 | Multi-layer semiconductor element, semiconductor device, and electronic device for storage, and method of manufacturing the same | SONY CORPORATION |
11171158 | SOI substrate compatible with the RFSOI and FDSOI technologies | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11171159 | Display backplane and method for manufacturing the same, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11171166 | Camera assembly and packaging method thereof, lens module, electronic device | NINGBO SEMICONDUCTOR INTERNATIONAL CORPORATION |
11171177 | Phase change memory devices with enhanced vias | INTEL CORPORATION |
11171199 | Metal-insulator-metal capacitors with high breakdown voltage | -- |
11171200 | Integrated circuits having dielectric layers including an anti-reflective coating | TEXAS INSTRUMENTS INCORPORATED |
11171201 | Semiconductor integrated circuit having a first buried layer and a second buried layer | FUJI ELECTRIC CO., LTD. |
11171204 | High thermal budget compatible punch through stop integration using doped glass | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171205 | Semiconductor constructions, memory arrays, electronic systems, and methods of forming semiconductor constructions | MICRON TECHNOLOGY, INC. |
11171206 | Channel conduction in semiconductor devices | MICRON TECHNOLOGY, INC. |
11171208 | High performance circuit applications using stacked 3D metal lines | TOKYO ELECTRON LIMITED |
11171209 | Semiconductor device and method of manufacture | -- |
11171211 | Group IV and III-V p-type MOSFET with high hole mobility and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11171214 | Semiconductor epitaxial wafer, semiconductor device, and method for manufacturing semiconductor epitaxial wafer | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11171217 | Memory structure and manufacturing method thereof | -- |
11171219 | Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices | -- |
11171220 | Structure and method for high-K metal gate | -- |
11171221 | VFET bottom epitaxy formed with anchors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11171222 | Semiconductor device and manufacturing method thereof | MAGNACHIP SEMICONDUCTOR, LTD. |
11171223 | Method for manufacturing semiconductor device and integrated semiconductor device | CSMC TECHNOLOGIES FAB1 CO., LTD. |
11171224 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11171227 | Semiconductor device and fabricating method thereof | -- |
11171228 | Nitride semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11171229 | Low switching loss high performance power module | CREE, INC. |
11171230 | Semiconductor device and method for manufacturing a semiconductor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11171236 | Cut-fin isolation regions and method forming same | -- |
11171237 | Middle of line gate structures | GLOBALFOUNDRIES U.S. INC. |
11171238 | FinFET device with high-k metal gate stack | -- |
11171242 | Semiconductor device and method for manufacturing semiconductor device | FUJITSU LIMITED |
11171243 | Transistor structures with a metal oxide contact buffer | INTEL CORPORATION |
11171244 | Semiconductor structure and manufacturing method thereof | -- |
11171247 | Metal oxide thin film transistor and manufacturing method thereof | -- |
11171248 | Schottky rectifier with surge-current ruggedness | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11171249 | Wafer-level methods for manufacturing uniform layers of material on optoelectronic modules | AMS SENSORS SINGAPORE PTE. LTD. |
11171256 | Process for manufacturing a plurality of crystalline semiconductor islands having a variety of lattice parameters | SOITEC |
11171290 | Cross linked surface coating and interfacial layer for a perovskite material photovoltaic device | HUNT PEROVSKITE TECHNOLOGIES, L.L.C. |
11172567 | Assembly method and device for circuit structural member and circuit structural member | XI'AN ZHONGXING NEW SOFTWARE CO. LTD. |
11172680 | Nanostructures fabricated by metal asisted chemical etching for antibactertial applications | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11173439 | Flow path switching type collecting apparatus of by-product for semiconductor manufacturing process | MILAEBO CO., LTD. |
11173523 | Substrate processing apparatus | EBARA CORPORATION |
11173631 | Cutting apparatus | DISCO CORPORATION |
11173697 | Light assisted platelet formation facilitating layer transfer from a semiconductor donor substrate | -- |
11174394 | Surface treatment compositions and articles containing same | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11174399 | Surface treatment method for imparting alcohol repellency to semiconductor substrate | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11174544 | Batch processing system with vacuum isolation | ASM NEXX, INC. |
11174545 | Oblique deposition for quantum device fabrication | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11174549 | Substrate processing methods | SAMSUNG ELECTRONICS CO., LTD. |
11174949 | Actuator and valve device using the same | FUJIKIN INCORPORATED |
11175100 | Heat sinks using memory shaping materials | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11175219 | Throughput-scalable analytical system using single molecule analysis sensors | GENESENSE TECHNOLOGY INC. |
11175257 | Solid state sensor for metal ion detection and trapping in solution | WAFERTECH, LLC |
11175333 | System and process for implementing accelerated test conditions for high voltage lifetime evaluation of semiconductor power devices | CREE, INC. |
11175448 | Light-emitting device and method for fabricating same | DURA-CHIP (NANTONG) LIMITED |
11175455 | Gratings with variable etch heights for waveguide displays | FACEBOOK TECHNOLOGIES, LLC |
11175487 | Optical distortion reduction in projection systems | SUSS MICROTEC PHOTONIC SYSTEMS INC. |
11175582 | Photosensitive stacked structure | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11175583 | Silicon-containing resist underlayer film-forming composition having phenyl group-containing chromophore | NISSAN CHEMICAL INDUSTRIES, LTD. |
11175585 | Treatment liquid and treatment liquid housing body | FUJIFILM CORPORATION |
11175587 | Stripper solutions and methods of using stripper solutions | VERSUM MATERIALS US, LLC |
11175590 | Low dose charged particle metrology system | ASML NETHERLANDS B.V. |
11175591 | Method of obtaining measurements, apparatus for performing a process step, and metrology apparatus | ASML NETHERLANDS B.V. |
11176656 | Artificial intelligence-enabled preparation end-pointing | FEI COMPANY |
11176900 | Display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11176999 | Semiconductor memory device | TOSHIBA MEMORY CORPORATION |
11177067 | Magnetic shielding for plasma sources | LAM RESEARCH CORPORATION |
11177115 | Dual-level pulse tuning | APPLIED MATERIALS, INC. |
11177118 | Substrate processing apparatus and control method therefor | TOKYO ELECTRON LIMITED |
11177123 | Compound semiconductor laminate substrate, method for manufacturing same, and semiconductor element | SHIN-ETSU CHEMICAL CO., LTD. |
11177124 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11177125 | Method for cleaning semiconductor wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11177126 | Removing or preventing dry etch-induced damage in Al/In/GaN films by photoelectrochemical etching | -- |
11177127 | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films | VERSUM MATERIALS US, LLC |
11177128 | Apparatus and methods for manufacturing semiconductor structures using protective barrier layer | APPLIED MATERIALS, INC. |
11177129 | Method of manufacturing semiconductor device, method of forming pattern film, and metal-containing organic film | TOSHIBA MEMORY CORPORATION |
11177130 | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177131 | Method and apparatuses for reducing porogen accumulation from a UV-cure chamber | NOVELLUS SYSTEMS, INC. |
11177132 | Self aligned block masks for implantation control | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177133 | Method of filling recess | TOKYO ELECTRON LIMITED |
11177134 | Conductive pattern and method for manufacturing the same, thin film transistor, display substrate, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177135 | Mask member and method for producing semiconductor device | TOSHIBA MEMORY CORPORATION |
11177136 | Abatement and strip process chamber in a dual loadlock configuration | APPLIED MATERIALS, INC. |
11177137 | Wafer etching process and methods thereof | -- |
11177138 | Double patterning method | -- |
11177139 | Electronic card with printed circuit comprising an antenna with integrated slots and method for the production thereof | INSTITUT VEDECOM |
11177140 | 3D semiconductor device and structure | MONOLITHIC 3D INC. |
11177141 | Method for packaging a chip | JWL (ZHEJIANG) SEMICONDUCTOR CO., LTD |
11177142 | Method for dicing integrated fan-out packages without seal rings | -- |
11177143 | Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11177144 | Wafer spot heating with beam width modulation | APPLIED MATERIALS, INC. |
11177145 | Apparatus and method for manufacturing plurality of electronic circuits | PRAGMATIC PRINTING LTD. |
11177146 | Methods and apparatus for processing a substrate | APPLIED MATERIALS, INC. |
11177147 | Substrate treatment apparatus, controller of substrate treatment apparatus, method for controlling substrate treatment apparatus, and memory medium storing program | EBARA CORPORATION |
11177148 | Laser processing apparatus | DISCO CORPORATION |
11177149 | Wafer jig with identification mark | DISCO CORPORATION |
11177150 | Cluster tool and method using the same | -- |
11177151 | Sample holder | KYOCERA CORPORATION |
11177152 | Ceramic substrate containing aluminum oxide and electrostatic chuck having electrode containing tungsten with oxides | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11177153 | Method of debonding work-carrier pair with thin devices | CHENGDU ESWIN SIP TECHNOLOGY CO., LTD. |
11177154 | Carrier structure and micro device structure | -- |
11177155 | Direct bond method providing thermal expansion matched devices | RAYTHEON COMPANY |
11177156 | Semiconductor package, manufacturing method of semiconductor device and semiconductor package | -- |
11177157 | Method for constructing micro-LED display module | LUMENS CO., LTD. |
11177158 | Integrated circuit structure with semiconductor-based isolation structure and methods to form same | GLOBALFOUNDRIES U.S. INC. |
11177159 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11177160 | Double patterned lithography using spacer assisted cuts for patterning steps | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177161 | Semiconductor device, manufacturing method thereof, solid-state imaging device, and electronic apparatus with multi-layer interconnects | SONY CORPORATION |
11177162 | Trapezoidal interconnect at tight BEOL pitch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177163 | Top via structure with enlarged contact area with upper metallization level | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177164 | Self-aligned high aspect ratio structures and methods of making | APPLIED MATERIALS, INC. |
11177165 | Method of manufacturing a semiconductor device having redistribution layer including a dielectric layer made from a low-temperature cure polyimide | -- |
11177166 | Etch stop layer removal for capacitance reduction in damascene top via integration | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177167 | Ultrathin multilayer metal alloy liner for nano Cu interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177168 | Device and method for reducing contact resistance of a metal | -- |
11177169 | Interconnects with gouged vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177170 | Removal of barrier and liner layers from a bottom of a via | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177171 | Encapsulated top via interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177172 | Semiconductor structure | -- |
11177173 | Semiconductor device with an interconnect structure and method for forming the same | -- |
11177174 | Selective deposition of carbon films and uses thereof | APPLIED MATERIALS, INC. |
11177175 | Microelectronic devices and methods for filling vias in microelectronic devices | MICRON TECHNOLOGY, INC. |
11177176 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177177 | Semiconductor device and method of manufacture | -- |
11177178 | FinFETs and methods of forming FinFETs | -- |
11177179 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11177180 | Profile control of a gap fill structure | -- |
11177181 | Scalable device for FINFET technology | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177182 | Vertical transistor device comprising a two-dimensional (2D) material positioned in a channel region of the device and methods of making such vertical transistor devices | GLOBALFOUNDRIES U.S. INC. |
11177183 | Thickness measurement system and method | -- |
11177184 | Method of manufacturing a flip chip package and an apparatus for testing flip chips | SK HYNIX INC. |
11177185 | Semiconductor memory and method of manufacturing the semiconductor memory | TOSHIBA MEMORY CORPORATION |
11177186 | Bonded body and insulated circuit board | MITSUBISHI MATERIALS CORPORATION |
11177187 | Sensor package and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11177188 | Heat dissipation substrate for multi-chip package | -- |
11177189 | Module including heat dissipation structure | MURATA MANUFACTURING CO., LTD. |
11177192 | Semiconductor device including heat dissipation structure and fabricating method of the same | -- |
11177197 | Semiconductor package with solder standoff | TEXAS INSTRUMENTS INCORPORATED |
11177198 | Plurality of lead frames electrically connected to inductor chip | ROHM CO., LTD. |
11177201 | Semiconductor packages including routing dies and methods of forming same | -- |
11177204 | Power electronics package and method of manufacturing thereof | GENERAL ELECTRIC COMPANY |
11177205 | Semiconductor package having multi-level and multi-directional shape narrowing vias | SAMSUNG ELECTRONICS CO., LTD. |
11177208 | Interconnect structures and methods of forming the same | -- |
11177210 | Integrated circuit with non-functional structures | NXP B.V. |
11177211 | Method of manufacturing via structures of semiconductor devices | -- |
11177212 | Contact formation method and related structure | -- |
11177213 | Embedded small via anti-fuse device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177214 | Interconnects with hybrid metal conductors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177218 | Package including metallic bolstering pattern and manufacturing method of the package | -- |
11177220 | Vertical and lateral interconnects between dies | INTEL CORPORATION |
11177221 | Semiconductor device package and method of manufacturing the same | -- |
11177222 | Semiconductor packages and associated methods with antennas and EMI isolation shields | MICRON TECHNOLOGY, INC. |
11177224 | Method of manufacturing semiconductor device | FUJI ELECTRIC CO., LTD. |
11177225 | Semiconductor device including physical unclonable function | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177234 | Package architecture with improved via drill process and method for forming such package | INTEL CORPORATION |
11177236 | Semiconductor device having case to which circuit board is bonded by bonding material and method of manafacturing thereof | FUJI ELECTRIC CO., LTD. |
11177237 | Manufacturing method of semiconductor package | -- |
11177246 | Photo-sensitive silicon package embedding self-powered electronic system | TEXAS INSTRUMENTS INCORPORATED |
11177248 | Light-emitting component, display device and method for manufacturing a display device | OSRAM OLED GMBH |
11177252 | Semiconductor device and method of fabricating the same | LAPIS SEMICONDUCTOR CO., LTD. |
11177253 | Transistor with integrated capacitor | TEXAS INSTRUMENTS INCORPORATED |
11177254 | Stacked transistor device | APPLIED MATERIALS, INC. |
11177255 | Transistor structures having multiple threshold voltage channel materials | INTEL CORPORATION |
11177257 | Fabrication of field effect transistors with different threshold voltages through modified channel interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177258 | Stacked nanosheet CFET with gate all around structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177259 | Multi-threshold gate structure with doped gate dielectric layer | -- |
11177260 | Semiconductor device having fin-type field effect transistor and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177261 | Nonvolatile nanotube switch elements using sidewall contacts | NANTERO, INC. |
11177264 | Semiconductor devices including a gate structure having multiple widths | SAMSUNG ELECTRONICS CO., LTD. |
11177271 | Device, a method used in forming a circuit structure, a method used in forming an array of elevationally-extending transistors and a circuit structure adjacent thereto | MICRON TECHNOLOGY, INC. |
11177272 | Three-dimensional memory device and method for manufacturing the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177274 | Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device | SAMSUNG ELECTRONICS CO., LTD. |
11177276 | Conductive structures, assemblies having vertically-stacked memory cells over conductive structures, and methods of forming conductive structures | MICRON TECHNOLOGY, INC. |
11177277 | Word line architecture for three dimensional NAND flash memory | SANDISK TECHNOLOGIES LLC |
11177281 | Fabrication method for a 3-dimensional NOR memory array | SUNRISE MEMORY CORPORATION |
11177282 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11177283 | Electronic device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177284 | Ferroelectric memory devices containing a two-dimensional charge carrier gas channel and methods of making the same | SANDISK TECHNOLOGIES LLC |
11177285 | Conductive contacts in semiconductor on insulator substrate | ELPIS TECHNOLOGIES INC. |
11177286 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177289 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177294 | Array substrate with via hole structures, manufacturing method thereof and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177296 | Array substrate, display device, thin film transistor, and method for manufacturing array substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177300 | Solid-state image pickup apparatus, method of manufacturing solid-state image pickup apparatus, and electronic apparatus | SONY CORPORATION |
11177334 | Display substrate, display panel and method of fabricating display substrate | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11177343 | Three-dimensional memory devices with backside isolation structures | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11177344 | Multi-gate device with air gap spacer and fabrication methods thereof | -- |
11177349 | Field effect transistor with controllable resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177350 | Insulated-gate semiconductor device and method of manufacturing the same | FUJI ELECTRIC CO., LTD. |
11177353 | Silicon carbide semiconductor device, and manufacturing method of the same | DENSO CORPORATION |
11177354 | Method of manufacturing silicon carbide semiconductor devices | INFINEON TECHNOLOGIES AG |
11177355 | Semiconductor structure and manufacturing method thereof | -- |
11177358 | Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof | -- |
11177361 | FinFET and gate-all-around FET with selective high-k oxide deposition | -- |
11177362 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11177364 | Integrated circuit device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11177365 | Semiconductor device with adhesion layer | -- |
11177367 | Self-aligned bottom spacer EPI last flow for VTFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177368 | Semiconductor arrangement | -- |
11177369 | Stacked vertical field effect transistor with self-aligned junctions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177370 | Vertical field effect transistor with self-aligned source and drain top junction | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177371 | Transistor with superposed bars and double-gate structure | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11177376 | III-N epitaxial device structures on free standing silicon mesas | INTEL CORPORATION |
11177377 | Semiconductive device with mesa structure and method of fabricating the same | -- |
11177378 | HEMT having conduction barrier between drain fingertip and source | TEXAS INSTRUMENTS INCORPORATED |
11177382 | FinFET having a relaxation prevention anchor and related methods | -- |
11177383 | Semiconductor device structure and method for forming the same | -- |
11177384 | Method of forming a semiconductor device | -- |
11177387 | Semiconductor device and manufacturing method thereof | -- |
11177388 | Semiconductor device and method of manufacturing semiconductor device | JAPAN DISPLAY INC. |
11177389 | Integrated transistors having gate material passing through a pillar of semiconductor material, and methods of forming integrated transistors | MICRON TECHNOLOGY, INC. |
11177392 | Transistor and semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177394 | Wide bandgap semiconductor switching device with wide area schottky junction, and manufacturing process thereof | STMICROELECTRONICS S.R.L. |
11177395 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11177434 | Chip package | -- |
11177460 | Sub-pixel structure, pixel structure, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11177549 | Method of growing titanium nitride on silicon substrate free from silicon nitride interface by using a titanium seed layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11177552 | Semiconductor device package and method for manufacturing the same | -- |
11177561 | Protected RFID antenna | CHECKPOINT SYSTEMS, INC. |
11177634 | Gallium and nitrogen containing laser device configured on a patterned substrate | KYOCERA SLD LASER, INC. |
11177792 | Power supply semiconductor integrated memory control circuit | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11177984 | CMOS analog circuits having a triode-based active load | XILINX, INC. |
11178754 | Display device and method of manufacturing flexible printed circuit board | SAMSUNG DISPLAY CO., LTD. |
11178772 | Component carrier connected with a separate tilted component carrier for short electric connection | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11178773 | Conductor trace structure reducing insertion loss of circuit board | -- |
11178786 | Method for manufacturing hermetic sealing lid member | HITACHI METALS, LTD. |
11179809 | Manufacturing method of glass substrate having holes, manufacturing method of interposer substrate, and method for forming hole in glass substrate | AGC INC. |
11179822 | Polyurethane polishing layer, polishing pad comprising polishing layer, method for preparing polishing layer and method for planarizing material | HUBEI DINGHUI MICROELECTRONICS MATERIALS CO., LTD |
11179965 | Electrostatic chuck optimized for refurbishment | APPLIED MATERIALS, INC. |
11180303 | Storage container of scrubbing member and package of same | EBARA CORPORATION |
11180373 | Nanocrystalline graphene and method of forming nanocrystalline graphene | SAMSUNG ELECTRONICS CO., LTD. |
11180407 | Glass substrate, laminated substrate, and laminate | AGC INC. |
11180678 | Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process | VERSUM MATERIALS US, LLC |
11180679 | Composition for semiconductor processing and method for polishing substrate using the same | SKC SOLMICS CO., LTD. |
11180697 | Etching solution having silicon oxide corrosion inhibitor and method of using the same | VERSUM MATERIALS US, LLC |
11180719 | Use of compositions comprising a siloxane-type additive for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below | BASF SE |
11180846 | Fine leveling of large carousel based susceptor | APPLIED MATERIALS, INC. |
11180850 | Dynamic precursor dosing for atomic layer deposition | LAM RESEARCH CORPORATION |
11180853 | Substrate processing apparatus and substrate processing method | EBARA CORPORATION |
11180855 | Semiconductor manufacturing component comprising deposition layer covering interlayer boundary and manufacturing method thereof | TOKAI CARBON KOREA CO., LTD. |
11180865 | Foundation substrate for producing diamond film and method for producing diamond substrate using same | SHIN-ETSU CHEMICAL CO., LTD. |
11181484 | Systems and methods for advanced defect ablation protection | KLA CORPORATION |
11181704 | Fabrication method of high aspect ratio solder bumping with stud bump and injection molded solder, and flip chip joining with the solder bump | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11181821 | Composition for forming organic film, substrate for manufacturing semiconductor apparatus, method for forming organic film, patterning process, and polymer | SHIN-ETSU CHEMICAL CO., LTD. |
11181824 | Semiconductor apparatus and method for baking coating layer | -- |
11181829 | Method for determining a control parameter for an apparatus utilized in a semiconductor manufacturing process | ASML NETHERLANDS B.V. |
11181830 | Lithographic apparatus and method of controlling a lithographic apparatus | QONIAC GMBH |
11181832 | Movable body apparatus, exposure apparatus, and device manufacturing method | NIKON CORPORATION |
11182528 | Electromigration sign-off tool | -- |
11182892 | Periodic semiconductor device misregistration metrology system and method | KLA CORPORATION |
11183367 | Atomic layer etching processes | ASM IP HOLDING B.V. |
11183368 | RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks | LAM RESEARCH CORPORATION |
11183371 | Plasma processing apparatus and plasma processing method | TOKYO ELECTRON LIMITED |
11183372 | Batch type plasma substrate processing apparatus | EUGENE TECHNOLOGY CO., LTD. |
11183381 | Semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11183382 | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | KOKUSAI ELECTRIC CORPORATION |
11183383 | Tin oxide thin film spacers in semiconductor device manufacturing | LAM RESEARCH CORPORATION |
11183384 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11183385 | Method for passivating silicon carbide epitaxial layer | THE 13TH RESEARCH INSTITUTE OF CHINA ELECTRONICS |
11183387 | Semiconductor device, semiconductor wafer and method for manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11183388 | Semiconductor device | FUJI ELECTRIC CO., LTD. |
11183389 | Fin field effect transistor devices with self-aligned gates | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183390 | Method of enhancing a DLC coated surface for enhanced multipaction resistance | NOKOMIS, INC. |
11183391 | Method for real time monitoring semiconductor fabrication process | -- |
11183392 | Method for manufacturing semiconductor devices and structures thereof | -- |
11183393 | Atomic layer etching using acid halide | KANTO DENKA KOGYO CO., LTD. |
11183394 | Structure and method to expose memory cells with different sizes | -- |
11183395 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11183396 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11183397 | Selective etch process using hydrofluoric acid and ozone gases | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY, CO., LTD |
11183398 | Ruthenium hard mask process | TOKYO ELECTRON LIMITED |
11183399 | Semiconductor device and method of manufacture | -- |
11183400 | Progressive heating of components of substrate processing systems using TCR element-based heaters | LAM RESEARCH CORPORATION |
11183401 | System and related techniques for handling aligned substrate pairs | SUSS MICROTEC LITHOGRAPHY GMBH |
11183402 | Laser annealing apparatus for semiconductors having multiple laser energy measuring means | SAMSUNG ELECTRONICS CO., LTD. |
11183403 | Light irradiation type heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
11183404 | Diffuser and semiconductor processing system using same | -- |
11183405 | Semiconductor manufacturing apparatus | -- |
11183406 | Control of wafer bow in multiple stations | LAM RESEARCH CORPORATION |
11183407 | Adaptive inset for wafer cassette system | -- |
11183408 | In-line wet bench device and method for the wet-chemical treatment of semiconductor wafers | HANWHA Q CELLS GMBH |
11183409 | System for a semiconductor fabrication facility and method for operating the same | -- |
11183410 | Pellicle removal tool | PHOTRONICS, INC. |
11183411 | Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency | APPLIED MATERIALS, INC. |
11183412 | Method for joining quartz pieces and quartz electrodes and other devices of joined quartz | WATLOW ELECTRIC MANUFACTURING COMPANY |
11183413 | Methods related to preparation of a stencil to receive a plurality of IC units | ROKKO SYSTEMS PTE LTD |
11183414 | Secondary packaging method and secondary package of through silicon via chip | SHENZHEN GOODIX TECHNOLOGY CO., LTD. |
11183415 | Adhesive containing polydimethyl siloxane | NISSAN CHEMICAL CORPORATION |
11183416 | Adhesive tape for semiconductor processing, and semiconductor device manufacturing method | LINTEC CORPORATION |
11183417 | Method for manufacturing laminate and method for manufacturing substrate | SHIN-ETSU CHEMICAL CO., LTD. |
11183418 | Two axis goniometer to accomplish fine, permanent, calibration of lift pin hoop orientation | APPLIED MATERIALS, INC. |
11183419 | Unconfined buried interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183420 | High resistivity silicon-on-insulator wafer manufacturing method for reducing substrate loss | -- |
11183421 | Interconnection structure of metal lines, method of fabricating the same and semiconductor device | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11183422 | Semiconductor structure and method for manufacturing the same | -- |
11183423 | Liner structure in interlayer dielectric structure for semiconductor devices | -- |
11183424 | Barrier layer formation for conductive feature | -- |
11183425 | Method of manufacturing semiconductor device and method of laminating metal | KABUSHIKI KAISHA TOSHIBA |
11183426 | Method for forming a FinFET structure that prevents or reduces deformation of adjacent fins | -- |
11183427 | Differing device characteristics on a single wafer by selective etch | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183428 | Method for manufacturing transistor device | SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION |
11183429 | Method of manufacturing a semiconductor device including forming a gate insulating material layer on a protection layer and removing the gate insulation material layer and the protection layer on the first region | SAMSUNG ELECTRONICS CO., LTD. |
11183430 | Self-limiting liners for increasing contact trench volume in n-type and p-type transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183431 | Method of manufacturing semiconductor devices and semiconductor devices | -- |
11183432 | Integrated circuits with recessed gate electrodes | INTEL CORPORATION |
11183433 | Method of evaluating silicon layer and a method of manufacturing silicon epitaxial wafer | SUMCO CORPORATION |
11183434 | Methods of guiding process models and inspection in a manufacturing process | ASML NETHERLANDS B.V. |
11183435 | Endpointing detection for chemical mechanical polishing based on spectrometry | APPLIED MATERIALS, INC. |
11183437 | Circuit package | HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P. |
11183441 | Stress buffer layer in embedded package | TEXAS INSTRUMENTS INCORPORATED |
11183442 | Manufacturing method of heat dissipation component | -- |
11183443 | Semiconductor structure and method for manufacturing the same | -- |
11183445 | Semiconductor arrangement, laminated semiconductor arrangement and method for fabricating a semiconductor arrangement | INFINEON TECHNOLOGIES AG |
11183447 | Flip-chip package substrate and method for fabricating the same | -- |
11183448 | Wiring circuit board and imaging device | NITTO DENKO CORPORATION |
11183449 | Cryogenic integrated circuits | -- |
11183450 | Electronic device having inverted lead pins | TEXAS INSTRUMENTS INCORPORATED |
11183451 | Interconnect clip with angled contact surface and raised bridge | INFINEON TECHNOLOGIES AG |
11183453 | Electronic-component-embedded substrate having a wiring line with a roughened surface, electronic component device, and communication module | MURATA MANUFACTURING CO., LTD. |
11183454 | Functional component within interconnect structure of semiconductor device and method of forming same | -- |
11183455 | Interconnects with enlarged contact area | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183456 | Memory arrays and methods used in forming a memory array | MICRON TECHNOLOGY, INC. |
11183458 | Integrated circuit packaging structure and method | SHENZHEN XIUYUAN ELECTRONIC TECHNOLOGY CO., LTD |
11183459 | Fabric-based items with electrical component arrays | APPLE INC. |
11183460 | Embedded die packaging with integrated ceramic substrate | TEXAS INSTRUMENTS INCORPORATED |
11183461 | Semiconductor structure and manufacturing method thereof | -- |
11183463 | Chip package method and chip package structure | SHANGHAI AVIC OPTO ELECTRONICS CO., LTD. |
11183464 | Package substrate processing method and protective tape | DISCO CORPORATION |
11183466 | Semiconductor package including an electromagnetic shield and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11183467 | Flexible circuit board, method for mounting the same, and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11183468 | Chip protected against back-face attacks | STMICROELECTRONICS (CROLLES 2) SAS |
11183469 | Semiconductor device | KIOXIA CORPORATION |
11183476 | Silicon carbide semiconductor device, silicon carbide semiconductor assembly, and method of manufacturing silicon carbide semiconductor device | FUJI ELECTRIC CO., LTD. |
11183477 | Mixed hybrid bonding structures and methods of forming the same | INTEL CORPORATION |
11183478 | Apparatus and method for transferring semiconductor devices from a substrate and stacking semiconductor devices on each other | ROHINNI, LLC |
11183482 | Shift control method in manufacture of semiconductor device | -- |
11183483 | Multichip module and electronic device | MITSUBISHI HEAVY INDUSTRIES, LTD. |
11183487 | Integrated circuit package and method | -- |
11183488 | Three-dimensional memory devices with stacked device chips using interposers | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183492 | Multilevel template assisted wafer bonding | SKORPIOS TECHNOLOGIES, INC. |
11183493 | Semiconductor device using EMC wafer support system and fabricating method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11183498 | Semiconductor memory device having an electrically floating body transistor | ZENO SEMICONDUCTOR, INC. |
11183504 | Structures for testing nanoscale devices including ferroelectric capacitors and methods for forming the same | -- |
11183505 | Process for fabricating medium-voltage transistors and corresponding integrated circuit | STMICROELECTRONICS (ROUSSET) SAS |
11183506 | Method of making embedded memory device with silicon-on-insulator substrate | SILICON STORAGE TECHNOLOGY, INC. |
11183507 | Semiconductor memory device and method for manufacturing same | TOSHIBA MEMORY CORPORATION |
11183508 | Methods of semiconductor device fabrication | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183509 | Non-volatile memory with silicided bit line contacts | CYPRESS SEMICONDUCTOR CORPORATION |
11183510 | Manufacturing method of semiconductor device and semiconductor device | RENESAS ELECTRONICS CORPORATION |
11183511 | Memory device and manufacturing method for the same | -- |
11183512 | Methods for forming three-dimensional memory device with support structure and resulting three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183513 | Semiconductor device and method for fabricating the same | -- |
11183514 | Vertically stacked field effect transistors | GLOBALFOUNDRIES U.S. INC. |
11183515 | Display device including polycrystalline silicon layer, method of manufacturing polycrystalline silicon layer, and method of manufacturing display device | SAMSUNG DISPLAY CO., LTD. |
11183516 | Semiconductor device and method for manufacturing the same | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11183518 | Transistor array panel and manufacturing method thereof | SAMSUNG DISPLAY CO., LTD. |
11183519 | Method and apparatus for manufacturing TFT substrate | HKC CORPORATION LIMITED |
11183526 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11183540 | Imaging element, method of manufacturing imaging element, and imaging device | SONY CORPORATION |
11183558 | Nanosheet transistor having partially self-limiting bottom isolation extending into the substrate and under the source/drain and gate regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183559 | Method for manufacturing a semiconductor structure, semiconductor structure, and electronic device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183560 | Multi-gate semiconductor device | -- |
11183561 | Nanosheet transistor with inner spacers | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183562 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11183564 | Quantum dot devices with strain control | INTEL CORPORATION |
11183567 | Doped aluminum nitride crystals and methods of making them | CRYSTAL IS, INC. |
11183568 | Two-dimensional semiconductor device, optoelectronic unit and method for making the two-dimensional semiconductor device | -- |
11183569 | Semiconductor device and method of manufacturing the same | RENESAS ELECTRONICS CORPORATION |
11183570 | Structures and methods for noise isolation in semiconductor devices | -- |
11183571 | Memory device and manufacturing method thereof | -- |
11183572 | Flash memory device including a buried floating gate and a buried erase gate and methods of forming the same | -- |
11183574 | Work function layers for transistor gate electrodes | -- |
11183575 | Memory device and method for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11183577 | Formation of air gap spacers for reducing parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183578 | Contact over active gate employing a stacked spacer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11183579 | Semiconductor device having buried gate structure and method for fabricating the same | SK HYNIX INC. |
11183580 | Structure and formation method of semiconductor device with metal gate stack | -- |
11183582 | Method for producing pillar-shaped semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
11183584 | Semiconductor device and manufacturing method thereof | -- |
11183586 | Cascode transistor device | XIAMEN SANAN INTEGRATED CIRCUIT CO., LTD. |
11183587 | Bipolar junction transistor (BJT) comprising a multilayer base dielectric film | -- |
11183591 | Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities | AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LTD. |
11183594 | Dual gate control for trench shaped thin film transistors | INTEL CORPORATION |
11183596 | Thin film transistor and method for fabricating same | IUCF-HYU (INDUSTRY-UNIVERSITY COOPERATION FOUNDATION HANYANG UNIVERSITY) |
11183599 | Semiconductor device and manufacturing method thereof | -- |
11183601 | Semiconductor device with carrier lifetime control | FUJI ELECTRIC CO., LTD. |
11183602 | Trench capacitor and method for manufacturing the same | SHENZHEN WEITONGBO TECHNOLOGY CO., LTD. |
11183605 | Method for producing a layer structure for thin-film solar cells using etching or laser ablation to produce rear-electrode-layer-free region | (CNBM) BENGBU DESIGN RESEARCH INSTITUTE FOR GLASS INDUSTRY CO. LTD |
11183612 | Method for producing at least one optoelectronic component, and optoelectronic component | OSRAM OLED GMBH |
11183633 | Switch device, storage apparatus, and memory system | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11183674 | Method for manufacturing flexible OLED device and support substrate | SAKAI DISPLAY PRODUCTS CORPORATION |
11183951 | Flexure stage with modularized flexure units | -- |
11184927 | Non-contiguous channel bonding | INTEL CORPORATION |
11185895 | Substrate processing method, substrate processing apparatus, and composite processing apparatus | TOSHIBA MEMORY CORPORATION |
11185896 | Substrate liquid processing apparatus, substrate liquid processing method, and computer-readable storage medium having substrate liquid processing program stored thereon | TOKYO ELECTRON LIMITED |
11186060 | Methods of continuous fabrication of holes in flexible substrate sheets and products relating to the same | CORNING INCORPORATED |
11186742 | Sealing resin composition, electronic component device, and method of manufacturing electronic component device | SHOWA DENKO MATERIALS CO., LTD. |
11186748 | Aqueous anionic functional silica slurry and amine carboxylic acid compositions for selective nitride removal in polishing and methods of using them | ROHM AND HAAS ELECTRONIC MATERIALS CMP HOLDINGS, INC. |
11186749 | Slurry composition and method of manufacturing integrated circuit device by using the same | SAMSUNG ELECTRONICS CO., LTD. |
11186757 | Resin composition, resin layer, permanent adhesive, adhesive for temporary bonding, laminated film, processed wafer, and method for manufacturing electronic component or semiconductor device | TORAY INDUSTRIES, INC. |
11186771 | Etching solution for selectively removing silicon nitride during manufacture of a semiconductor device | VERSUM MATERIALS US, LLC |
11186772 | Etching composition, method for etching insulating film of semiconductor devices using the same and method for preparing semiconductor devices | SK INNOVATION CO., LTD. |
11186906 | Holding arrangement for holding a substrate, carrier including the holding arrangement, processing system employing the carrier, and method for releasing a substrate from a holding arrangement | APPLIED MATERIALS, INC. |
11186908 | Apparatus and method of manufacturing display apparatus | SAMSUNG DISPLAY CO., LTD. |
11186910 | Apparatus for multi-flow precursor dosage | APPLIED MATERIALS, INC. |
11187222 | Vacuum pumping arrangement | EDWARDS LIMITED |
11187594 | Bonded assembly with integrated temperature sensing in bond layer | WATLOW ELECTRIC MANUFACTURING COMPANY |
11187602 | Device and method for pressure force inspection | -- |
11187722 | Probe pin and electronic device using the same | OMRON CORPORATION |
11187747 | Inspection system and malfunction analysis/prediction method for inspection system | TOKYO ELECTRON LIMITED |
11187926 | Binding device, display panel, binding system and operating method thereof | BOE TECHNOLOGY GROUP CO., LTD. |
11187953 | Laser processing apparatus, semiconductor device manufacturing method, and amorphous silicon crystallization method | THE JAPAN STEEL WORKS, LTD. |
11187976 | Methods of detecting printing defects on photoresist patterns | SK HYNIX INC. |
11187977 | Imprint apparatus, imprint method, and method of manufacturing article | CANON KABUSHIKI KAISHA |
11187979 | Imprint apparatus, imprint method, and article manufacturing method | CANON KABUSHIKI KAISHA |
11187983 | EUV patterning of monolayers for selective atomic layer deposition | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11187986 | Apparatuses for reducing metal residue in edge bead region from metal-containing resists | INPRIA CORPORATION |
11187992 | Predictive modeling of metrology in semiconductor processes | APPLIED MATERIALS, INC. |
11187997 | Photolithography apparatus and method for handling wafer | -- |
11187998 | Substrate holder, substrate support and method of clamping a substrate to a clamping system | ASML NETHERLANDS B.V. |
11187999 | Movable body apparatus, moving method, exposure apparatus, exposure method, flat-panel display manufacturing method, and device manufacturing method | NIKON CORPORATION |
11188001 | Alignment apparatus, alignment method, lithography apparatus, and method of manufacturing article | CANON KABUSHIKI KAISHA |
11188237 | Anti-hacking mechanisms for flash memory device | SILICON STORAGE TECHNOLOGY, INC. |
11188697 | On-chip memory access pattern detection for power and resource reduction | XILINX, INC. |
11188805 | Lamination system, IC sheet, scroll of IC sheet, and method for manufacturing IC chip | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189356 | One-time-programmable memory | -- |
11189409 | Electronic substrates having embedded dielectric magnetic material to form inductors | INTEL CORPORATION |
11189462 | Ion stratification using bias pulses of short duration | TOKYO ELECTRON LIMITED |
11189465 | Adjustable capacitor, impedance matching device and semiconductor processing apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11189466 | High voltage switching circuit | RENO TECHNOLOGIES, INC. |
11189467 | Apparatus and method of attaching pad on edge ring | SAMSUNG ELECTRONICS CO., LTD. |
11189469 | Etching method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11189470 | Search device, search method and plasma processing apparatus | HITACHI HIGH-TECH CORPORATION |
11189479 | Diffusion barrier layer | APPLIED MATERIALS, INC. |
11189480 | Element chip manufacturing method | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189481 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
11189482 | Thin film formation method | ULVAC, INC. |
11189483 | Method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11189484 | Semiconductor nitridation passivation | MICRON TECHNOLOGY, INC. |
11189485 | Steam oxidation initiation for high aspect ratio conformal radical oxidation | APPLIED MATERIALS, INC. |
11189486 | Method for depositing an insulating material into a via | -- |
11189487 | Method and apparatus for high pressure cure of flowable dielectric films | INTEL CORPORATION |
11189488 | Core-shell nanoplatelets and uses thereof | NEXDOT |
11189489 | Substrate treatment apparatus and manufacturing method of semiconductor device | TOSHIBA MEMORY CORPORATION |
11189490 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11189491 | Method of forming mask pattern and method of fabricating semiconductor device using the same | SAMSUNG ELECTRONICS CO., LTD. |
11189492 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11189493 | Silicon carbide semiconductor device and method for manufacturing the same | DENSO CORPORATION |
11189494 | Method of manufacturing semiconductor device | KABUSHIKI KAISHA TOSHIBA |
11189495 | Semiconductor structure and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11189496 | Plasma reactor for ultra-high aspect ratio etching and etching method thereof | ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA |
11189497 | Chemical mechanical planarization using nano-abrasive slurry | -- |
11189498 | Method of etching silicon-containing film, computer-readable storage medium, and apparatus for etching silicon-containing film | TOKYO ELECTRON LIMITED |
11189499 | Atomic layer etch (ALE) of tungsten or other metal layers | TOKYO ELECTRON LIMITED |
11189500 | Method of manufacturing a component carrier with an embedded cluster and the component carrier | AT&S (CHONGQING) COMPANY LIMITED |
11189501 | Chip package structure and manufacturing method thereof | -- |
11189502 | Showerhead with interlaced gas feed and removal and methods of use | APPLIED MATERIALS, INC. |
11189503 | Substrate drying method, photoresist developing method, photolithography method including the same, and substrate drying system | SAMSUNG ELECTRONICS CO., LTD. |
11189504 | Photoresist stripping device and photoresist stripping method | -- |
11189505 | Substrate liquid processing apparatus, substrate liquid processing method and storage medium | TOKYO ELECTRON LIMITED |
11189506 | Rod-shaped lamp and heat treatment apparatus | SCREEN HOLDINGS CO., LTD. |
11189507 | Chip packaging apparatus and method thereof | CAPCON LIMITED |
11189508 | Purged viewport for quartz dome in epitaxy reactor | APPLIED MATERIALS, INC. |
11189509 | System and method for controlling semiconductor manufacturing equipment | -- |
11189510 | Adaptive inset for wafer cassette system | -- |
11189511 | Side storage pods, equipment front end modules, and methods for operating EFEMs | APPLIED MATERIALS, INC. |
11189512 | Stocker | SEMES CO., LTD. |
11189513 | Transport mechanism for wafers of different sizes and types | -- |
11189514 | Substrate processing apparatus and substrate transfer method | TOKYO ELECTRON LIMITED |
11189515 | Method for alignment, process tool and method for wafer-level alignment | -- |
11189516 | Method for mask and substrate alignment | APPLIED MATERIALS, INC. |
11189517 | RF electrostatic chuck filter circuit | APPLIED MATERIALS, INC. |
11189518 | Method of processing a semiconductor wafer | -- |
11189519 | Masking a zone at the edge of a donor substrate during an ion implantation step | SOITEC |
11189520 | Imaging device, method of manufacturing imaging device, and electronic device | SONY CORPORATION |
11189521 | Methods of manufacturing redistribution circuit structures using phase shift mask | -- |
11189522 | Semiconductor device and method of manufacturing the same | -- |
11189523 | Semiconductor structure and fabrication method thereof | -- |
11189524 | Semiconductor arrangement and method of making | -- |
11189525 | Via-first process for connecting a contact and a gate electrode | -- |
11189526 | Apparatus comprising staircase structures | MICRON TECHNOLOGY, INC. |
11189527 | Self-aligned top vias over metal lines formed by a damascene process | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189528 | Subtractive RIE interconnect | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189529 | Methods of forming metal chalcogenide pillars | APPLIED MATERIALS, INC. |
11189530 | Manufacturing method of chips | DISCO CORPORATION |
11189531 | Fin field-effect transistor device and method | -- |
11189532 | Dual width finned semiconductor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189533 | Wafer quality inspection method and apparatus, and semiconductor device manufacturing method including the wafer quality inspection method | SAMSUNG ELECTRONICS CO., LTD. |
11189534 | Semiconductor assembly and deterioration detection method | FUJI ELECTRIC CO., LTD. |
11189535 | Semiconductor chip including chip pad, redistribution wiring test pad, and redistribution wiring connection pad | SAMSUNG ELECTRONICS CO., LTD. |
11189536 | Method and apparatus for on-chip stress detection | MICRON TECHNOLOGY, INC. |
11189537 | Circuit package, an electronic circuit package, and methods for encapsulating an electronic circuit | INFINEON TECHNOLOGIES AG |
11189538 | Semiconductor structure with polyimide packaging and manufacturing method | -- |
11189539 | Apparatus having a functional structure delimited by a frame structure and method for producing same | INFINEON TECHNOLOGIES AG |
11189542 | Method for fabricating an electronic module via compression molding | INFINEON TECHNOLOGIES AUSTRIA AG |
11189544 | Plurality of cooling tubes with coolant for a power conversion package | DENSO CORPORATION |
11189546 | Semiconductor arrangement and method for making | -- |
11189547 | Semiconductor module and semiconductor module manufacturing method | FUJI ELECTRIC CO., LTD. |
11189549 | Semiconductor device and method for manufacturing the same | PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD. |
11189552 | Semiconductor package | SAMSUNG ELECTRONICS CO., LTD. |
11189553 | Wiring substrate, semiconductor package having the wiring substrate, and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11189556 | Manufacturing of a power semiconductor module | ABB POWER GRIDS SWITZERLAND AG |
11189557 | Hybrid package | NXP USA, INC. |
11189558 | Process to yield ultra-large integrated circuits and associated integrated circuits | RAYTHEON COMPANY |
11189560 | Semiconductor device comprising etch stop layer over dielectric layer and method of manufacture | -- |
11189561 | Placing top vias at line ends by selective growth of via mask from line cut dielectric | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189562 | Interconnection structure having increased conductive features and method of manufacturing the same | -- |
11189563 | Semiconductor structure and manufacturing method thereof | -- |
11189565 | Semiconductor device with programmable anti-fuse feature and method for fabricating the same | -- |
11189566 | Tight pitch via structures enabled by orthogonal and non-orthogonal merged vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189568 | Top via interconnect having a line with a reduced bottom dimension | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189571 | Electronic circuit device and method of manufacturing electronic circuit device | RISING TECHNOLOGIES CO., LTD. |
11189573 | Semiconductor package with electromagnetic interference shielding using metal layers and vias | INTEL CORPORATION |
11189575 | Specialized surface mount device for symmetric heat distribution in package | QUALCOMM INCORPORATED |
11189576 | Semiconductor device package and a method of manufacturing the same | -- |
11189577 | Semiconductor structure | -- |
11189583 | Semiconductor structure and manufacturing method thereof | -- |
11189587 | Semiconductor device package with organic reinforcement structure | -- |
11189590 | Processes for adjusting dimensions of dielectric bond line materials and related films, articles and assemblies | MICRON TECHNOLOGY, INC. |
11189591 | Electronic module | SHINDENGEN ELECTRIC MANUFACTURING CO., LTD. |
11189593 | Integrated device package | ANALOG DEVICES INTERNATIONAL UNLIMITED COMPANY |
11189594 | Bonding apparatus and bonding method | SHINKAWA LTD. |
11189595 | Package-on-package assembly with wire bond vias | INVENSAS CORPORATION |
11189596 | Methods of forming multi-chip wafer level packages | -- |
11189598 | Semiconductor device and method of forming embedded die substrate, and system-in-package modules with the same | STATS CHIPPAC PTE. LTD. |
11189599 | System formed through package-in-package formation | -- |
11189603 | Semiconductor packages and methods of forming same | -- |
11189609 | Methods for reducing heat transfer in semiconductor assemblies, and associated systems and devices | MICRON TECHNOLOGY, INC. |
11189610 | Substrate structure and manufacturing process | -- |
11189613 | Semiconductor device | -- |
11189614 | Process etch with reduced loading effect | INTEL CORPORATION |
11189615 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11189616 | Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189617 | Gate-all-around devices with reduced parasitic capacitance | QUALCOMM INCORPORATED |
11189618 | Semiconductor memory device including work function adjusting layer in buried gate line and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189621 | DRAM array, semiconductor layout structure therefor and fabrication method | CHANGXIN MEMORY TECHNOLOGIES, INC. |
11189626 | Partially disposed gate layer into the trenches | TEXAS INSTRUMENTS INCORPORATED |
11189627 | Method to reduce kink effect in semiconductor devices | -- |
11189628 | Trench gate high voltage transistor for embedded memory | -- |
11189633 | Semiconductor device and apparatus of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11189635 | 3D-NAND mold | APPLIED MATERIALS, INC. |
11189637 | Three-dimensional memory array including self-aligned dielectric pillar structures and methods of making the same | SANDISK TECHNOLOGIES LLC |
11189646 | Display substrate including signal line electrically connected to conductive pattern through the plurality of via holes | BOE TECHNOLOGY GROUP CO., LTD. |
11189647 | Liquid crystal display device and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11189654 | Manufacturing methods of semiconductor image sensor devices | -- |
11189655 | Isolation structure for suppressing floating diffusion junction leakage in CMOS image sensor | OMNIVISION TECHNOLOGIES, INC. |
11189662 | Memory cell stack and via formation for a memory device | MICRON TECHNOLOGY, INC. |
11189682 | Display device and method of manufacturing the same | SAMSUNG DISPLAY CO., LTD. |
11189688 | Insulated gate power semiconductor device and method for manufacturing such device | ABB POWER GRIDS SWITZERLAND AG |
11189690 | Method for forming a superjunction transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11189691 | Method of manufacturing semiconductor device | UNITED MICROELECTRONICS CORP. |
11189693 | Transistor having reduced contact resistance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189694 | Semiconductor devices and methods of forming the same | -- |
11189695 | Semiconductor device and method for fabricating the same | -- |
11189697 | Ultra-thin fin structure and method of fabricating the same | -- |
11189700 | Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices | INTEL CORPORATION |
11189705 | Methods of reducing parasitic capacitance in multi-gate field-effect transistors | -- |
11189706 | FinFET structure with airgap and method of forming the same | -- |
11189707 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11189709 | Semiconductor device and method for manufacturing semiconductor device | ROHM CO., LTD. |
11189710 | Method of forming a bottom isolation dielectric by directional sputtering of a capping layer over a pair of stacks | APPLIED MATERIALS, INC. |
11189711 | Semiconductor structures | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11189714 | Gate stack structure and method for forming the same | -- |
11189719 | Apparatus and circuits including transistors with different gate stack materials and methods of fabricating the same | -- |
11189721 | Trench gate trench field plate vertical MOSFET | TEXAS INSTRUMENTS INCORPORATED |
11189724 | Method of forming a top epitaxy source/drain structure for a vertical transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189725 | VTFET with cell height constraints | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11189726 | Transistor with a negative capacitance and a method of creating the same | -- |
11189727 | FinFET contacts and method forming same | -- |
11189728 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11189729 | Forming a sacrificial liner for dual channel devices | TESSERA, INC. |
11189730 | Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nMOS transistors | INTEL CORPORATION |
11189734 | Semiconductor device and display device | JAPAN DISPLAY INC. |
11189735 | Semiconductor device and display apparatus | JOLED INC. |
11189749 | Transfer apparatus and transfer method for transferring light emitting diode chip | -- |
11189754 | Semiconductor substrate | -- |
11189761 | Image display device and method for manufacturing image display device | NICHIA CORPORATION |
11189763 | Backlight structure | WUHAN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11189768 | Light emitting device, method of manufacturing light emitting device, and lighting tool for vehicle | TOSHIBA HOKUTO ELECTRONICS CORPORATION |
11189774 | High-temperature carbon-based superconductor: B-doped Q-carbon | NORTH CAROLINA STATE UNIVERSITY |
11189791 | Integrated circuit and fabrication method thereof | -- |
11189905 | Integrated antenna array packaging structures and methods | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11191164 | Wiring structure and method of manufacturing the same, semiconductor device, multilayer wiring structure and method of manufacturing the same, semiconductor element mounting substrate, method of forming pattern structure, imprint mold and method of manufacturing the same, imprint mold set, and method of manufacturing multilayer wiring board | DAI NIPPON PRINTING CO., LTD. |
11191168 | Method of manufacturing composite circuit board and composite circuit board | HONGQISHENG PRECISION ELECTRONICS (QINHUANGDAO) CO., LTD. |
11191169 | Method and apparatus for flexible circuit cable attachment | JABIL INC. |
11191198 | Shield package | TATSUTA ELECTRIC WIRE & CABLE CO., LTD. |
11191950 | High resolution brain-electronics interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11192147 | Substrate processing apparatus and substrate processing method | EBARA CORPORATION |
11192209 | Laser pretreatment of metal substrates for electrical circuit boards | AB MIKROELEKTRONIK GMBH |
11192239 | Substrate processing apparatus | BROOKS AUTOMATION, INC. |
11192282 | Template, template manufacturing method, and semiconductor device manufacturing method | TOSHIBA MEMORY CORPORATION |
11192323 | Bonding structure of e chuck to aluminum base configuration | APPLIED MATERIALS, INC. |
11192340 | Device modified substrate article and methods for making | CORNING INCORPORATED |
11192777 | MEMS sensor package systems and methods | INFINEON TECHNOLOGIES AG |
11192781 | Semiconductor device having silicon layer with trench | DENSO CORPORATION |
11192786 | Composition, composition reservoir, and method for producing composition | FUJIFILM CORPORATION |
11192971 | Pattern forming material, composition for pattern formation, pattern forming method and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11192972 | Polymer material, composition, and method of manufacturing semiconductor device | TOSHIBA MEMORY CORPORATION |
11193043 | System for chemical mechanical polishing of Ge-based materials and devices | -- |
11193047 | Electrically conductive adhesive film and dicing-die bonding film using the same | FURUKAWA ELECTRIC CO., LTD. |
11193094 | Liquid composition for reducing damage of cobalt, alumina, interlayer insulating film and silicon nitride, and washing method using same | MITSUBISHI GAS CHEMICAL COMPANY, INC. |
11193206 | Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials | VERSUM MATERIALS US, LLC |
11193208 | Wafer/support arrangement, method for producing the arrangement, and use of the arrangement in the processing of the wafer | NISSAN CHEMICAL INDUSTRIES, LTD. |
11193218 | Sputtering equipment and method of manufacturing semiconductor device | DENSO CORPORATION |
11193903 | Smart packaging for improved medication regimen compliance | QUANTAED, LLC |
11193953 | 3D chip testing through micro-C4 interface | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11193954 | Probe card and test apparatus having the probe card | SK HYNIX INC. |
11194180 | Optical adjustable filter sub-assembly | IL-VI DELAWARE, INC. |
11194203 | Liquid crystal display device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11194251 | Resist underlayer film-forming composition for lithography containing polymer having blocked isocyanate structure | NISSAN CHEMICAL INDUSTRIES, LTD. |
11194258 | Method and apparatus for determining a fingerprint of a performance parameter | ASML NETHERLANDS B.V. |
11194990 | Fingerprint sensor device and method | -- |
11195087 | Synapse array of a neuromorphic device including a synapse array having a plurality of ferroelectricity field effect transistors | SK HYNIX INC. |
11195088 | Data processing device using neural network, electronic component, and electronic device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195268 | Target selection improvements for better design alignment | KLA-TENCOR CORPORATION |
11195667 | Methods of making non-covalently bonded carbon-titania nanocomposite thin films and applications of the same | NORTHWESTERN UNIVERSITY |
11195691 | Method of automatically focusing a charged particle beam on a surface region of a sample, method of calculating a converging set of sharpness values of images of a charged particle beam device and charged particle beam device for imaging a sample | APPLIED MATERIALS, INC. |
11195695 | Ion implantation method, ion implantation apparatus and semiconductor device | INFINEON TECHNOLOGIES AG |
11195696 | Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11195697 | Plasma control apparatus | SPP TECHNOLOGIES CO., LTD. |
11195698 | RF impedance matching circuit and systems and methods incorporating same | RENO TECHNOLOGIES, INC. |
11195704 | Pedestal assembly for plasma processing apparatus | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11195705 | Plasma generating unit and substrate treating apparatus comprising the same | SEMES CO., LTD. |
11195706 | Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators | LAM RESEARCH CORPORATION |
11195711 | Healing method before transfer of a semiconducting layer | COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES |
11195712 | Process for deposition of titanium oxynitride for use in integrated circuit fabrication | ASM IP HOLDING B.V. |
11195713 | Methods of forming a silicon-insulator layer and semiconductor device having the same | INFINEON TECHNOLOGIES AG |
11195714 | Pattern-forming method | JSR CORPORATION |
11195715 | Epitaxial growth constrained by a template | GLOBALFOUNDRIES U.S. INC. |
11195716 | Method of producing semiconductor epitaxial wafer and method of producing semiconductor device | SUMCO CORPORATION |
11195717 | Semiconductor device and method | -- |
11195718 | Spacer open process by dual plasma | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD. |
11195719 | Reducing in-plane distortion from wafer to wafer bonding using a dummy wafer | INTEL CORPORATION |
11195720 | Method for ion implantation that adjusts a target's tilt angle based on a distribution of ejected ions from a target | -- |
11195721 | Ohmic contacts and methods for manufacturing the same | PRINCETON OPTRONICS, INC. |
11195722 | Method of manufacture using complementary conductivity-selective wet-etching techniques for III-nitride materials and devices | GEORGIA TECH RESEARCH CORPORATION |
11195723 | Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch | TOKYO ELECTRON LIMITED |
11195724 | Method of manufacturing semiconductor structure | -- |
11195725 | Dry etch process landing on metal oxide etch stop layer over metal layer and structure formed thereby | TEXAS INSTRUMENTS INCORPORATED |
11195726 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11195727 | High density organic interconnect structures | INTEL CORPORATION |
11195728 | Temporary protective film for semiconductor sealing molding | SHOWA DENKO MATERIALS CO., LTD. |
11195729 | Substrate polishing apparatus and method | EBARA CORPORATION |
11195730 | Method and apparatus for processing wafer-shaped articles | LAM RESEARCH AG |
11195731 | Substrate processing device, substrate processing method, and substrate processing system | SCREEN HOLDINGS CO., LTD. |
11195732 | Low thermal budget annealing | -- |
11195733 | Operation method of vacuum processing device | HITACHI HIGH-TECH CORPORATION |
11195734 | Dual load lock chamber | APPLIED MATERIALS, INC. |
11195735 | Load lock for a substrate container and device having such a load lock | -- |
11195736 | Substrate processing apparatus, method of detaching substrate from vacuum suction table of substrate processing apparatus, and method of placing substrate onto vacuum suction table of substrate processing apparatus | EBARA CORPORATION |
11195737 | Apparatus for storing and transporting semiconductor elements, and method of making the same | -- |
11195738 | Substrate processing apparatus | BROOKS AUTOMATION, INC. |
11195739 | Substrate loading system | MOLECULAR IMPRINTS, INC. |
11195740 | Methods and apparatus for wafer handling and processing | MICRON TECHNOLOGY, INC. |
11195741 | Micro device arrangement in donor substrate | VUEREAL INC. |
11195742 | Micro device transfer apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11195743 | Adjustable substrate support and adjustment method | -- |
11195744 | Substrate treatment apparatus and manufacturing method of a semiconductor device | TOSHIBA MEMORY CORPORATION |
11195745 | Forming single and double diffusion breaks for fin field-effect transistor structures | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195746 | Nanosheet transistor with self-aligned dielectric pillar | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195748 | Interconnect structures and methods for forming same | INVENSAS CORPORATION |
11195749 | Semiconductor device and manufacturing method | FUJI ELECTRIC CO., LTD. |
11195750 | Etch profile control of interconnect structures | -- |
11195751 | Bilayer barrier for interconnect and memory structures formed in the BEOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195752 | Semiconductor device and method of forming same | -- |
11195753 | Tiered-profile contact for semiconductor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195754 | Transistor with reduced gate resistance and improved process margin of forming self-aligned contact | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195755 | Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195756 | Proximity contact cover ring for plasma dicing | APPLIED MATERIALS, INC. |
11195757 | Wafer processing method | DISCO CORPORATION |
11195758 | Semiconductor device and method for manufacturing semiconductor device having plurality of insulator | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11195759 | Semiconductor arrangement and method for making | -- |
11195760 | Fin-type field-effect transistor device having substrate with heavy doped and light doped regions, and method of fabricating the same | -- |
11195761 | IC structure with short channel gate structure having shorter gate height than long channel gate structure | GLOBALFOUNDRIES U.S. INC. |
11195762 | Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195763 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11195764 | Vertical transport field-effect transistors having germanium channel surfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195765 | Semiconductor device including stressed source/drain, method of manufacturing the same and electronic device including the same | INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES |
11195766 | Manufacturing a combined semiconductor device | INFINEON TECHNOLOGIES AG |
11195767 | Integration of a III-V device on a Si substrate | IMEC VZW |
11195770 | Method of manufacturing semiconductor device, semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11195771 | Substrate structure of semiconductor device package and method of manufacturing the same | -- |
11195772 | CMOS based devices for harsh media | MELEXIS TECHNOLOGIES NV |
11195774 | Semiconductor packages | SAMSUNG ELECTRONICS CO., LTD. |
11195775 | Semiconductor module, semiconductor device, and manufacturing method of semiconductor module | FUJI ELECTRIC CO., LTD. |
11195777 | Semiconductor module and method of evaluating semiconductor module | MITSUBISHI ELECTRIC CORPORATION |
11195778 | Electronic power module | AUDI AG |
11195780 | Stacked silicon package assembly having thermal management using phase change material | XILINX, INC. |
11195781 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11195785 | Interposer with through electrode having a wiring protection layer | SAMSUNG ELECTRONICS CO., LTD. |
11195787 | Semiconductor device including an antenna | INFINEON TECHNOLOGIES AG |
11195788 | Hybrid dielectric scheme in packages | -- |
11195789 | Integrated circuit module with a structurally balanced package using a bottom side interposer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195791 | Method for forming semiconductor contact structure | -- |
11195792 | Top via stack | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195793 | Metal filling in a dielectric layer under metal layer one (M1)and above an active device layer in semiconductor devices | QUALCOMM INCORPORATED |
11195795 | Well-controlled edge-to-edge spacing between adjacent interconnects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195796 | Semiconductor device structure and method for forming the same | -- |
11195798 | Tungsten alloys in semiconductor devices | INTEL CORPORATION |
11195800 | Electronic device module and method of manufacturing the same | SAMSUNG ELECTRO-MECHANICS CO., LTD. |
11195802 | Semiconductor package including shielding plate in redistribution structure, semiconductor package including conductive via in redistribution structure, and manufacturing method thereof | -- |
11195803 | Semiconductor element, semiconductor device, power conversion device, and method of manufacturing semiconductor element | MITSUBISHI ELECTRIC CORPORATION |
11195804 | Semiconductor structure | -- |
11195805 | Capacitor die embedded in package substrate for providing capacitance to surface mounted die | INTEL CORPORATION |
11195807 | Semiconductor device, high-frequency power amplifier, and method of manufacturing semiconductor device | MITSUBISHI ELECTRIC CORPORATION |
11195808 | Electronic package having antenna function and fabrication method thereof | -- |
11195810 | Bonding structure and method of forming same | -- |
11195816 | Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same | -- |
11195818 | Backside contact for thermal displacement in a multi-wafer stacked integrated circuit | -- |
11195819 | Semiconductor device | LONGITUDE LICENSING LIMITED |
11195823 | Semiconductor package and manufacturing method thereof | -- |
11195830 | Memory devices | MICRON TECHNOLOGY, INC. |
11195832 | High performance nanosheet fabrication method with enhanced high mobility channel elements | TOKYO ELECTRON LIMITED |
11195833 | Semiconductor device and method for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11195834 | Semiconductor device having deep wells | -- |
11195836 | Semiconductor memory devices | SAMSUNG ELECTRONICS CO., LTD. |
11195837 | Semiconductor devices including support patterns | SAMSUNG ELECTRONICS CO., LTD. |
11195841 | Integrated circuit and method for manufacturing the same | -- |
11195845 | Substrate processing method and device manufactured by the same | ASM IP HOLDING B.V. |
11195847 | Memory device and method for forming the same | -- |
11195848 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11195849 | Semiconductor device and method of manufacturing the same | TOSHIBA MEMORY CORPORATION |
11195850 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11195853 | Contact structures having conductive portions in substrate in three-dimensional memory devices and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11195855 | Semiconductor memory device and method of manufacturing the same | KIOXIA CORPORATION |
11195867 | High dielectric constant dielectric layer forming method, image sensor device, and manufacturing method thereof | -- |
11195868 | Image distance sensor and manufacture method thereof as well as a ranging device | BEIJING BOE DISPLAY TECHNOLOGY CO., LTD. |
11195874 | Detach and reattach of a flexible polyimide based X-ray detector | CARESTREAM HEALTH, INC. |
11195881 | Array substrate and flexible display panel | -- |
11195904 | High-frequency transistor | NUVOTON TECHNOLOGY CORPORATION JAPAN |
11195905 | Metal-oxide-semiconductor transistor and method of fabricating the same | -- |
11195906 | Semiconductor device to suppress electric field concentration on insulating protection film | DENSO CORPORATION |
11195911 | Bottom dielectric isolation structure for nanosheet containing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195912 | Inner spacer for nanosheet transistors | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195913 | Semiconductor device including fin structure and manufacturing method thereof | -- |
11195918 | Structure of semiconductor device and method for fabricating the same | -- |
11195919 | Method of fabricating a semiconductor device with strained SiGe fins and a Si cladding layer | INTEL CORPORATION |
11195920 | Semiconductor structure having porous semiconductor segment for RF devices and bulk semiconductor region for non-RF devices | NEWPORT FAB, LLC |
11195923 | Method of fabricating a semiconductor device having reduced contact resistance | APPLIED MATERIALS, INC. |
11195924 | Broken bandgap contact | INTEL CORPORATION |
11195928 | Semiconductor devices including a gate isolation structure and a gate capping layer including different materials from each other | SAMSUNG ELECTRONICS CO., LTD. |
11195929 | Conformal replacement gate electrode for short channel devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195930 | Semiconductor devices with backside power rail and methods of fabrication thereof | -- |
11195931 | Gate structure, semiconductor device and the method of forming semiconductor device | -- |
11195932 | Ferroelectric gate dielectrics in integrated circuits | INTEL CORPORATION |
11195933 | Method of forming a GaN sensor having a controlled and stable threshold voltage | TOWER SEMICONDUCTOR LTD. |
11195934 | Structure and method for bi-layer self-aligned contact | -- |
11195935 | Semiconductor device with novel spacer structures having novel configurations | GLOBALFOUNDRIES U.S. INC. |
11195936 | Semiconductor structure | -- |
11195937 | Multi-gate transistor structure | -- |
11195938 | Device performance by fluorine treatment | -- |
11195942 | Semiconductor device including electrode trench structure and isolation trench structure and manufacturing method therefore | INFINEON TECHNOLOGIES AG |
11195944 | Gallium nitride (GaN) transistor structures on a substrate | INTEL CORPORATION |
11195945 | Cap structure coupled to source to reduce saturation current in HEMT device | -- |
11195946 | Method of manufacturing a silicon carbide semiconductor device with trench gate structure and vertical pn junction between body region and drift structure | INFINEON TECHNOLOGIES AG |
11195947 | Semiconductor device with doped region adjacent isolation structure in extension region | GLOBALFOUNDRIES U.S. INC. |
11195948 | High voltage semiconductor device and manufacturing method thereof | -- |
11195950 | Semiconductor device with at least a portion of gate electrode enclosed by an insulating structure and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11195951 | Semiconductor device with self-aligned wavy contact profile and method of forming the same | -- |
11195952 | Semiconductor devices including a stress pattern | SAMSUNG ELECTRONICS CO., LTD. |
11195958 | Semiconductor device with deep trench isolation and trench capacitor | TEXAS INSTRUMENTS INCORPORATED |
11195982 | Qubit frequency tuning structures and fabrication methods for flip chip quantum computing devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11195987 | Method for producing composite wafer having oxide single-crystal film | SHIN-ETSU CHEMICAL CO., LTD. |
11196142 | Millimeter wave antenna and EMI shielding integrated with fan-out package | MICRON TECHNOLOGY, INC. |
11196329 | Methods and systems for controllably moving one or more moveable stages in a displacement device | THE UNIVERSITY OF BRITISH COLUMBIA |
11196360 | System and method for electrostatically chucking a substrate to a carrier | APPLIED MATERIALS, INC. |
11197367 | Component carrier comprising a double layer structure | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11197377 | Flexible circuit board and method for producing same | STEMCO CO., LTD. |
11198626 | Apparatus for producing conditioned water | KURITA WATER INDUSTRIES LTD. |
11198797 | Chemical mechanical polishing compositions having stabilized abrasive particles for polishing dielectric substrates | ROHM AND HAAS ELECTRONIC MATERIALS CMP HOLDINGS, INC. |
11198815 | Etching composition and method for fabricating semiconductor device by using the same | SAMSUNG ELECTRONICS CO., LTD. |
11198816 | Etching compositions | FUJIFILM ELECTRONIC MATERIALS U.S.A., INC. |
11198901 | Method for forming nano-gaps in graphene | OXFORD UNIVERSITY INNOVATION LIMITED |
11198935 | Heating part, substrate processing apparatus, and method of manufacturing semiconductor device | KOKUSAI ELECTRIC CORPORATION |
11198939 | Recursive inject apparatus for improved distribution of gas | APPLIED MATERIALS, INC. |
11198949 | Method of producing epitaxial silicon wafer | SUMCO CORPORATION |
11198951 | Melt-growth of single-crystal alloy semiconductor structures and semiconductor assemblies incorporating such structures | UNIVERSITY OF SOUTHAMPTON |
11199298 | Method for manufacturing light emitting diode filament | ZHONGSHAN MLS ELECTRONIC CO., LTD |
11199466 | System and method for liquid leak detection | -- |
11199507 | Systems for parsing material properties from within SHG signals | FEMTOMETRIX, INC. |
11199528 | Sensor built-in filter structure and wafer accommodation container | TDK CORPORATION |
11199562 | Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same | WESTERN DIGITAL TECHNOLOGIES, INC. |
11199572 | Electronics tester | AEHR TEST SYSTEMS |
11199605 | Resistivity-based adjustment of measurements from in-situ monitoring | APPLIED MATERIALS, INC. |
11199673 | Optoelectronic device with integrated underfill exclusion structure | HEWLETT PACKARD ENTERPRISE DEVELOPMENT LP |
11199769 | Method and apparatus for neutral beam processing based on gas cluster ion beam technology | -- |
11199771 | Pressure control valve, a fluid handling structure for lithographic apparatus and a lithographic apparatus | ASML NETHERLANDS B.V. |
11199775 | Resist underlayer film-forming composition containing naphthol aralkyl resin | NISSAN CHEMICAL CORPORATION |
11199776 | Resin composition | TORAY INDUSTRIES, INC. |
11199777 | Resist underlayer film-forming composition containing novolac polymer having secondary amino group | NISSAN CHEMICAL INDUSTRIES, LTD. |
11199785 | Coating and developing apparatus and coating and developing method | TOKYO ELECTRON LIMITED |
11199892 | Hybrid computing module | -- |
11200262 | Systems and methods of mapping, transforming, and sharing data and ideas | FRAMEABLE INC. |
11201034 | Plasma processing apparatus and control method | TOKYO ELECTRON LIMITED |
11201035 | Radical source with contained plasma | TOKYO ELECTRON LIMITED |
11201036 | Plasma strip tool with uniformity control | BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD |
11201037 | Process kit with adjustable tuning ring for edge uniformity control | APPLIED MATERIALS, INC. |
11201038 | Support assembly and support assembly assembling method | TOKYO ELECTRON LIMITED |
11201039 | Mounting apparatus for object to be processed and processing apparatus | TOKYO ELECTRON LIMITED |
11201040 | Substrate supporting unit and film forming device having the substrate supporting unit | NHK SPRING CO., LTD. |
11201049 | Thiourea organic compound for gallium arsenide based optoelectronics surface passivation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201050 | Substrate processing method, recording medium and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11201051 | Method for layer by layer growth of conformal films | TOKYO ELECTRON LIMITED |
11201052 | Composition for forming silica layer, silica layer and electronic device incorporating silica layer | SAMSUNG SDI CO., LTD. |
11201053 | Film forming method and film forming apparatus | TOKYO ELECTRON LIMITED |
11201054 | Method of manufacturing semiconductor device having higher exhaust pipe temperature and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11201055 | Semiconductor device having high-κ dielectric layer and method for manufacturing the same | -- |
11201056 | Pitch multiplication with high pattern fidelity | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201057 | Techniques and apparatus for anisotropic stress compensation in substrates using ion implantation | APPLIED MATERIALS, INC. |
11201058 | GaN devices with ion implanted ohmic contacts and method of fabricating devices incorporating the same | THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE NAVY |
11201059 | Device having work function metal stack and method of forming the same | -- |
11201060 | Structure and formation method of semiconductor device with metal gate stack | -- |
11201061 | Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201062 | Method and apparatus for processing a substrate | TOKYO ELECTRON LIMITED |
11201063 | Substrate processing method and substrate processing apparatus | TOKYO ELECTRON LIMITED |
11201064 | Signal line patterning for standard cells | -- |
11201065 | Testing semiconductor components | TEXAS INSTRUMENTS INCORPORATED |
11201066 | Control of under-fill using a dam on a packaging substrate for a dual-sided ball grid array package | SKYWORKS SOLUTIONS, INC. |
11201067 | Substrate treatment method and substrate treatment device | SCREEN HOLDINGS CO., LTD. |
11201068 | Heat treatment apparatus, method of managing heat treatment apparatus and storage medium | TOKYO ELECTRON LIMITED |
11201069 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201070 | Side opening unified pod | BROOKS AUTOMATION, INC. |
11201071 | Fume-removing device | BUM JE WOO |
11201072 | Purge nozzle module for load port | AE CO., LTD. |
11201073 | Substrate transport apparatus | BROOKS AUTOMATION, INC |
11201074 | System and method for semiconductor device print check alignment | KLA CORPORATION |
11201075 | Holding apparatus for electrostatically holding a component, including a base body joined by diffusion bonding, and process for its manufacture | BERLINER GLAS GMBH |
11201076 | Electrostatic chuck device | SUMITOMO OSAKA CEMENT CO., LTD. |
11201077 | Parallel assembly of discrete components onto a substrate | KULICKE & SOFFA NETHERLANDS B.V. |
11201078 | Substrate position calibration for substrate supports in substrate processing systems | APPLIED MATERIALS, INC. |
11201079 | Wafer chuck | -- |
11201080 | Epitaxy substrate and method of manufacturing the same | -- |
11201081 | Method for separating thin layers of solid material from a solid body | SILTECTRA GMBH |
11201082 | Deep trench isolation structure in semiconductor device | -- |
11201083 | Methods for forming memory devices, and associated devices and systems | MICRON TECHNOLOGY, INC. |
11201084 | Fin field-effect transistor device and method of forming the same | -- |
11201085 | Semiconductor device structure having air gap and method for forming the same | -- |
11201086 | Semiconductor devices and methods of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11201087 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11201088 | Semiconductor device and method for forming the same | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201089 | Robust low-k bottom spacer for VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201090 | Semiconductor structures with isolation structures and fabrication methods thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11201091 | Semiconductor structure implementing series-connected transistor and resistor and method for forming the same | -- |
11201092 | Gate channel length control in VFET | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201093 | Method of manufacturing a semiconductor device including the horizontal channel FET and the vertical channel FET | IMEC VZW |
11201094 | Forming metal gates with multiple threshold voltages | -- |
11201095 | Chip package having a cover with window | XILINX, INC. |
11201097 | Method of manufacture of a semiconductor device | -- |
11201099 | Semiconductor device and method of manufacturing the same | DENSO CORPORATION |
11201102 | Module lid with embedded two-phase cooling and insulating layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201103 | Vapor chamber on heat-generating component | MICROSOFT TECHNOLOGY LICENSING, LLC |
11201106 | Semiconductor device with conductors embedded in a substrate | -- |
11201107 | Bonded three-dimensional memory devices and methods of making the same by replacing carrier substrate with source layer | SANDISK TECHNOLOGIES LLC |
11201109 | Hermetic metallized via with improved reliability | CORNING INCORPORATED |
11201110 | Semiconductor device package with conductive pillars and method for manufacturing the same | -- |
11201112 | Fully-aligned skip-vias | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201113 | Integrated passive coupler and method | NXP B.V. |
11201114 | Methods of forming thin film resistor structures utilizing interconnect liner materials | INTEL CORPORATION |
11201115 | Semiconductor device | -- |
11201116 | Semiconductor device having inter-metal dielectric patterns and method for fabricating the same | UNITED SEMICONDUCTOR (XIAMEN) CO., LTD. |
11201118 | Chip package and method of forming the same | -- |
11201120 | Display having an amorphous silicon light shield below a thin film transistor | BOE TECHNOLOGY GROUP CO., LTD. |
11201122 | Method of fabricating semiconductor device with reduced warpage and better trench filling performance | -- |
11201123 | Substrate structure and manufacturing method thereof | -- |
11201124 | Semiconductor devices, semiconductor wafers, and methods of manufacturing the same | OMNIVISION TECHNOLOGIES, INC. |
11201127 | Device comprising contact to contact coupling of packages | QUALCOMM INCORPORATED |
11201129 | Designs and methods for conductive bumps | INTEL CORPORATION |
11201132 | Method for setting conditions for heating semiconductor chip during bonding, method for measuring viscosity of non-conductive film, and bonding apparatus | SHINKAWA LTD. |
11201133 | Bonding apparatus and method | SAMSUNG DISPLAY CO., LTD. |
11201134 | Method of manufacturing semiconductor device | -- |
11201135 | Three dimensional integrated circuits stacking approach | -- |
11201136 | High bandwidth module | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201137 | Systems and methods for powering an integrated circuit having multiple interconnected die | CEREBRAS SYSTEMS INC. |
11201138 | Wafer level integration including design/co-design, structure process, equipment stress management and thermal management | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201142 | Semiconductor package, package on package structure and method of froming package on package structure | -- |
11201148 | Architecture for monolithic 3D integration of semiconductor devices | TOKYO ELECTRON LIMITED |
11201150 | System on chip | SAMSUNG ELECTRONICS CO., LTD. |
11201152 | Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor | GLOBALFOUNDRIES INC. |
11201153 | Stacked field effect transistor with wrap-around contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201156 | Semiconductor devices and methods for fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11201157 | Bonded semiconductor devices having processor and static random-access memory and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11201163 | High-density NOR-type flash memory | HOUSTON |
11201164 | Memory devices | MICRON TECHNOLOGY, INC. |
11201169 | Memory device and method of fabricating the same | -- |
11201170 | Three-dimensional semiconductor memory device and manufacturing method of the three-dimensional semiconductor memory device | SK HYNIX INC. |
11201191 | Semiconductor memory device having a plurality of memory cells each having a phase change material | TOSHIBA MEMORY CORPORATION |
11201209 | Semiconductor device and method for forming the same | -- |
11201210 | Semiconductor device, inverter circuit, drive device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11201211 | Method of manufacturing a super junction structure and super junction structure | -- |
11201212 | MOSFET with ultra low drain leakage | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201215 | MOSFET and memory cell having improved drain current through back bias application | ZENO SEMICONDUCTOR, INC. |
11201216 | Silicon carbide semiconductor device and manufacturing method of silicon carbide semiconductor device | DENSO CORPORATION |
11201217 | Nitride semiconductor substrate | COORSTEK KK |
11201218 | Silicon carbide epitaxial substrate, method of manufacturing thereof, silicon carbide semiconductor device, and method of manufacturing thereof | FUJI ELECTRIC CO., LTD. |
11201221 | Backside contact structures and fabrication for metal on both sides of devices | INTEL CORPORATION |
11201222 | Semiconductor device and fabrication method thereof | INNOSCIENCE (ZHUHAI) TECHNOLOGY CO., LTD. |
11201225 | Structure and formation method of semiconductor device with stressor | -- |
11201228 | Semiconductor device with air-spacer | -- |
11201229 | Structure and formation method of semiconductor device with metal gate stack | -- |
11201230 | Semiconductor device structure with metal gate stacks | -- |
11201231 | Silicon germanium alloy fins with reduced defects | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201232 | Semiconductor structure with metal containing layer | -- |
11201238 | Semiconductor device, method of manufacturing semiconductor device, inverter circuit, driving device, vehicle, and elevator | KABUSHIKI KAISHA TOSHIBA |
11201241 | Vertical field effect transistor and method of manufacturing a vertical field effect transistor | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201243 | Nanowire stack GAA device and methods for producing the same | -- |
11201246 | Field-effect transistor structure and fabrication method | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11201249 | Liquid crystal display device comprising an oxide semiconductor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11201250 | Schottky barrier diode and method for manufacturing the same | ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE |
11201251 | High speed photo detectors with reduced aperture metal contact and method therefor | OEPIC SEMICONDUCTORS, INC. |
11201256 | Infrared detecting device and infrared detecting system including the same | SAMSUNG ELECTRONICS CO., LTD. |
11201258 | Method for manufacturing light emitting device | NICHIA CORPORATION |
11201281 | Method for forming a flat bottom electrode via (BEVA) top surface for memory | -- |
11201315 | Electro-optical device with a luminance adjustment layer | SEIKO EPSON CORPORATION |
11201386 | Semiconductor device package and method for manufacturing the same | -- |
11201533 | Planar positioning device | C.C.M. BEHEER B.V. |
11203094 | Substrate cleaning device, substrate processing apparatus, substrate cleaning method and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11203123 | Methods and systems for combined negative pressure and electroadhesion-based manipulation in manufacturing | GRABIT, INC. |
11203488 | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium | KOKUSAI ELECTRIC CORPORATION |
11203525 | Method of controlling the placement of micro-objects | PALO ALTO RESEARCH CENTER INCORPORATED |
11203526 | Reprogrammable quantum processor architecture incorporating quantum error correction | EQUAL1.LABS INC. |
11203528 | N—H free and Si-rich per-hydridopolysilzane compositions, their synthesis, and applications | L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11203662 | Polymer, organic layer composition, and method of forming patterns | SAMSUNG SDI CO., LTD. |
11203703 | Polishing slurry and method of polishing substrate by using the polishing slurry | SAMSUNG DISPLAY CO., LTD. |
11203731 | Composition for surface treatment and method of producing the same, surface treatment method, and method of producing semiconductor substrate | FUJIMI INCORPORATED |
11203816 | Electroplating seed layer buildup and repair | APPLIED MATERIALS, INC. |
11204200 | Adaptive baking method | -- |
11204313 | Throughput-scalable analytical system using transmembrane pore sensors | GENESENSE TECHNOLOGY INC. |
11204327 | Amorphous germanium waveguides for spectroscopic sensing and data communication applications | MASSACHUSETTS INSTITUTE OF TECHNOLOGY |
11204332 | Repeater defect detection | KLA-TENCOR CORPORATION |
11204379 | Structures and methods for RF de-embedding | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11204549 | Superstrate with an offset mesa and methods of using the same | CANON KABUSHIKI KAISHA |
11205562 | Hybrid electron beam and RF plasma system for controlled content of radicals and ions | TOKYO ELECTRON LIMITED |
11205570 | Method for manufacturing single-grained nanowire and method for manufacturing semiconductor device employing same single-grained nanowire | -- |
11205571 | Mask forming method | TOKYO ELECTRON LIMITED |
11205572 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205573 | Ge-containing Co-film forming material, Ge-containing Co film and film forming method thereof | L'AIR LIQUIDE, SOCIETé ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCéDéS GEORGES CLAUDE |
11205574 | Method for forming a semiconductor memory structure | -- |
11205575 | Method for stripping one or more layers from a semiconductor wafer | TEXAS INSTRUMENTS INCORPORATED |
11205576 | Monolayer film mediated precision material etch | TOKYO ELECTRON LIMITED |
11205577 | Method of selectively etching silicon oxide film on substrate | TOKYO ELECTRON LIMITED |
11205578 | Dopant anneal with stabilization step for IC with matched devices | TEXAS INSTRUMENTS INCORPORATED |
11205579 | Molding wafer chamber | -- |
11205580 | Method of manufacturing molded chip | DISCO CORPORATION |
11205581 | Thermal reflector device for semiconductor fabrication tool | -- |
11205582 | Methods and apparatuses for effluent monitoring for brush conditioning | ILLINOIS TOOL WORKS INC. |
11205583 | Substrate transport vacuum platform | PERSIMMON TECHNOLOGIES CORPORATION |
11205584 | Member for semiconductor manufacturing apparatus and method for producing the same | NGK INSULATORS, LTD. |
11205585 | Substrate processing apparatus and method of operating the same | ASM IP HOLDING B.V. |
11205586 | Integrated circuits with line breaks and line bridges within a single interconnect level | INTEL CORPORATION |
11205587 | Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205588 | Interconnect architecture with enhanced reliability | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205589 | Methods and apparatuses for forming interconnection structures | APPLIED MATERIALS, INC. |
11205590 | Self-aligned contacts for MOL | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205591 | Top via interconnect with self-aligned barrier layer | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205592 | Self-aligned top via structure | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205593 | Asymmetric fin trimming for fins of FinFET device | APPLIED MATERIALS, INC. |
11205594 | Fin spacer protected source and drain regions in FinFETs | -- |
11205595 | Methods of fabricating semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11205596 | Method of FinFET contact formation | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205597 | Semiconductor device and method | -- |
11205598 | Double sided NMOS/PMOS structure and methods of forming the same | -- |
11205599 | Evaluation method of silicon epitaxial wafer | SHIN-ETSU HANDOTAI CO., LTD. |
11205600 | Integrated circuits protected by substrates with cavities, and methods of manufacture | INVENSAS CORPORATION |
11205601 | Semiconductor package and semiconductor apparatus | SAMSUNG ELECTRONICS CO., LTD. |
11205602 | Semiconductor device and manufacturing method thereof | AMKOR TECHNOLOGY SINGAPORE HOLDING PTE. LTD. |
11205603 | Semiconductor package and method manufacturing the same | -- |
11205604 | Semiconductor package including a thermal conductive layer and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205605 | Semiconductor structure with back gate and method of fabricating the same | -- |
11205607 | Semiconductor structure and method of manufacturing thereof | -- |
11205609 | Semiconductor structure with an air gap | -- |
11205611 | Leadframe capacitors | TEXAS INSTRUMENTS INCORPORATED |
11205612 | Integrated circuit package and method | -- |
11205613 | Organic mold interconnects in shielded interconnects frames for integrated-circuit packages | INTEL CORPORATION |
11205614 | Stack packages | SAMSUNG ELECTRONICS CO., LTD. |
11205615 | Semiconductor device and method of manufacture | -- |
11205617 | Interconnect structure having a graphene layer | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205618 | Graphene barrier layer | -- |
11205619 | Hybrid bonding using dummy bonding contacts and dummy interconnects | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205621 | Device and method for alignment of vertically stacked wafers and die | STMICROELECTRONICS, INC. |
11205626 | Coreless organic packages with embedded die and magnetic inductor structures | INTEL CORPORATION |
11205627 | Semiconductor device package including emitting devices and method of manufacturing the same | -- |
11205628 | Semiconductor device package and method of manufacturing the same | -- |
11205629 | Package structure and method of fabricating the same | -- |
11205630 | Vias in composite IC chip structures | INTEL CORPORATION |
11205633 | Methods of bonding of semiconductor elements to substrates, and related bonding systems | KULICKE AND SOFFA INDUSTRIES, INC. |
11205636 | Semiconductor package and method of manufacturing the same | -- |
11205637 | Semiconductor packages having improved thermal discharge and electromagnetic shielding characteristics | SAMSUNG ELECTRONICS CO., LTD. |
11205639 | Integrated circuit device with stacked dies having mirrored circuitry | XILINX, INC. |
11205645 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11205647 | Semiconductor device and method of manufacture | -- |
11205648 | IC structure with single active region having different doping profile than set of active regions | GLOBALFOUNDRIES U.S. INC. |
11205649 | Integrated circuit devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205650 | Input/output semiconductor devices | -- |
11205652 | Semiconductor memory device and method of fabricating the same | SAMSUNG ELECTRONICS CO., LTD. |
11205655 | Method for manufacturing semiconductor device including fin-structured transistor | RENESAS ELECTRONICS CORPORATION |
11205656 | Trench structures for three-dimensional memory devices | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205657 | Semiconductor constructions | MICRON TECHNOLOGY, INC. |
11205658 | Three-dimensional memory device with corrosion-resistant composite spacer | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205660 | Memory arrays and methods used in forming a memory array comprising strings of memory cells | MICRON TECHNOLOGY, INC. |
11205661 | Three-dimensional memory devices with enlarged joint critical dimension and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205662 | Methods for reducing defects in semiconductor plug in three-dimensional memory device | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11205663 | Vertical memory devices and methods of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11205666 | Array substrate and display panel | -- |
11205669 | Semiconductor device including photoelectric conversion element | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11205670 | Alignment of multiple image dice in package | TELEDYNE DIGITAL IMAGING, INC. |
11205680 | Non-volatile random access memory (NVRAM) | NXP USA, INC. |
11205683 | Image sensor | SAMSUNG ELECTRONICS CO., LTD. |
11205689 | Light emitting display apparatus | CANON KABUSHIKI KAISHA |
11205695 | Method of fabricating a thick oxide feature on a semiconductor wafer | TEXAS INSTRUMENTS INCORPORATED |
11205697 | Shallow trench isolating structure and semiconductor device | FUJIAN JINHUA INTEGRATED CIRCUIT CO., LTD. |
11205698 | Multiple work function nanosheet transistors with inner spacer modulation | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205700 | Air gap spacer and related methods | -- |
11205701 | Transistors with sectioned extension regions | GLOBALFOUNDRIES U.S. INC. |
11205702 | Method for manufacturing a structure for forming a tridimensional monolithic integrated circuit | SOITEC |
11205703 | Semiconductor device and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205704 | Semiconductor device and production method therefor | MITSUBISHI ELECTRIC CORPORATION |
11205705 | Metal gate structure and method of fabricating the same | -- |
11205706 | Method of manufacturing a semiconductor device and a semiconductor device | -- |
11205707 | Optimizing gate profile for performance and gate fill | INTEL CORPORATION |
11205708 | Dual self-aligned gate endcap (SAGE) architectures | INTEL CORPORATION |
11205709 | Defect filling in patterned layer | -- |
11205710 | Fabricating method of semiconductor structure | -- |
11205711 | Selective inner spacer implementations | -- |
11205712 | Methods of manufacturing low-temperature polysilicon thin film and transistor | HKC CORPORATION LIMITED |
11205713 | FinFET having a non-faceted top surface portion for a source/drain region | -- |
11205715 | Self-aligned nanowire | INTEL CORPORATION |
11205717 | Epitaxially fabricated heterojunction bipolar transistors | INTEL CORPORATION |
11205718 | High performance super-beta NPN (SBNPN) | TEXAS INSTRUMENTS INCORPORATED |
11205721 | Semiconductor device with isolation layer and fabrication method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11205723 | Selective source/drain recess for improved performance, isolation, and scaling | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205724 | Self-aligned gate hard mask and method forming same | -- |
11205726 | Thin film transistor and manufacturing method thereof, gate driving circuit, display substrate and display device | HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD. |
11205728 | Vertical field effect transistor with reduced parasitic capacitance | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11205729 | Semiconductor device and method for manufacturing same | SHARP KABUSHIKI KAISHA |
11205768 | Method for manufacturing of display device | SAMSUNG DISPLAY CO., LTD. |
11205974 | Piezoelectric driving device, piezoelectric motor, robot, electronic component conveyance apparatus, printer, and projector | SEIKO EPSON CORPORATION |
11205978 | Handling and processing double-sided devices on fragile substrates | APPLIED MATERIALS, INC. |
11207715 | System and method for monitoring treatment of microelectronic substrates with fluid sprays such as cryogenic fluid sprays | TEL MANUFACTURING AND ENGINEERING OF AMERICA, INC. |
11207717 | Substrate processing apparatus, substrate processing method and computer-readable recording medium | TOKYO ELECTRON LIMITED |
11207757 | Composition for polishing pad, polishing pad and preparation method of semiconductor device | SKC SOLMICS CO., LTD. |
11208119 | Guide module and driving device having the same | SEJIN-IGB CO., LTD. |
11208333 | Synthesis of vertically aligned metal oxide nanostructures | KING ABDULLAH UNIVERSITY OF SCIENCE AND TECHNOLOGY |
11208540 | Method of making cured parts | SOLVAY SPECIALTY POLYMERS ITALY S.P.A. |
11208718 | Epitaxial growth device, production method for epitaxial wafer, and lift pin for epitaxial growth device | SUMCO CORPORATION |
11208719 | SiC composite substrate and method for manufacturing same | SHIN-ETSU CHEMICAL CO., LTD. |
11208721 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11208725 | Substrate processing apparatus | TOKYO ELECTRON LIMITED |
11209398 | High quality factor embedded resonator wafers | APPLIED MATERIALS, INC. |
11209478 | Pulse system verification | APPLIED MATERIALS, INC. |
11209479 | Stressing integrated circuits using a radiation source | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11209485 | Low power active load | -- |
11209497 | Method and system for thermal control of devices in an electronics tester | AEHR TEST SYSTEMS |
11209635 | Magnification compensation and/or beam steering in optical systems | SUSS MICROTEC PHOTONIC SYSTEMS INC. |
11209702 | Array substrate, display panel and display device | BOE TECHNOLOGY GROUP CO., LTD. |
11209729 | Vacuum-integrated hardmask processes and apparatus | LAM RESEARCH CORPORATION |
11209731 | Imprint device and method for manufacturing article | CANON KABUSHIKI KAISHA |
11209735 | Composition for forming metal-containing film, method of producing composition for forming metal-containing film, semiconductor device, and method of producing semiconductor device | MITSUI CHEMICALS, INC. |
11209739 | Method and apparatus for aligning two optical subsystems | EV GROUP E. THALLNER GMBH |
11210447 | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices | -- |
11211229 | Processing method and plasma processing apparatus | TOKYO ELECTRON LIMITED |
11211232 | Methods for cleaning semiconductor device manufacturing apparatus | -- |
11211241 | Substrate processing method and substrate processing apparatus | SCREEN HOLDINGS CO., LTD. |
11211242 | System and method for cleaning contact elements and support hardware using functionalized surface microfeatures | INTERNATIONAL TEST SOLUTIONS, LLC |
11211243 | Method of filling gaps with carbon and nitrogen doped film | -- |
11211244 | Ultraviolet radiation activated atomic layer deposition | -- |
11211245 | III-N multichip modules and methods of fabrication | INTEL CORPORATION |
11211246 | Method and composition for selectively modifying base material surface | JSR CORPORATION |
11211247 | Water soluble organic-inorganic hybrid mask formulations and their applications | APPLIED MATERIALS, INC. |
11211248 | SiC electronic device fabricated by Al/Be co-implantation | ABB POWER GRIDS SWITZERLAND AG |
11211249 | Herbal extracts and flavor systems for oral products and methods of making the same | SENSIENT FLAVORS LLC |
11211250 | Laminated element manufacturing method | HAMAMATSU PHOTONICS K.K. |
11211252 | Systems and methods for copper (I) suppression in electrochemical deposition | APPLIED MATERIALS, INC. |
11211253 | Atomic layer deposition and etch in a single plasma chamber for critical dimension control | LAM RESEARCH CORPORTATION |
11211254 | Process for integrated circuit fabrication using a buffer layer as a stop for chemical mechanical polishing of a coupled dielectric oxide layer | STMICROELECTRONICS PTE LTD |
11211255 | Semiconductor structure | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211256 | Method with CMP for metal ion prevention | -- |
11211257 | Semiconductor device fabrication with removal of accumulation of material from sidewall | -- |
11211258 | Method of addressing dissimilar etch rates | INVENSENSE, INC. |
11211259 | Structure and method for embedded gettering in a silicon on insulator wafer | -- |
11211260 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11211261 | Package structures and methods for forming the same | -- |
11211262 | Electronic apparatus having inter-chip stiffener | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211263 | Structure for arrayed partial molding of packages | QUALCOMM INCORPORATED |
11211264 | Substrate processing apparatus and substrate processing method | SCREEN HOLDINGS CO., LTD. |
11211265 | Heat treatment apparatus and heat treatment method | TOKYO ELECTRON LIMITED |
11211266 | Universal load port for ultraviolet radiation semiconductor wafer processing machine | TEXAS INSTRUMENTS INCORPORATED |
11211267 | Substrate processing apparatus and substrate processing method | TOSHIBA MEMORY CORPORATION |
11211268 | Deadlock determination method and semiconductor apparatus | BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD. |
11211269 | Multi-object capable loadlock system | APPLIED MATERIALS, INC. |
11211270 | Pattern inspection method and pattern inspection apparatus | NUFLARE TECHNOLOGY, INC. |
11211271 | Systems and methods for semiconductor structure sample preparation and analysis | -- |
11211272 | Contaminant detection tools and related methods | MICRON TECHNOLOGY, INC. |
11211273 | Carrier substrate and packaging method using the same | SAMSUNG ELECTRONICS CO., LTD. |
11211274 | Latching mechanism for a substrate container | ENTEGRIS, INC. |
11211275 | Substrate storage container | SHIN-ETSU POLYMER CO., LTD. |
11211276 | Anti-ejection apparatus for wafer units | DISCO CORPORATION |
11211277 | Substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11211278 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
11211279 | Method for processing a 3D integrated circuit and structure | MONOLITHIC 3D INC. |
11211280 | Substrate support and substrate processing apparatus | KOKUSAI ELECTRIC CORPORATION |
11211281 | Substrate processing apparatus and substrate processing method | TOKYO ELECTRON LIMITED |
11211282 | Apparatus to reduce contamination in a plasma etching chamber | APPLIED MATERIALS, INC. |
11211283 | Method for forming a bulk semiconductor substrate configured to exhibit soi behavior | -- |
11211284 | Semiconductor device including trench isolation layer and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11211285 | Method of producing bonded wafer and bonded wafer | SUMCO CORPORATION |
11211286 | Airgap formation processes | APPLIED MATERIALS, INC. |
11211287 | Semiconductor device and method for fabricating the same | -- |
11211288 | Semiconductor device | TOKYO ELECTRON LIMITED |
11211289 | Metal loss prevention using implantation | -- |
11211290 | MIM capacitor with adjustable capacitance via electronic fuses | QUALCOMM INCORPORATED |
11211291 | Via formation with robust hardmask removal | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211292 | Assemblies containing PMOS decks vertically-integrated with NMOS decks, and methods of forming integrated assemblies | MICRON TECHNOLOGY, INC. |
11211293 | FinFET device and methods of forming the same | -- |
11211294 | Semiconductor device and method of manufacturing the same | SAMSUNG ELECTRONICS CO., LTD. |
11211295 | FinFET doping methods and structures thereof | -- |
11211296 | Comparing method and laser processing apparatus | DISCO CORPORATION |
11211297 | Method for testing bridging in adjacent semiconductor devices and test structure | -- |
11211298 | System and method for a transducer in an EWLB package | INFINEON TECHNOLOGIES AG |
11211299 | Wiring structure having at least one sub-unit | -- |
11211300 | Electronic component and camera module | SONY SEMICONDUCTOR SOLUTIONS CORPORATION |
11211301 | Semiconductor device and method of manufacture | -- |
11211304 | Assembly and method for mounting an electronic component to a substrate | INFINEON TECHNOLOGIES AUSTRIA AG |
11211314 | Interposer for electrically connecting stacked integrated circuit device packages | INTEL CORPORATION |
11211316 | Wiring structure and method for manufacturing the same | -- |
11211317 | Component carrier comprising a component having vertical through connection | AT&S AUSTRIA TECHNOLOGIE & SYSTEMTECHNIK AKTIENGESELLSCHAFT |
11211318 | Bump layout for coplanarity improvement | -- |
11211321 | Package structure and manufacturing method thereof | -- |
11211323 | Method of fabricating field effect transistor having non-orthogonal gate electrode | -- |
11211324 | Via contact patterning method to increase edge placement error margin | INTEL CORPORATION |
11211325 | Semiconductor device package and method for manufacturing the same | -- |
11211326 | Wiring substrate and manufacturing method thereof | SHINKO ELECTRIC INDUSTRIES CO., LTD. |
11211327 | Via sizing for IR drop reduction | -- |
11211330 | Standard cell layout architectures and drawing styles for 5nm and beyond | ADVANCED MICRO DEVICES, INC. |
11211331 | Semiconductor structure having a via and methods of manufacturing the same | -- |
11211332 | Molded die last chip combination | ADVANCED MICRO DEVICES, INC. |
11211336 | Integrated fan-out package and method for fabricating the same | -- |
11211337 | Face-up fan-out electronic package with passive components using a support | INTEL CORPORATION |
11211338 | Apparatus for stacking substrates and method for the same | NIKON CORPORATION |
11211339 | Semiconductor device | -- |
11211341 | Package structure and method of fabrcating the same | -- |
11211342 | Multiplexer cell and semiconductor device having camouflage design, and method for forming multiplexer cell | -- |
11211343 | Fan-out antenna packaging structure and packaging method | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11211345 | In-package RF waveguides as high bandwidth chip-to-chip interconnects and methods for using the same | INTEL CORPORATION |
11211346 | Semiconductor device and method of manufacture | -- |
11211348 | First wafer, fabricating method thereof and wafer stack | WUHAN XINXIN SEMICONDUCTOR MANUFACTURING CO., LTD. |
11211349 | Semiconductor device including a plurality of bonding pads | RENESAS ELECTRONICS CORPORATION |
11211350 | Semiconductor package and manufacturing method thereof | -- |
11211351 | Apparatuses including redistribution layers and related microelectronic devices | MICRON TECHNOLOGY, INC. |
11211352 | Bump structure to prevent metal redeposit and to prevent bond pad consumption and corrosion | -- |
11211355 | Semiconductor device, method for manufacturing semiconductor device, and power conversion device | MITSUBISHI ELECTRIC CORPORATION |
11211356 | Power semiconductor package and method for fabricating a power semiconductor package | INFINEON TECHNOLOGIES AG |
11211358 | Packaged semiconductor devices and packaging methods | -- |
11211359 | Semiconductor device and method of forming modular 3D semiconductor package with horizontal and vertical oriented substrates | SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC |
11211360 | Passive device module, semiconductor package including the same, and manufacturing method thereof | -- |
11211361 | Semiconductor device and method for manufacturing the same | KIOXIA CORPORATION |
11211362 | 3D trench capacitor for integrated passive devices | -- |
11211363 | Semiconductor device having through silicon vias and manufacturing method thereof | LONGITUDE LICENSING LIMITED |
11211364 | Semiconductor device assemblies and systems with improved thermal performance and methods for making the same | MICRON TECHNOLOGY, INC. |
11211366 | Method for manufacturing display device and substrate for manufacturing display device | LG ELECTRONICS INC. |
11211368 | Semiconductor device | ROHM CO., LTD. |
11211371 | Integrated circuit package and method | -- |
11211372 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211375 | Integrated circuit device | SAMSUNG ELECTRONICS CO., LTD. |
11211379 | Fabrication of field effect transistors with different threshold voltages through modified channel interfaces | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211380 | Semiconductor structure and manufacturing method thereof | -- |
11211381 | Semiconductor device structure and method for forming the same | -- |
11211382 | Methods and apparatuses including a boundary of a well beneath an active area of a tap | MICRON TECHNOLOGY, INC. |
11211383 | Semiconductor device and manufacturing method thereof | -- |
11211385 | Semiconductor device and manufacturing method thereof | -- |
11211387 | Fin-based strap cell structure for improving memory performance | -- |
11211388 | Array boundfary structure to reduce dishing | -- |
11211389 | Memory device | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211390 | Staircase patterning for 3D NAND devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211394 | Three-dimensional memory device with source structure and methods for forming the same | YANGTZE MEMORY TECHNOLOGIES CO., LTD. |
11211395 | 3D memory array having select lines | -- |
11211398 | Method for in situ preparation of antimony-doped silicon and silicon germanium films | SUNRISE MEMORY CORPORATION |
11211399 | Electronic apparatus with an oxide-only tunneling structure by a select gate tier, and related methods | MICRON TECHNOLOGY, INC. |
11211401 | Memory device and method for fabricating the same | -- |
11211406 | Semiconductor device and method for controlling semiconductor device | RENESAS ELECTRONICS CORPORATION |
11211408 | Wiring layer and manufacturing method therefor | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211423 | Method of producing semiconductor epitaxial wafer, semiconductor epitaxial wafer, and method of producing solid-state image sensor | SUMCO CORPORATION |
11211428 | Integrated circuit including transistors having a common base | STMICROELECTRONICS (ROUSSET) SAS |
11211447 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211448 | Capacitor structure with MIM layer over metal pillars | GLOBALFOUNDRIES U.S. INC. |
11211450 | Integrated circuit device and method of forming the same | SAMSUNG ELECTRONICS CO., LTD. |
11211452 | Transistor having stacked source/drain regions with formation assistance regions and multi-region wrap-around source/drain contacts | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211454 | Semiconductor devices including source/drain regions having antimony doped layer | SAMSUNG ELECTRONICS CO., LTD. |
11211455 | Formation of dislocations in source and drain regions of FinFET devices | -- |
11211459 | Semiconductor device and method of manufacturing a semiconductor device | INFINEON TECHNOLOGIES AG |
11211460 | 2D crystal hetero-structures and manufacturing methods thereof | -- |
11211462 | Using selectively formed cap layers to form self-aligned contacts to source/drain regions | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211465 | Semiconductor device having gate dielectric and inhibitor film over gate dielectric | -- |
11211466 | Semiconductor device having an air gap and method for fabricating the same | SK HYNIX INC. |
11211467 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211468 | Silicon carbide device with trench gate structure and method of manufacturing | INFINEON TECHNOLOGIES AG |
11211469 | Third generation flash memory structure with self-aligned contact and methods for forming the same | -- |
11211470 | Semiconductor device and method | -- |
11211472 | Semiconductor device and method of forming the same | -- |
11211473 | Epitaxial fin structures having an epitaxial buffer region and an epitaxial capping region | -- |
11211474 | Gate oxide for nanosheet transistor devices | INTERNATIONAL BUSINESS MACHINES CORPORATION |
11211475 | Semiconductor device and formation method thereof | SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION |
11211476 | FinFET device and method of forming same | -- |
11211477 | FinFETs having epitaxial capping layer on fin and methods for forming the same | -- |
11211478 | Semiconductor structure and method for forming same | SEMICONDUCTOR MANUFACTURING (SHANGHAI) INTERNATIONAL CORPORATION |
11211479 | Method of fabricating trimmed fin and fin structure | -- |
11211480 | Heterojunction bipolar transistor | -- |
11211483 | Method for forming an insulation layer in a semiconductor body and transistor device | INFINEON TECHNOLOGIES AUSTRIA AG |
11211486 | Power MOS device with low gate charge and a method for manufacturing the same | UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA |
11211488 | Method for producing a pillar-shaped semiconductor device | UNISANTIS ELECTRONICS SINGAPORE PTE. LTD. |
11211491 | Semiconductor memory structure having drain stressor, source stressor and buried gate and method of manufacturing the same | -- |
11211493 | Apparatus and method of modulating threshold voltage for fin field effect transistor (FinFET) and nanosheet FET | SAMSUNG ELECTRONICS CO., LTD. |
11211495 | Semiconductor devices | SAMSUNG ELECTRONICS CO., LTD. |
11211496 | FinFET device and method of forming | -- |
11211497 | Semiconductor device | SAMSUNG ELECTRONICS CO., LTD. |
11211498 | FinFETs with wrap-around silicide and method forming the same | -- |
11211500 | Semiconductor device and method for manufacturing semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211501 | Semiconductor device | SEMICONDUCTOR ENERGY LABORATORY CO., LTD. |
11211503 | Memory arrays | MICRON TECHNOLOGY, INC. |
11211516 | Stack-like III-V semiconductor product and production method | AZUR SPACE SOLAR POWER GMBH |
11211517 | Pressurized heated rolling press for manufacture and method of use | UTICA LEASECO, LLC |
11211521 | Method of manufacturing light-emitting device including phosphor pieces | NICHIA CORPORATION |
11211522 | Method of selectively transferring semiconductor device | -- |
11211529 | Semiconductor light-emitting element and method for manufacturing same | TOSHIBA MATERIALS CO., LTD. |
11211535 | Method for fabricating micro light-emitting diode display | -- |
11211551 | Current sensor package with continuous insulation | INFINEON TECHNOLOGIES AG |
11211687 | Method of fabricating a semiconductor structure with an antenna module | SJ SEMICONDUCTOR (JIANGYIN) CORPORATION |
11212914 | Circuit board and display device | BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD. |
Archiver|手机版|科学网 ( 京ICP备07017567号-12 )
GMT+8, 2024-9-27 12:21
Powered by ScienceNet.cn
Copyright © 2007- 中国科学报社